Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Prithviraj Banerjee
@article{DBLP:journals/software/AdamsLBKBG22, author = {Matt Adams and Xiao Li and Lucas Boucinha and Sameer S. Kher and Prith Banerjee and Jose{-}Luis Gonzalez}, title = {Hybrid Digital Twins: {A} Primer on Combining Physics-Based and Data Analytics Approaches}, journal = {{IEEE} Softw.}, volume = {39}, number = {2}, pages = {47--52}, year = {2022}, url = {https://doi.org/10.1109/MS.2021.3134042}, doi = {10.1109/MS.2021.3134042}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/software/AdamsLBKBG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/XiaoABAM22, author = {Jiuhong Xiao and Lavisha Aggarwal and Prithviraj Banerjee and Manoj Aggarwal and Gerard Medioni}, title = {Identity Preserving Loss for Learned Image Compression}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {516--525}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00067}, doi = {10.1109/CVPRW56347.2022.00067}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/XiaoABAM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-10869, author = {Jiuhong Xiao and Lavisha Aggarwal and Prithviraj Banerjee and Manoj Aggarwal and Gerard Medioni}, title = {Identity Preserving Loss for Learned Image Compression}, journal = {CoRR}, volume = {abs/2204.10869}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.10869}, doi = {10.48550/ARXIV.2204.10869}, eprinttype = {arXiv}, eprint = {2204.10869}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-10869.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/BanerjeeN14, author = {Prithviraj Banerjee and Ram Nevatia}, editor = {Daniel Cremers and Ian D. Reid and Hideo Saito and Ming{-}Hsuan Yang}, title = {Multi-state Discriminative Video Segment Selection for Complex Event Classification}, booktitle = {Computer Vision - {ACCV} 2014 - 12th Asian Conference on Computer Vision, Singapore, Singapore, November 1-5, 2014, Revised Selected Papers, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {9007}, pages = {162--177}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-16814-2\_11}, doi = {10.1007/978-3-319-16814-2\_11}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/accv/BanerjeeN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/BanerjeeN14, author = {Prithviraj Banerjee and Ramakant Nevatia}, editor = {David J. Fleet and Tom{\'{a}}s Pajdla and Bernt Schiele and Tinne Tuytelaars}, title = {Pose Filter Based Hidden-CRF Models for Activity Detection}, booktitle = {Computer Vision - {ECCV} 2014 - 13th European Conference, Zurich, Switzerland, September 6-12, 2014, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8690}, pages = {711--726}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-10605-2\_46}, doi = {10.1007/978-3-319-10605-2\_46}, timestamp = {Sat, 30 Sep 2023 09:39:19 +0200}, biburl = {https://dblp.org/rec/conf/eccv/BanerjeeN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/BanerjeePBSA12, author = {Prithviraj Banerjee and Chandrakant D. Patel and Cullen E. Bash and Amip Shah and Martin F. Arlitt}, title = {Towards a net-zero data center}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {8}, number = {4}, pages = {27:1--27:39}, year = {2012}, url = {https://doi.org/10.1145/2367736.2367738}, doi = {10.1145/2367736.2367738}, timestamp = {Wed, 08 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/BanerjeePBSA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/JoishaSBBC12, author = {Pramod G. Joisha and Robert S. Schreiber and Prithviraj Banerjee and Hans{-}Juergen Boehm and Dhruva R. Chakrabarti}, title = {On a Technique for Transparently Empowering Classical Compiler Optimizations on Multithreaded Code}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {34}, number = {2}, pages = {9:1--9:42}, year = {2012}, url = {https://doi.org/10.1145/2220365.2220368}, doi = {10.1145/2220365.2220368}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toplas/JoishaSBBC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/BanerjeeN12, author = {Prithviraj Banerjee and Ramakant Nevatia}, title = {Pose based activity recognition using Multiple Kernel learning}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {445--448}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6460167/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/BanerjeeN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/BanerjeeFBGHMPRV11, author = {Prith Banerjee and Rich Friedrich and Cullen E. Bash and Patrick Goldsack and Bernardo A. Huberman and John Manley and Chandrakant D. Patel and Parthasarathy Ranganathan and Alistair C. Veitch}, title = {Everything as a Service: Powering the New Information Economy}, journal = {Computer}, volume = {44}, number = {3}, pages = {36--43}, year = {2011}, url = {https://doi.org/10.1109/MC.2011.67}, doi = {10.1109/MC.2011.67}, timestamp = {Wed, 08 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/BanerjeeFBGHMPRV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/GaoMZB11, author = {Lei Gao and Gaurav Mittal and David Zaretsky and Prith Banerjee}, editor = {David Merodio and Tughrul Arslan and Umeshkumar D. Patel and Didier Keymeulen and Khaled Benkrid and Ahmet T. Erdogan and Michael Newell and Luca Fossati and Duane Armstrong}, title = {Resource optimization and deadlock prevention while generating streaming architectures from ordinary programs}, booktitle = {2011 {NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2011, San Diego, California, USA, June 6-9, 2011}, pages = {9--16}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/AHS.2011.5963928}, doi = {10.1109/AHS.2011.5963928}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/ahs/GaoMZB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/BanerjeeN11, author = {Prithviraj Banerjee and Ram Nevatia}, title = {Learning neighborhood cooccurrence statistics of sparse features for human activity recognition}, booktitle = {8th {IEEE} International Conference on Advanced Video and Signal-Based Surveillance, {AVSS} 2011, Klagenfurt, Austria, August 30 - September 2, 2011}, pages = {212--217}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/AVSS.2011.6027324}, doi = {10.1109/AVSS.2011.6027324}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/BanerjeeN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/ChakrabartiBBJS11, author = {Dhruva R. Chakrabarti and Prithviraj Banerjee and Hans{-}Juergen Boehm and Pramod G. Joisha and Robert S. Schreiber}, title = {The runtime abort graph and its application to software transactional memory optimization}, booktitle = {Proceedings of the {CGO} 2011, The 9th International Symposium on Code Generation and Optimization, Chamonix, France, April 2-6, 2011}, pages = {42--53}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CGO.2011.5764673}, doi = {10.1109/CGO.2011.5764673}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/ChakrabartiBBJS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/popl/JoishaSBBC11, author = {Pramod G. Joisha and Robert S. Schreiber and Prithviraj Banerjee and Hans{-}Juergen Boehm and Dhruva R. Chakrabarti}, editor = {Thomas Ball and Mooly Sagiv}, title = {A technique for the effective and automatic reuse of classical compiler optimizations on multithreaded code}, booktitle = {Proceedings of the 38th {ACM} {SIGPLAN-SIGACT} Symposium on Principles of Programming Languages, {POPL} 2011, Austin, TX, USA, January 26-28, 2011}, pages = {623--636}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1926385.1926457}, doi = {10.1145/1926385.1926457}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/popl/JoishaSBBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/BanerjeeFM10, author = {Prith Banerjee and Rich Friedrich and Lueny Morell}, title = {Open Innovation at {HP} Labs}, journal = {Computer}, volume = {43}, number = {11}, pages = {88--90}, year = {2010}, url = {https://doi.org/10.1109/MC.2010.322}, doi = {10.1109/MC.2010.322}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computer/BanerjeeFM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/BanerjeeN10, author = {Prithviraj Banerjee and Ram Nevatia}, title = {Dynamics Based Trajectory Segmentation for {UAV} videos}, booktitle = {Seventh {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2010, Boston, MA, USA, August 29 - September 1, 2010}, pages = {345--352}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/AVSS.2010.23}, doi = {10.1109/AVSS.2010.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/BanerjeeN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcn/Banerjee10, author = {Prith Banerjee}, editor = {Krishna Kant and Sriram V. Pemmaraju and Krishna M. Sivalingam and Jie Wu}, title = {An Intelligent {IT} Infrastructure for the Future}, booktitle = {Distributed Computing and Networking, 11th International Conference, {ICDCN} 2010, Kolkata, India, January 3-6, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5935}, pages = {1}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-11322-2\_1}, doi = {10.1007/978-3-642-11322-2\_1}, timestamp = {Thu, 13 Feb 2020 15:16:46 +0100}, biburl = {https://dblp.org/rec/conf/icdcn/Banerjee10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/GaoZMSB10, author = {Lei Gao and David Zaretsky and Gaurav Mittal and Dan Schonfeld and Prith Banerjee}, title = {Automatic Generation of Stream Descriptors for Streaming Architectures}, booktitle = {39th International Conference on Parallel Processing, {ICPP} 2010, San Diego, California, USA, 13-16 September 2010}, pages = {307--312}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPP.2010.38}, doi = {10.1109/ICPP.2010.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/GaoZMSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/NatarajanBN10, author = {Pradeep Natarajan and Prithviraj Banerjee and Ram Nevatia}, editor = {Rama Chellappa and Padmanabhan Anandan and A. N. Rajagopalan and P. J. Narayanan and Philip H. S. Torr}, title = {Accurate person tracking through changing poses for multi-view action recognition}, booktitle = {Seventh Indian Conference on Computer Vision, Graphics and Image Processing, {ICVGIP} '10, Chennai, India, December 12-15, 2010}, pages = {155--161}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1924559.1924580}, doi = {10.1145/1924559.1924580}, timestamp = {Fri, 05 Mar 2021 08:48:09 +0100}, biburl = {https://dblp.org/rec/conf/icvgip/NatarajanBN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiverisZB09, author = {Nikolaos D. Liveris and Hai Zhou and Prithviraj Banerjee}, editor = {Kazutoshi Wakabayashi}, title = {Complete-k-distinguishability for retiming and resynthesis equivalence checking without restricting synthesis}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {636--641}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796552}, doi = {10.1109/ASPDAC.2009.4796552}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiverisZB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BanerjeePBR09, author = {Prith Banerjee and Chandrakant D. Patel and Cullen E. Bash and Parthasarathy Ranganathan}, title = {Sustainable data centers: enabled by supply and demand side management}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {884--887}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630138}, doi = {10.1145/1629911.1630138}, timestamp = {Wed, 08 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BanerjeePBR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/MittalZB09, author = {Gaurav Mittal and David Zaretsky and Prithviraj Banerjee}, editor = {Paul Chow and Peter Y. K. Cheung}, title = {Streaming implementation of a sequential decompression algorithm on an {FPGA}}, booktitle = {Proceedings of the {ACM/SIGDA} 17th International Symposium on Field Programmable Gate Arrays, {FPGA} 2009, Monterey, California, USA, February 22-24, 2009}, pages = {283}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1508128.1508195}, doi = {10.1145/1508128.1508195}, timestamp = {Tue, 06 Nov 2018 16:58:23 +0100}, biburl = {https://dblp.org/rec/conf/fpga/MittalZB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/Banerjee09, author = {Prith Banerjee}, title = {An intelligent {IT} infrastructure for the future}, booktitle = {15th International Conference on High-Performance Computer Architecture {(HPCA-15} 2009), 14-18 February 2009, Raleigh, North Carolina, {USA}}, pages = {3--4}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HPCA.2009.4798230}, doi = {10.1109/HPCA.2009.4798230}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/hpca/Banerjee09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoMZSB09, author = {Lei Gao and Gaurav Mittal and David Zaretsky and Dan Schonfeld and Prithviraj Banerjee}, title = {An Automated Algorithm to Generate Stream Programs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {1505--1508}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118053}, doi = {10.1109/ISCAS.2009.5118053}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoMZSB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZaretskyMB09, author = {David Zaretsky and Gaurav Mittal and Prithviraj Banerjee}, title = {Streaming Implementation of the {ZLIB} Decoder Algorithm on an {FPGA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {2329--2332}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118266}, doi = {10.1109/ISCAS.2009.5118266}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZaretskyMB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/GaoZMSB09, author = {Lei Gao and David Zaretsky and Gaurav Mittal and Dan Schonfeld and Prith Banerjee}, title = {A software pipelining algorithm in high-level synthesis for {FPGA} architectures}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {297--302}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810311}, doi = {10.1109/ISQED.2009.4810311}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/GaoZMSB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiverisZB08, author = {Nikolaos D. Liveris and Hai Zhou and Prithviraj Banerjee}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A dynamic-programming algorithm for reducing the energy consumption of pipelined System-Level streaming applications}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {42--48}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483989}, doi = {10.1109/ASPDAC.2008.4483989}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiverisZB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/LiverisZDB08, author = {Nikolaos D. Liveris and Hai Zhou and Robert P. Dick and Prithviraj Banerjee}, editor = {Luca de Alfaro and Jens Palsberg}, title = {State space abstraction for parameterized self-stabilizing embedded systems}, booktitle = {Proceedings of the 8th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2008, Atlanta, GA, USA, October 19-24, 2008}, pages = {11--20}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1450058.1450061}, doi = {10.1145/1450058.1450061}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/LiverisZDB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/JoishaB07, author = {Pramod G. Joisha and Prithviraj Banerjee}, title = {A translator system for the {MATLAB} language}, journal = {Softw. Pract. Exp.}, volume = {37}, number = {5}, pages = {535--578}, year = {2007}, url = {https://doi.org/10.1002/spe.781}, doi = {10.1002/SPE.781}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/JoishaB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MallikSBZ07, author = {Arindam Mallik and Debjit Sinha and Prithviraj Banerjee and Hai Zhou}, title = {Low-Power Optimization by Smart Bit-Width Allocation in a SystemC-Based {ASIC} Design Environment}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {3}, pages = {447--455}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2006.888291}, doi = {10.1109/TCAD.2006.888291}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MallikSBZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MittalZTB07, author = {Gaurav Mittal and David Zaretsky and Xiaoyong Tang and Prithviraj Banerjee}, title = {An Overview of a Compiler for Mapping Software Binaries to Hardware}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {11}, pages = {1177--1190}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.904095}, doi = {10.1109/TVLSI.2007.904095}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/MittalZTB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiverisLWZB07, author = {Nikolaos D. Liveris and Chuan Lin and J. Wang and Hai Zhou and Prithviraj Banerjee}, title = {Retiming for Synchronous Data Flow Graphs}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {480--485}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.358032}, doi = {10.1109/ASPDAC.2007.358032}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiverisLWZB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ZaretskyMDB07, author = {David Zaretsky and Gaurav Mittal and Robert P. Dick and Prith Banerjee}, title = {Balanced Scheduling and Operation Chaining in High-Level Synthesis for {FPGA} Designs}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {595--601}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.41}, doi = {10.1109/ISQED.2007.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ZaretskyMDB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijspm/JiangTB06, author = {Tianyi Jiang and Xiaoyong Tang and Prith Banerjee}, title = {Macro-models for high-level area and power estimation on FPGAs}, journal = {Int. J. Simul. Process. Model.}, volume = {2}, number = {1/2}, pages = {12--19}, year = {2006}, url = {https://doi.org/10.1504/IJSPM.2006.009007}, doi = {10.1504/IJSPM.2006.009007}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijspm/JiangTB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/JoishaB06, author = {Pramod G. Joisha and Prithviraj Banerjee}, title = {An algebraic array shape inference system for {MATLAB}}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {28}, number = {5}, pages = {848--907}, year = {2006}, url = {https://doi.org/10.1145/1152649.1152651}, doi = {10.1145/1152649.1152651}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toplas/JoishaB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MallikSBZ06, author = {Arindam Mallik and Debjit Sinha and Prithviraj Banerjee and Hai Zhou}, editor = {Georges G. E. Gielen}, title = {Smart bit-width allocation for low power optimization in a systemc based {ASIC} design environment}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {618--623}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243996}, doi = {10.1109/DATE.2006.243996}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MallikSBZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ZaretskyMDB06, author = {David Zaretsky and Gaurav Mittal and Robert P. Dick and Prith Banerjee}, title = {Dynamic Template Generation for Resource Sharing in Control and Data Flow Graphs}, booktitle = {19th International Conference on {VLSI} Design {(VLSI} Design 2006), 3-7 January 2006, Hyderabad, India}, pages = {465--468}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/VLSID.2006.75}, doi = {10.1109/VLSID.2006.75}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ZaretskyMDB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/TangJJB05, author = {Xiaoyong Tang and Tianyi Jiang and Alex K. Jones and Prithviraj Banerjee}, title = {High-Level Synthesis for Low Power Hardware Implementation of Unscheduled Data-Dominated Circuits}, journal = {J. Low Power Electron.}, volume = {1}, number = {3}, pages = {259--272}, year = {2005}, url = {https://doi.org/10.1166/jolpe.2005.050}, doi = {10.1166/JOLPE.2005.050}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/TangJJB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/RoyB05, author = {Sanghamitra Roy and Prith Banerjee}, title = {An Algorithm for Trading Off Quantization Error with Hardware Resources for MATLAB-Based {FPGA} Design}, journal = {{IEEE} Trans. Computers}, volume = {54}, number = {7}, pages = {886--896}, year = {2005}, url = {https://doi.org/10.1109/TC.2005.106}, doi = {10.1109/TC.2005.106}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/RoyB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MittalZMB05, author = {Gaurav Mittal and David Zaretsky and Gokhan Memik and Prith Banerjee}, editor = {Tingao Tang}, title = {Automatic extraction of function bodies from software binaries}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {928--931}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1121072}, doi = {10.1145/1120725.1121072}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MittalZMB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiverisZB05, author = {Nikolaos D. Liveris and Hai Zhou and Prithviraj Banerjee}, title = {An Efficient System-Level to {RTL} Verification Framework for Computation-Intensive Applications}, booktitle = {14th Asian Test Symposium {(ATS} 2005), 18-21 December 2005, Calcutta, India}, pages = {28--33}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ATS.2005.24}, doi = {10.1109/ATS.2005.24}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LiverisZB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TangZB05, author = {Xiaoyong Tang and Hai Zhou and Prithviraj Banerjee}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Leakage power optimization with dual-V\({}_{\mbox{th}}\) library in high-level synthesis}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {202--207}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065634}, doi = {10.1145/1065579.1065634}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TangZB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/ZaretskyMDB05, author = {David Zaretsky and Gaurav Mittal and Robert P. Dick and Prith Banerjee}, editor = {Eduard Ayguad{\'{e}} and Gerald Baumgartner and J. Ramanujam and P. Sadayappan}, title = {Generation of Control and Data Flow Graphs from Scheduled and Pipelined Assembly Code}, booktitle = {Languages and Compilers for Parallel Computing, 18th International Workshop, {LCPC} 2005, Hawthorne, NY, USA, October 20-22, 2005, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4339}, pages = {76--90}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-69330-7\_6}, doi = {10.1007/978-3-540-69330-7\_6}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/ZaretskyMDB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/TangJJB05, author = {Xiaoyong Tang and Tianyi Jiang and Alex K. Jones and Prithviraj Banerjee}, title = {Behavioral Synthesis of Data-Dominated Circuits for Minimal Energy Implementation}, booktitle = {18th International Conference on {VLSI} Design {(VLSI} Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}, pages = {267--273}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICVD.2005.62}, doi = {10.1109/ICVD.2005.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/TangJJB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BanerjeeHNKSPBPTZAU04, author = {Prithviraj Banerjee and Malay Haldar and Anshuman Nayak and Victor Kim and Vikram Saxena and Steven Parkes and Debabrata Bagchi and Satrajit Pal and Nikhil Tripathi and David Zaretsky and Robert Anderson and Juan Ramon Uribe}, title = {Overview of a compiler for synthesizing {MATLAB} programs onto FPGAs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {12}, number = {3}, pages = {312--324}, year = {2004}, url = {https://doi.org/10.1109/TVLSI.2004.824301}, doi = {10.1109/TVLSI.2004.824301}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BanerjeeHNKSPBPTZAU04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MittalZTB04, author = {Gaurav Mittal and David Zaretsky and Xiaoyong Tang and Prithviraj Banerjee}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Automatic translation of software binaries onto FPGAs}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {389--394}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996678}, doi = {10.1145/996566.996678}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MittalZTB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RoyB04, author = {Sanghamitra Roy and Prithviraj Banerjee}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {An algorithm for converting floating-point computations to fixed-point in {MATLAB} based {FPGA} design}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {484--487}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996701}, doi = {10.1145/996566.996701}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RoyB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiverisB04, author = {Nikolaos D. Liveris and Prithviraj Banerjee}, title = {Power Aware Interface Synthesis for Bus-Based SoC Design}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {864--869}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268995}, doi = {10.1109/DATE.2004.1268995}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiverisB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/ZaretskyMTB04, author = {David Zaretsky and Gaurav Mittal and Xiaoyong Tang and Prithviraj Banerjee}, title = {Overview of the {FREEDOM} Compiler for Mapping {DSP} Software to FPGAs}, booktitle = {12th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2004), 20-23 April 2004, Napa, CA, USA, Proceedings}, pages = {37--46}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/FCCM.2004.44}, doi = {10.1109/FCCM.2004.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/ZaretskyMTB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/JiangTB04, author = {Tianyi Jiang and Xiaoyong Tang and Prithviraj Banerjee}, editor = {Russell Tessier and Herman Schmit}, title = {High level area, delay and power estimation for FPGAs}, booktitle = {Proceedings of the {ACM/SIGDA} 12th International Symposium on Field Programmable Gate Arrays, {FPGA} 2004, Monterey, California, USA, February 22-24, 2004}, pages = {249}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/968280.968329}, doi = {10.1145/968280.968329}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/JiangTB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/RoySB04, author = {Sanghamitra Roy and Debjit Sinha and Prithviraj Banerjee}, editor = {Russell Tessier and Herman Schmit}, title = {An algorithm for trading off quantization error with hardware resources for {MATLAB} based {FPGA} design}, booktitle = {Proceedings of the {ACM/SIGDA} 12th International Symposium on Field Programmable Gate Arrays, {FPGA} 2004, Monterey, California, USA, February 22-24, 2004}, pages = {256}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/968280.968349}, doi = {10.1145/968280.968349}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/RoySB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/JiangTB04, author = {Tianyi Jiang and Xiaoyong Tang and Prithviraj Banerjee}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {Macro-models for high level area and power estimation on FPGAs}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {162--165}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.988992}, doi = {10.1145/988952.988992}, timestamp = {Fri, 20 Aug 2021 16:30:37 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/JiangTB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ZaretskyMTB04, author = {David Zaretsky and Gaurav Mittal and Xiaoyong Tang and Prithviraj Banerjee}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {Evaluation of scheduling and allocation algorithms while mapping assembly code onto FPGAs}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {397--400}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.989048}, doi = {10.1145/988952.989048}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ZaretskyMTB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/MukherjeeJB04, author = {Rajarshi Mukherjee and Alex K. Jones and Prithviraj Banerjee}, title = {Handling Data Streams while Compiling {C} Programs onto Hardware}, booktitle = {2004 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2004), Emerging Trends in {VLSI} Systems Design, 19-20 February 2004, Lafayette, LA, {USA}}, pages = {271--272}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISVLSI.2004.1339553}, doi = {10.1109/ISVLSI.2004.1339553}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/MukherjeeJB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/MishraB03, author = {Amitabh Mishra and Prithviraj Banerjee}, title = {An Algorithm-Based Error Detection Scheme for the Multigrid Method}, journal = {{IEEE} Trans. Computers}, volume = {52}, number = {9}, pages = {1089--1099}, year = {2003}, url = {https://doi.org/10.1109/TC.2003.1228507}, doi = {10.1109/TC.2003.1228507}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/MishraB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KandemirCRB03, author = {Mahmut T. Kandemir and Alok N. Choudhary and J. Ramanujam and Prithviraj Banerjee}, title = {Reducing False Sharing and Improving Spatial Locality in a Unified Compilation Framework}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {14}, number = {4}, pages = {337--354}, year = {2003}, url = {https://doi.org/10.1109/TPDS.2003.1195407}, doi = {10.1109/TPDS.2003.1195407}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KandemirCRB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ReussMMBBHS03, author = {Robert Reuss and Jose L. Mu{\~{n}}oz and Toshiaki Miyazaki and Nader Bagherzadeh and Prith Banerjee and Brad L. Hutchings and Brian Schott}, editor = {Hiroto Yasuura}, title = {Adaptive computing: what can it do, where can it go?}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {463}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119866}, doi = {10.1145/1119772.1119866}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ReussMMBBHS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Banerjee03, author = {Prith Banerjee}, editor = {Hiroto Yasuura}, title = {An overview of a compiler for mapping {MATLAB} programs onto FPGAs}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {477--482}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119870}, doi = {10.1145/1119772.1119870}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/Banerjee03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/JoishaB03, author = {Pramod G. Joisha and Prithviraj Banerjee}, editor = {G{\"{o}}rel Hedin}, title = {The {MAGICA} Type Inference Engine for {MATLAB}}, booktitle = {Compiler Construction, 12th International Conference, {CC} 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, {ETAPS} 2003, Warsaw, Poland, April 7-11, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2622}, pages = {121--125}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-36579-6\_9}, doi = {10.1007/3-540-36579-6\_9}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/cc/JoishaB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/BanerjeeBHNKU03, author = {Prithviraj Banerjee and Debabrata Bagchi and Malay Haldar and Anshuman Nayak and Victor Kim and R. Uribe}, title = {Automatic Conversion of Floating Point {MATLAB} Programs into Fixed Point {FPGA} Based Hardware Design}, booktitle = {11th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2003), 8-11 April 2003, Napa, CA, USA, Proceedings}, pages = {263--264}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/FPGA.2003.1227262}, doi = {10.1109/FPGA.2003.1227262}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/BanerjeeBHNKU03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/JonesB03, author = {Alex K. Jones and Prithviraj Banerjee}, title = {An Automated and Power-Aware Framework for Utilization of {IP} Cores in Hardware Generated from {C} Descriptions Targeting FPGAs}, booktitle = {11th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2003), 8-11 April 2003, Napa, CA, USA, Proceedings}, pages = {284--285}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/FPGA.2003.1227272}, doi = {10.1109/FPGA.2003.1227272}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/JonesB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/BanerjeeSUHNKBPTA03, author = {Prithviraj Banerjee and Vikram Saxena and Juan Ramon Uribe and Malay Haldar and Anshuman Nayak and Victor Kim and Debabrata Bagchi and Satrajit Pal and Nikhil Tripathi and Robert Anderson}, editor = {Steve Trimberger and Russell Tessier}, title = {Making area-performance tradeoffs at the high level using the AccelFPGA compiler for FPGAs}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2003, Monterey, CA, USA, February 23-25, 2003}, pages = {237}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/611817.611854}, doi = {10.1145/611817.611854}, timestamp = {Tue, 09 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/BanerjeeSUHNKBPTA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/JonesB03, author = {Alex K. Jones and Prithviraj Banerjee}, editor = {Steve Trimberger and Russell Tessier}, title = {An automated and power-aware framework for utilization of {IP} cores in hardware generated from {C} descriptions targeting FPGAs}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2003, Monterey, CA, USA, February 23-25, 2003}, pages = {244}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/611817.611873}, doi = {10.1145/611817.611873}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/JonesB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/JoishaB03, author = {Pramod G. Joisha and Prithviraj Banerjee}, editor = {Ron Cytron and Rajiv Gupta}, title = {Static array storage optimization in {MATLAB}}, booktitle = {Proceedings of the {ACM} {SIGPLAN} 2003 Conference on Programming Language Design and Implementation 2003, San Diego, California, USA, June 9-11, 2003}, pages = {258--268}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/781131.781160}, doi = {10.1145/781131.781160}, timestamp = {Fri, 25 Jun 2021 17:17:37 +0200}, biburl = {https://dblp.org/rec/conf/pldi/JoishaB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KrishnaswamyHB02, author = {Venkatram Krishnaswamy and Gagan Hasteer and Prithviraj Banerjee}, title = {Automatic Parallelization of Compiled Event Driven {VHDL} Simulation}, journal = {{IEEE} Trans. Computers}, volume = {51}, number = {4}, pages = {380--394}, year = {2002}, url = {https://doi.org/10.1109/12.995448}, doi = {10.1109/12.995448}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KrishnaswamyHB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/JonesBPTCB02, author = {Alex K. Jones and Debabrata Bagchi and Satrajit Pal and Xiaoyong Tang and Alok N. Choudhary and Prithviraj Banerjee}, editor = {Shuvra S. Bhattacharyya and Trevor N. Mudge and Wayne H. Wolf and Ahmed Amine Jerraya}, title = {{PACT} {HDL:} a {C} compiler targeting ASICs and FPGAs with power and performance optimizations}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2002, Greenoble, France, October 8-11, 2002}, pages = {188--197}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/581630.581659}, doi = {10.1145/581630.581659}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/JonesBPTCB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NayakHCB02, author = {Anshuman Nayak and Malay Haldar and Alok N. Choudhary and Prithviraj Banerjee}, title = {Accurate Area and Delay Estimators for FPGAs}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {862--869}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998400}, doi = {10.1109/DATE.2002.998400}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NayakHCB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdc/BanerjeeHNKBPT02, author = {Prithviraj Banerjee and Malay Haldar and Anshuman Nayak and Victor Kim and Debabrata Bagchi and Satrajit Pal and Nikhil Tripathi}, editor = {Sajal K. Das and Swapan Bhattacharya}, title = {A Behavioral Synthesis Tool for Exploiting Fine Grain Parallelism in FPGAs}, booktitle = {Distributed Computing, Mobile and Wireless Computing 4th International Workshop, {IWDC} 2002, Calcutta, India, December 28-31, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2571}, pages = {246--256}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36385-8\_25}, doi = {10.1007/3-540-36385-8\_25}, timestamp = {Tue, 10 Sep 2019 13:47:28 +0200}, biburl = {https://dblp.org/rec/conf/iwdc/BanerjeeHNKBPT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/ChakrabartiB01, author = {Dhruva R. Chakrabarti and Prithviraj Banerjee}, title = {Static Single Assignment Form for Message-Passing Programs}, journal = {Int. J. Parallel Program.}, volume = {29}, number = {2}, pages = {139--184}, year = {2001}, url = {https://doi.org/10.1023/A:1007633018973}, doi = {10.1023/A:1007633018973}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/ChakrabartiB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/YuanB01, author = {Yanhong Yuan and Prithviraj Banerjee}, title = {A Parallel Implementation of a Fast Multipole-Based 3-D Capacitance Extraction Program on Distributed Memory Multicomputers}, journal = {J. Parallel Distributed Comput.}, volume = {61}, number = {12}, pages = {1751--1774}, year = {2001}, url = {https://doi.org/10.1006/jpdc.2001.1725}, doi = {10.1006/JPDC.2001.1725}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/YuanB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigapl/JoishaKBSC01, author = {Pramod G. Joisha and Abhay Kanhere and Prithviraj Banerjee and U. Nagaraj Shenoy and Alok N. Choudhary}, title = {Handling context-sensitive syntactic issues in the design of a front-end for a {MATLAB} compiler}, journal = {{ACM} {SIGAPL} {APL} Quote Quad}, volume = {31}, number = {3}, pages = {27--40}, year = {2001}, url = {https://doi.org/10.1145/969781.969784}, doi = {10.1145/969781.969784}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigapl/JoishaKBSC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KandemirRCB01, author = {Mahmut T. Kandemir and J. Ramanujam and Alok N. Choudhary and Prithviraj Banerjee}, title = {A Layout-Conscious Iteration Space Transformation Technique}, journal = {{IEEE} Trans. Computers}, volume = {50}, number = {12}, pages = {1321--1336}, year = {2001}, url = {https://doi.org/10.1109/TC.2001.970571}, doi = {10.1109/TC.2001.970571}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KandemirRCB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ShenoyCB01, author = {U. Nagaraj Shenoy and Alok N. Choudhary and Prithviraj Banerjee}, title = {An algorithm for synthesis of large time-constrained heterogeneous adaptive systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {6}, number = {2}, pages = {207--225}, year = {2001}, url = {https://doi.org/10.1145/375977.375979}, doi = {10.1145/375977.375979}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ShenoyCB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/JoishaB01, author = {Pramod G. Joisha and Prithviraj Banerjee}, title = {The Efficient Computation of Ownership Sets in {HPF}}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {12}, number = {8}, pages = {769--788}, year = {2001}, url = {https://doi.org/10.1109/71.946650}, doi = {10.1109/71.946650}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/JoishaB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KandemirBCRA01, author = {Mahmut T. Kandemir and Prithviraj Banerjee and Alok N. Choudhary and J. Ramanujam and Eduard Ayguad{\'{e}}}, title = {Static and Dynamic Locality Optimizations Using Integer Linear Programming}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {12}, number = {9}, pages = {922--941}, year = {2001}, url = {https://doi.org/10.1109/TPDS.2001.1184186}, doi = {10.1109/TPDS.2001.1184186}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KandemirBCRA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/NayakHBCS01, author = {Anshuman Nayak and Malay Haldar and Prith Banerjee and Chunhong Chen and Majid Sarrafzadeh}, title = {Power Optimization of Delay Constrained Circuits}, journal = {{VLSI} Design}, volume = {12}, number = {2}, pages = {125--138}, year = {2001}, url = {https://doi.org/10.1155/2001/65638}, doi = {10.1155/2001/65638}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/NayakHBCS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apl/JoishaB01, author = {Pramod G. Joisha and Prithviraj Banerjee}, title = {Correctly detecting intrinsic type errors in typeless languages such as {MATLAB}}, booktitle = {Proceedings of the 2001 International Conference on {APL:} An Arrays Odyssey, Yale University, New Haven, Connecticut, USA, June 25-28, 2001}, pages = {7--21}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/570407.570408}, doi = {10.1145/570407.570408}, timestamp = {Tue, 15 Jun 2021 11:59:16 +0200}, biburl = {https://dblp.org/rec/conf/apl/JoishaB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HaldarNCB01, author = {Malay Haldar and Anshuman Nayak and Alok N. Choudhary and Prithviraj Banerjee}, editor = {Satoshi Goto}, title = {Automated synthesis of pipelined designs on FPGAs for signal and image processing applications described in {MATLAB}}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {645--648}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370572}, doi = {10.1145/370155.370572}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HaldarNCB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cosps/PalermoHB01, author = {Daniel J. Palermo and Eugene W. Hodges IV and Prithviraj Banerjee}, editor = {Santosh Pande and Dharma P. Agrawal}, title = {Compiler Optimization of Dynamic Data Distributions for Distributed-Memory Multicomputers}, booktitle = {Compiler Optimizations for Scalable Parallel Systems Languages, Compilation Techniques, and Run Time Systems}, series = {Lecture Notes in Computer Science}, volume = {1808}, pages = {445--484}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45403-9\_13}, doi = {10.1007/3-540-45403-9\_13}, timestamp = {Sun, 02 Oct 2022 15:58:02 +0200}, biburl = {https://dblp.org/rec/conf/cosps/PalermoHB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NayakHCB01, author = {Anshuman Nayak and Malay Haldar and Alok N. Choudhary and Prithviraj Banerjee}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Precision and error analysis of {MATLAB} applications during automated hardware synthesis for FPGAs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {722--728}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915108}, doi = {10.1109/DATE.2001.915108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NayakHCB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/NayakHCB01, author = {Anshuman Nayak and Malay Haldar and Alok N. Choudhary and Prith Banerjee}, title = {Parallelization of {MATLAB} Applications for a Multi-FPGA System}, booktitle = {The 9th Annual {IEEE} Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2001, Rohnert Park, California, USA, April 29 - May 2, 2001}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.ieeecomputersociety.org/10.1109/FCCM.2001.34}, doi = {10.1109/FCCM.2001.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/NayakHCB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HaldarNCB01, author = {Malay Haldar and Anshuman Nayak and Alok N. Choudhary and Prithviraj Banerjee}, editor = {Rolf Ernst}, title = {A System for Synthesizing Optimized {FPGA} Hardware from {MATLAB}}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {314--319}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968639}, doi = {10.1109/ICCAD.2001.968639}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HaldarNCB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChakrabartiB01, author = {Dhruva R. Chakrabarti and Prithviraj Banerjee}, editor = {Mario Mango Furnari and Efstratios Gallopoulos}, title = {Global optimization techniques for automatic parallelization of hybrid applications}, booktitle = {Proceedings of the 15th international conference on Supercomputing, {ICS} 2001, Sorrento, Napoli, Italy, June 16-21, 2001}, pages = {166--180}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/377792.377827}, doi = {10.1145/377792.377827}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChakrabartiB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/JoishaSB01, author = {Pramod G. Joisha and U. Nagaraj Shenoy and Prithviraj Banerjee}, editor = {Henry G. Dietz}, title = {Computing Array Shapes in {MATLAB}}, booktitle = {Languages and Compilers for Parallel Computing, 14th International Workshop, {LCPC} 2001, Cumberland Falls, KY, USA, August 1-3, 2001. Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2624}, pages = {395--410}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-35767-X\_26}, doi = {10.1007/3-540-35767-X\_26}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/JoishaSB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/HaldarNCBS01, author = {Malay Haldar and Anshuman Nayak and Alok N. Choudhary and Prithviraj Banerjee and U. Nagaraj Shenoy}, title = {Fpga Hardware Synthesis From Matlab}, booktitle = {14th International Conference on {VLSI} Design {(VLSI} Design 2001), 3-7 January 2001, Bangalore, India}, pages = {299--304}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICVD.2001.902676}, doi = {10.1109/ICVD.2001.902676}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/HaldarNCBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ShenoyBCK01, author = {U. Nagaraj Shenoy and Prithviraj Banerjee and Alok N. Choudhary and Mahmut T. Kandemir}, title = {Efficient Synthesis of Array Intensive Computations onto {FPGA} Based Accelerators}, booktitle = {14th International Conference on {VLSI} Design {(VLSI} Design 2001), 3-7 January 2001, Bangalore, India}, pages = {305--310}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICVD.2001.902677}, doi = {10.1109/ICVD.2001.902677}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ShenoyBCK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LainCB00, author = {Antonio Lain and Dhruva R. Chakrabarti and Prithviraj Banerjee}, title = {Compiler and Run-Time Support for Exploiting Regularity within Irregular Applications}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {11}, number = {2}, pages = {119--135}, year = {2000}, url = {https://doi.org/10.1109/71.841749}, doi = {10.1109/71.841749}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LainCB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KandemirCBRS00, author = {Mahmut T. Kandemir and Alok N. Choudhary and Prithviraj Banerjee and J. Ramanujam and U. Nagaraj Shenoy}, title = {Minimizing Data and Synchronization Costs in One-Way Communication}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {11}, number = {12}, pages = {1232--1251}, year = {2000}, url = {https://doi.org/10.1109/71.895791}, doi = {10.1109/71.895791}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KandemirCBRS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/HaldarNCB00, author = {Malay Haldar and Anshuman Nayak and Alok N. Choudhary and Prithviraj Banerjee}, title = {Scheduling algorithms for automated synthesis of pipelined designs on FPGAs for applications described in {MATLAB}}, booktitle = {Proceedings of the 2000 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2000, San Jose, California, USA, November 7-18, 2000}, pages = {85--93}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/354880.354893}, doi = {10.1145/354880.354893}, timestamp = {Tue, 06 Nov 2018 11:07:42 +0100}, biburl = {https://dblp.org/rec/conf/cases/HaldarNCB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShenoyBC00, author = {U. Nagaraj Shenoy and Prithviraj Banerjee and Alok N. Choudhary}, editor = {Ivo Bolsens}, title = {A System-Level Synthesis Algorithm with Guaranteed Solution Quality}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {417--424}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840305}, doi = {10.1109/DATE.2000.840305}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShenoyBC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/BanerjeeSCHBHJJKNPWZ00, author = {Prithviraj Banerjee and U. Nagaraj Shenoy and Alok N. Choudhary and Scott Hauck and C. Bachmann and Malay Haldar and Pramod G. Joisha and Alex K. Jones and Abhay Kanhere and Anshuman Nayak and S. Periyacheri and M. Walkden and David Zaretsky}, title = {A {MATLAB} Compiler for Distributed, Heterogeneous, Reconfigurable Computing Systems}, booktitle = {8th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2000), 17-19 April 2000, Napa Valley, CA, USA, Proceedings}, pages = {39--48}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/FPGA.2000.903391}, doi = {10.1109/FPGA.2000.903391}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/BanerjeeSCHBHJJKNPWZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/YeSB00, author = {Zhi Alex Ye and U. Nagaraj Shenoy and Prithviraj Banerjee}, editor = {Steve Trimberger and Scott Hauck}, title = {A {C} compiler for a processor with a reconfigurable functional unit}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2000, Monterey, CA, USA, February 10-11, 2000}, pages = {95--100}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/329166.329187}, doi = {10.1145/329166.329187}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/YeSB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/HaldarNCB00, author = {Malay Haldar and Anshuman Nayak and Alok N. Choudhary and Prithviraj Banerjee}, editor = {Majid Sarrafzadeh and Prithviraj Banerjee and Kaushik Roy}, title = {Parallel algorithms for {FPGA} placement}, booktitle = {Proceedings of the 10th {ACM} Great Lakes Symposium on {VLSI} 2000, Chicago, Illinois, USA, March 2-4, 2000}, pages = {86--94}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330855.330988}, doi = {10.1145/330855.330988}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/HaldarNCB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuanB00, author = {Yanhong Yuan and Prithviraj Banerjee}, title = {Comparative Study of Parallel Algorithms for 3-D Capacitance Extraction on Distributed Memory Multiprocessors}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design: {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas, USA, September 17-20, 2000}, pages = {133--138}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCD.2000.878279}, doi = {10.1109/ICCD.2000.878279}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YuanB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HaldarNKJSCB00, author = {Malay Haldar and Anshuman Nayak and Abhay Kanhere and Pramod G. Joisha and U. Nagaraj Shenoy and Alok N. Choudhary and Prithviraj Banerjee}, title = {Match Virtual Machine: An Adaptive Runtime System to Execute {MATLAB} in Parallel}, booktitle = {Proceedings of the 2000 International Conference on Parallel Processing, {ICPP} 2000, Toronto, Canada, August 21-24, 2000}, pages = {145--152}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICPP.2000.876100}, doi = {10.1109/ICPP.2000.876100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/HaldarNKJSCB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KimBD00, author = {Victor Kim and Prithviraj Banerjee and Kaushik De}, title = {Fine-Grained Parallel {VLSI} Synthesis for Commercial {CAD} on a Network of Workstations}, booktitle = {Proceedings of the 2000 International Conference on Parallel Processing, {ICPP} 2000, Toronto, Canada, August 21-24, 2000}, pages = {421--430}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICPP.2000.876158}, doi = {10.1109/ICPP.2000.876158}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/KimBD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/YuanB00, author = {Yanhong Yuan and Prithviraj Banerjee}, title = {A Parallel Implementation of a Fast Multipole Based 3-D Capacitance Extraction Program on Distributed Memory Multicomputer}, booktitle = {Proceedings of the 14th International Parallel {\&} Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000}, pages = {323--330}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/IPDPS.2000.846002}, doi = {10.1109/IPDPS.2000.846002}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/YuanB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/YeMHB00, author = {Zhi Alex Ye and Andreas Moshovos and Scott Hauck and Prithviraj Banerjee}, editor = {Alan D. Berenbaum and Joel S. Emer}, title = {{CHIMAERA:} a high-performance architecture with a tightly-coupled reconfigurable functional unit}, booktitle = {27th International Symposium on Computer Architecture {(ISCA} 2000), June 10-14, 2000, Vancouver, BC, Canada}, pages = {225--235}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISCA.2000.854393}, doi = {10.1109/ISCA.2000.854393}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/YeMHB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/JoishaB00, author = {Pramod G. Joisha and Prithviraj Banerjee}, editor = {Samuel P. Midkiff and Jos{\'{e}} E. Moreira and Manish Gupta and Siddhartha Chatterjee and Jeanne Ferrante and Jan F. Prins and William W. Pugh and Chau{-}Wen Tseng}, title = {Exploiting Ownership Sets in {HPF}}, booktitle = {Languages and Compilers for Parallel Computing, 13th International Workshop, {LCPC} 2000, Yorktown Heights, NY, USA, August 10-12, 2000, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2017}, pages = {259--273}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45574-4\_17}, doi = {10.1007/3-540-45574-4\_17}, timestamp = {Mon, 04 Apr 2022 21:23:55 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/JoishaB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/glvlsi/2000, editor = {Majid Sarrafzadeh and Prithviraj Banerjee and Kaushik Roy}, title = {Proceedings of the 10th {ACM} Great Lakes Symposium on {VLSI} 2000, Chicago, Illinois, USA, March 2-4, 2000}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330855}, doi = {10.1145/330855}, isbn = {1-58113-251-4}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ChandyB99, author = {John A. Chandy and Prithviraj Banerjee}, title = {A Parallel Circuit-Partitioned Algorithm for Timing-Driven Standard Cell Placement}, journal = {J. Parallel Distributed Comput.}, volume = {57}, number = {1}, pages = {64--90}, year = {1999}, url = {https://doi.org/10.1006/jpdc.1998.1523}, doi = {10.1006/JPDC.1998.1523}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ChandyB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/KandemirCRB99, author = {Mahmut T. Kandemir and Alok N. Choudhary and J. Ramanujam and Prithviraj Banerjee}, title = {A Matrix-Based Approach to Global Locality Optimization}, journal = {J. Parallel Distributed Comput.}, volume = {58}, number = {2}, pages = {190--235}, year = {1999}, url = {https://doi.org/10.1006/jpdc.1999.1552}, doi = {10.1006/JPDC.1999.1552}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/KandemirCRB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PrabhakaranB99, author = {Pradeep Prabhakaran and Prithviraj Banerjee}, title = {Parallel Algorithms for Force Directed Scheduling of Flattened and Hierarchical Signal Flow Graphs}, journal = {{IEEE} Trans. Computers}, volume = {48}, number = {7}, pages = {762--768}, year = {1999}, url = {https://doi.org/10.1109/12.780886}, doi = {10.1109/12.780886}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/PrabhakaranB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/KandemirBCRS99, author = {Mahmut T. Kandemir and Prithviraj Banerjee and Alok N. Choudhary and J. Ramanujam and U. Nagaraj Shenoy}, title = {A global communication optimization technique based on data-flow analysis and linear algebra}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {21}, number = {6}, pages = {1251--1297}, year = {1999}, url = {https://doi.org/10.1145/330643.330647}, doi = {10.1145/330643.330647}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toplas/KandemirBCRS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KandemirCSBR99, author = {Mahmut T. Kandemir and Alok N. Choudhary and U. Nagaraj Shenoy and Prithviraj Banerjee and J. Ramanujam}, title = {A Linear Algebra Framework for Automatic Determination of Optimal Data Layouts}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {10}, number = {2}, pages = {115--135}, year = {1999}, url = {https://doi.org/10.1109/71.752779}, doi = {10.1109/71.752779}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KandemirCSBR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/WangBS99, author = {Maogang Wang and Prithviraj Banerjee and Majid Sarrafzadeh}, title = {Placement with Incomplete Data}, journal = {{VLSI} Design}, volume = {10}, number = {1}, pages = {57--70}, year = {1999}, url = {https://doi.org/10.1155/1999/42648}, doi = {10.1155/1999/42648}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/WangBS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/KandemirCRB99, author = {Mahmut T. Kandemir and Alok N. Choudhary and J. Ramanujam and Prithviraj Banerjee}, title = {On Reducing False Sharing while Improving Locality on Shared Memory Multiprocessors}, booktitle = {Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999}, pages = {203--211}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/PACT.1999.807529}, doi = {10.1109/PACT.1999.807529}, timestamp = {Mon, 30 May 2022 14:39:02 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/KandemirCRB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RoyBB99, author = {Sumit Roy and Krishna P. Belkhale and Prithviraj Banerjee}, editor = {Mary Jane Irwin}, title = {An Approxmimate Algorithm for Delay-Constraint Technology Mapping}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {367--372}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309960}, doi = {10.1145/309847.309960}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RoyBB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/MishraB99, author = {Amitabh Mishra and Prithviraj Banerjee}, title = {An Algorithm Based Error Detection Scheme for the Multigrid Algorithm}, booktitle = {Digest of Papers: FTCS-29, The Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing, Madison, Wisconsin, USA, June 15-18, 1999}, pages = {12--19}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/FTCS.1999.781029}, doi = {10.1109/FTCS.1999.781029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ftcs/MishraB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/YuanB99, author = {Yanhong Yuan and Prithviraj Banerjee}, title = {{ICE:} Incremental 3-Dimensional Capacitance and Resistance Extraction for an Iterative Design Environment}, booktitle = {9th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '99), 4-6 March 1999, Ann Arbor, MI, {USA}}, pages = {64--67}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/GLSV.1999.757378}, doi = {10.1109/GLSV.1999.757378}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/YuanB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CrenshawSBP99, author = {Jim E. Crenshaw and Majid Sarrafzadeh and Prithviraj Banerjee and Pradeep Prabhakaran}, title = {An Incremental Floorplanner}, booktitle = {9th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '99), 4-6 March 1999, Ann Arbor, MI, {USA}}, pages = {248--251}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/GLSV.1999.757426}, doi = {10.1109/GLSV.1999.757426}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CrenshawSBP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/YuanB99, author = {Yanhong Yuan and Prithviraj Banerjee}, editor = {Prithviraj Banerjee and Viktor K. Prasanna and Bhabani P. Sinha}, title = {A Parallel 3-D Capacitance Extraction Program}, booktitle = {High Performance Computing - HiPC'99, 6th International Conference, Calcutta, India, December 17-20, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1745}, pages = {202--206}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/978-3-540-46642-0\_29}, doi = {10.1007/978-3-540-46642-0\_29}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/hipc/YuanB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KandemirCRB99, author = {Mahmut T. Kandemir and Alok N. Choudhary and J. Ramanujam and Prithviraj Banerjee}, title = {A Framework for Interprocedural Locality Optimization Using Both Loop and Data Layout Transformations}, booktitle = {Proceedings of the International Conference on Parallel Processing 1999, {ICPP} 1999, Wakamatsu, Japan, September 21-24, 1999}, pages = {95--102}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICPP.1999.797393}, doi = {10.1109/ICPP.1999.797393}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/KandemirCRB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KandemirBCRA99, author = {Mahmut T. Kandemir and Prithviraj Banerjee and Alok N. Choudhary and J. Ramanujam and Eduard Ayguad{\'{e}}}, editor = {Theodore S. Papatheodorou and Mateo Valero and Constantine D. Polychronopoulos and Yoichi Muraoka and Jes{\'{u}}s Labarta}, title = {An integer linear programming approach for optimizing cache locality}, booktitle = {Proceedings of the 13th international conference on Supercomputing, {ICS} 1999, Rhodes, Greece, June 20-25, 1999}, pages = {500--509}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/305138.305247}, doi = {10.1145/305138.305247}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KandemirBCRA99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ChakrabartiB99, author = {Dhruva R. Chakrabarti and Prithviraj Banerjee}, title = {A Novel Compilation Framework for Supporting Semi-Regular Distributions in Hybrid Applications}, booktitle = {13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing {(IPPS} / {SPDP} '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings}, pages = {597--602}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/IPPS.1999.760538}, doi = {10.1109/IPPS.1999.760538}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ChakrabartiB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/JoishaB99, author = {Pramod G. Joisha and Prithviraj Banerjee}, title = {{PARADIGM} (version 2.0): {A} New {HPF} Compilation System}, booktitle = {13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing {(IPPS} / {SPDP} '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings}, pages = {609--615}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/IPPS.1999.760540}, doi = {10.1109/IPPS.1999.760540}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/JoishaB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KandemirCRB99, author = {Mahmut T. Kandemir and Alok N. Choudhary and J. Ramanujam and Prithviraj Banerjee}, title = {A Graph Based Framework to Detect Optimal Memory Layouts for Improving Data Locality}, booktitle = {13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing {(IPPS} / {SPDP} '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings}, pages = {738--743}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/IPPS.1999.760558}, doi = {10.1109/IPPS.1999.760558}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KandemirCRB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenB99, author = {Jer{-}Sheng Chen and Prithviraj Banerjee}, title = {Parallel construction algorithms for BDDs}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {318--322}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.777867}, doi = {10.1109/ISCAS.1999.777867}, timestamp = {Thu, 15 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/YuanB99, author = {Yanhong Yuan and Prithviraj Banerjee}, editor = {D. F. Wong}, title = {Incremental capacitance extraction and its application to iterative timing-driven detailed routing}, booktitle = {Proceedings of the 1999 International Symposium on Physical Design, {ISPD} 1999, Monterey, CA, USA, April 12-14, 1999}, pages = {42--47}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/299996.300018}, doi = {10.1145/299996.300018}, timestamp = {Sun, 02 Oct 2022 16:10:02 +0200}, biburl = {https://dblp.org/rec/conf/ispd/YuanB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/ChakrabartiB99, author = {Dhruva R. Chakrabarti and Prithviraj Banerjee}, editor = {Larry Carter and Jeanne Ferrante}, title = {Accurate Data and Context Management in Message-Passing Programs}, booktitle = {Languages and Compilers for Parallel Computing, 12th International Workshop, LCPC'99, La Jolla/San Diego, CA, USA, August 4-6, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1863}, pages = {117--132}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-44905-1\_8}, doi = {10.1007/3-540-44905-1\_8}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/ChakrabartiB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppsc/KandemirCRB99, author = {Mahmut T. Kandemir and Alok N. Choudhary and J. Ramanujam and Prithviraj Banerjee}, title = {Improving Locality Using a Graph-Based Technique for Detecting Memory Layouts of Arrays}, booktitle = {Proceedings of the Ninth {SIAM} Conference on Parallel Processing for Scientific Computing, {PPSC} 1999, San Antonio, Texas, USA, March 22-24, 1999}, publisher = {{SIAM}}, year = {1999}, timestamp = {Mon, 20 Mar 2017 14:21:45 +0100}, biburl = {https://dblp.org/rec/conf/ppsc/KandemirCRB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PrabhakaranBCS99, author = {Pradeep Prabhakaran and Prithviraj Banerjee and Jim E. Crenshaw and Majid Sarrafzadeh}, title = {Simultaneous Scheduling, Binding and Floorplanning for Interconnect Power Optimization}, booktitle = {12th International Conference on {VLSI} Design {(VLSI} Design 1999), 10-13 January 1999, Goa, India}, pages = {423--427}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICVD.1999.745192}, doi = {10.1109/ICVD.1999.745192}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PrabhakaranBCS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hipc/1999, editor = {Prithviraj Banerjee and Viktor K. Prasanna and Bhabani P. Sinha}, title = {High Performance Computing - HiPC'99, 6th International Conference, Calcutta, India, December 17-20, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1745}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/b86069}, doi = {10.1007/B86069}, isbn = {3-540-66907-8}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hipc/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HasteerB98, author = {Gagan Hasteer and Prithviraj Banerjee}, title = {A Parallel Algorithm for State Assignment of Finite State Machines}, journal = {{IEEE} Trans. Computers}, volume = {47}, number = {2}, pages = {242--246}, year = {1998}, url = {https://doi.org/10.1109/12.663772}, doi = {10.1109/12.663772}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HasteerB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/HasteerMB98, author = {Gagan Hasteer and Anmol Mathur and Prithviraj Banerjee}, title = {Efficient equivalence checking of multi-phase designs using phase abstraction and retiming}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {3}, number = {4}, pages = {600--625}, year = {1998}, url = {https://doi.org/10.1145/296333.296348}, doi = {10.1145/296333.296348}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/HasteerMB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/KandemirCRB98, author = {Mahmut T. Kandemir and Alok N. Choudhary and J. Ramanujam and Prithviraj Banerjee}, title = {A Matrix-Based Approach to the Global Locality Optimization Problem}, booktitle = {Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998}, pages = {306--313}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/PACT.1998.727266}, doi = {10.1109/PACT.1998.727266}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/KandemirCRB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangBS98, author = {Maogang Wang and Prithviraj Banerjee and Majid Sarrafzadeh}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Potential-NRG: Placement with Incomplete Data}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {279--282}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277123}, doi = {10.1145/277044.277123}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangBS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HasteerMB98, author = {Gagan Hasteer and Anmol Mathur and Prithviraj Banerjee}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {An Implicit Algorithm for Finding Steady States and its Application to {FSM} Verification}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {611--614}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277203}, doi = {10.1145/277044.277203}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HasteerMB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimB98, author = {Victor Kim and Prithviraj Banerjee}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Parallel Algorithms for Power Estimation}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {672--677}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277215}, doi = {10.1145/277044.277215}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RoyAB98, author = {Sumit Roy and Harm Arts and Prithviraj Banerjee}, editor = {Patrick M. Dewilde and Franz J. Rammig and Gerry Musgrave}, title = {PowerShake: {A} Low Power Driven Clustering and Factoring Methodology for Boolean Expressions}, booktitle = {1998 Design, Automation and Test in Europe {(DATE} '98), February 23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France}, pages = {967--968}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/DATE.1998.655992}, doi = {10.1109/DATE.1998.655992}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RoyAB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/KandemirCRSB98, author = {Mahmut T. Kandemir and Alok N. Choudhary and J. Ramanujam and U. Nagaraj Shenoy and Prithviraj Banerjee}, editor = {David J. Pritchard and Jeff Reeve}, title = {Enhancing Spatial Locality via Data Layout Optimizations}, booktitle = {Euro-Par '98 Parallel Processing, 4th International Euro-Par Conference, Southampton, UK, September 1-4, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1470}, pages = {422--434}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/BFb0057885}, doi = {10.1007/BFB0057885}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/KandemirCRSB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/ChakrabartiJCKK98, author = {Dhruva R. Chakrabarti and Pramod G. Joisha and John A. Chandy and Krishnaswamy Krishnaswamy and Venkatram Krishnaswamy and Prithviraj Banerjee}, title = {{WADE:} a Web-based automated parallel {CAD} environment}, booktitle = {5th International Conference On High Performance Computing, HiPC 1998, Madras, India, 20-20 December, 1998}, pages = {473--480}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/HIPC.1998.738024}, doi = {10.1109/HIPC.1998.738024}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/ChakrabartiJCKK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HasteerMB98, author = {Gagan Hasteer and Anmol Mathur and Prithviraj Banerjee}, editor = {Hiroto Yasuura}, title = {Efficient equivalence checking of multi-phase designs using retiming}, booktitle = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998}, pages = {557--562}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/288548.289086}, doi = {10.1145/288548.289086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HasteerMB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RoyAB98, author = {Sumit Roy and Harm Arts and Prithviraj Banerjee}, editor = {Hiroto Yasuura}, title = {PowerDrive: a fast, canonical {POWER} estimator for DRIVing synthEsis}, booktitle = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998}, pages = {601--606}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/288548.289094}, doi = {10.1145/288548.289094}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RoyAB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0003AB98, author = {Sumit Roy and Harm Arts and Prithviraj Banerjee}, title = {A low-power logic optimization methodology based on a fast power-driven mapping}, booktitle = {International Conference on Computer Design: {VLSI} in Computers and Processors, {ICCD} 1998, Proceedings, 5-7 October, 1998, Austin, TX, {USA}}, pages = {175--181}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICCD.1998.727039}, doi = {10.1109/ICCD.1998.727039}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0003AB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/XingB98, author = {Zhaoyun Xing and Prithviraj Banerjee}, title = {A Parallel Algorithm for Timing-driven Global Routing for Standard Cells}, booktitle = {1998 International Conference on Parallel Processing {(ICPP} '98), 10-14 August 1998, Minneapolis, Minnesota, USA, Proceedings}, pages = {54--61}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICPP.1998.708463}, doi = {10.1109/ICPP.1998.708463}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/XingB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KandemirSBRC98, author = {Mahmut T. Kandemir and U. Nagaraj Shenoy and Prithviraj Banerjee and J. Ramanujam and Alok N. Choudhary}, title = {Minimizing Data and Synchronization Costs in One-Way Communication}, booktitle = {1998 International Conference on Parallel Processing {(ICPP} '98), 10-14 August 1998, Minneapolis, Minnesota, USA, Proceedings}, pages = {180--188}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICPP.1998.708483}, doi = {10.1109/ICPP.1998.708483}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/KandemirSBRC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChakrabartiSCB98, author = {Dhruva R. Chakrabarti and U. Nagaraj Shenoy and Alok N. Choudhary and Prithviraj Banerjee}, editor = {Greg K. Egan and Richard P. Brent and Dennis Gannon}, title = {An Efficient Uniform Run-time Scheme for Mixed Regular-irregular Applications}, booktitle = {Proceedings of the 12th international conference on Supercomputing, {ICS} 1998, Melbourne, Australia, July 13-17, 1998}, pages = {61--68}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/277830.277848}, doi = {10.1145/277830.277848}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChakrabartiSCB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KandemirCSBR98, author = {Mahmut T. Kandemir and Alok N. Choudhary and U. Nagaraj Shenoy and Prithviraj Banerjee and J. Ramanujam}, editor = {Greg K. Egan and Richard P. Brent and Dennis Gannon}, title = {A Hyperplane Based Approach for Optimizing Spatial Locality in Loop Nests}, booktitle = {Proceedings of the 12th international conference on Supercomputing, {ICS} 1998, Melbourne, Australia, July 13-17, 1998}, pages = {69--76}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/277830.277849}, doi = {10.1145/277830.277849}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KandemirCSBR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KrishnaswamyB98, author = {Venkatram Krishnaswamy and Prithviraj Banerjee}, editor = {Greg K. Egan and Richard P. Brent and Dennis Gannon}, title = {Parallel Compiled Event Driven {VHDL} Simulation}, booktitle = {Proceedings of the 12th international conference on Supercomputing, {ICS} 1998, Melbourne, Australia, July 13-17, 1998}, pages = {297--304}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/277830.277901}, doi = {10.1145/277830.277901}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KrishnaswamyB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KandemirBCRS98, author = {Mahmut T. Kandemir and Prithviraj Banerjee and Alok N. Choudhary and J. Ramanujam and U. Nagaraj Shenoy}, title = {A Generalized Framework for Global Communication Optimization}, booktitle = {12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing {(IPPS/SPDP} '98), March 30 - April 3, 1998, Orlando, Florida, USA, Proceedings}, pages = {69--73}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/IPPS.1998.669892}, doi = {10.1109/IPPS.1998.669892}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KandemirBCRS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ChakrabartiBL98, author = {Dhruva R. Chakrabarti and Prithviraj Banerjee and Antonio Lain}, title = {Evaluation of Compiler and Runtime Library Approaches for Supporting Parallel Regular Applications}, booktitle = {12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing {(IPPS/SPDP} '98), March 30 - April 3, 1998, Orlando, Florida, USA, Proceedings}, pages = {74--79}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/IPPS.1998.669893}, doi = {10.1109/IPPS.1998.669893}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ChakrabartiBL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/XingB98, author = {Zhaoyun Xing and Prithviraj Banerjee}, editor = {Majid Sarrafzadeh}, title = {A parallel algorithm for zero skew clock tree routing}, booktitle = {Proceedings of the 1998 International Symposium on Physical Design, {ISPD} 1998, Monterey, CA, USA, April 6-8, 1998}, pages = {118--123}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/274535.274552}, doi = {10.1145/274535.274552}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/XingB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/KandemirRCB98, author = {Mahmut T. Kandemir and J. Ramanujam and Alok N. Choudhary and Prithviraj Banerjee}, editor = {Siddhartha Chatterjee and Jan F. Prins and Larry Carter and Jeanne Ferrante and Zhiyuan Li and David C. Sehr and Pen{-}Chung Yew}, title = {A Loop Transformation Algorithm Based on Explicit Data Layout Representation for Optimizing Locality}, booktitle = {Languages and Compilers for Parallel Computing, 11th International Workshop, LCPC'98, Chapel Hill, NC, USA, August 7-9, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1656}, pages = {34--50}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/3-540-48319-5\_3}, doi = {10.1007/3-540-48319-5\_3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/KandemirRCB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KandemirCRB98, author = {Mahmut T. Kandemir and Alok N. Choudhary and J. Ramanujam and Prithviraj Banerjee}, editor = {James O. Bondi and Jim Smith}, title = {Improving Locality Using Loop and Data Transformations in an Integrated Framework}, booktitle = {Proceedings of the 31st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 31, Dallas, Texas, USA, November 30 - December 2, 1998}, pages = {285--297}, publisher = {{ACM/IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/MICRO.1998.742790}, doi = {10.1109/MICRO.1998.742790}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/KandemirCRB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RoyBS98, author = {Sumit Roy and Prithviraj Banerjee and Majid Sarrafzadeh}, title = {Partitioning sequential circuits for low power}, booktitle = {11th International Conference on {VLSI} Design {(VLSI} Design 1991), 4-7 January 1998, Chennai, India}, pages = {212--217}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICVD.1998.646604}, doi = {10.1109/ICVD.1998.646604}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RoyBS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PrabhakaranB98, author = {Pradeep Prabhakaran and Prithviraj Banerjee}, title = {Simultaneous Scheduling, Binding and Floorplanning in High-level Synthesis}, booktitle = {11th International Conference on {VLSI} Design {(VLSI} Design 1991), 4-7 January 1998, Chennai, India}, pages = {428--434}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICVD.1998.646645}, doi = {10.1109/ICVD.1998.646645}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PrabhakaranB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/HasteerB97, author = {Gagan Hasteer and Prithviraj Banerjee}, title = {Simulated Annealing Based Parallel State Assignment of Finite State Machines}, journal = {J. Parallel Distributed Comput.}, volume = {43}, number = {1}, pages = {21--35}, year = {1997}, url = {https://doi.org/10.1006/jpdc.1997.1325}, doi = {10.1006/JPDC.1997.1325}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/HasteerB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KrishnaswamyGB97, author = {Venkatram Krishnaswamy and Rajesh Gupta and Prithviraj Banerjee}, title = {Implications of {VHDL} timing models on simulation and software synthesis}, journal = {J. Syst. Archit.}, volume = {44}, number = {1}, pages = {23--36}, year = {1997}, url = {https://doi.org/10.1016/1383-7621(97)80001-X}, doi = {10.1016/1383-7621(97)80001-X}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/KrishnaswamyGB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChandyKRPB97, author = {John A. Chandy and Sungho Kim and Balkrishna Ramkumar and Steven Parkes and Prithviraj Banerjee}, title = {An evaluation of parallel simulated annealing strategies with application to standard cell placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {4}, pages = {398--410}, year = {1997}, url = {https://doi.org/10.1109/43.602476}, doi = {10.1109/43.602476}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChandyKRPB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RamkumarB97, author = {Balkrishna Ramkumar and Prithviraj Banerjee}, title = {ProperTEST: a portable parallel test generator for sequential circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {5}, pages = {555--569}, year = {1997}, url = {https://doi.org/10.1109/43.631220}, doi = {10.1109/43.631220}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RamkumarB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/RamaswamySB97, author = {Shankar Ramaswamy and Sachin S. Sapatnekar and Prithviraj Banerjee}, title = {A Framework for Exploiting Task and Data Parallelism on Distributed Memory Multicomputers}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {8}, number = {11}, pages = {1098--1116}, year = {1997}, url = {https://doi.org/10.1109/71.642945}, doi = {10.1109/71.642945}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/RamaswamySB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KrishnaswamyGB97, author = {Venkatram Krishnaswamy and Rajesh Gupta and Prithviraj Banerjee}, title = {A procedure for software synthesis from {VHDL} models}, booktitle = {Proceedings of the {ASP-DAC} '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}, pages = {593--598}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ASPDAC.1997.600341}, doi = {10.1109/ASPDAC.1997.600341}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KrishnaswamyGB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HasteerMB97, author = {Gagan Hasteer and Anmol Mathur and Prithviraj Banerjee}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {An Efficient Assertion Checker for Combinational Properties}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {734--739}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266357}, doi = {10.1145/266021.266357}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HasteerMB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/HolmPB97, author = {John G. Holm and Steven Parkes and Prithviraj Banerjee}, title = {Performance Evaluation of a {C++} Library Based Multithreaded System}, booktitle = {30th Annual Hawaii International Conference on System Sciences (HICSS-30), 7-10 January 1997, Maui, Hawaii, {USA}}, pages = {282--291}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HICSS.1997.667274}, doi = {10.1109/HICSS.1997.667274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/HolmPB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChandyB97, author = {John A. Chandy and Prithviraj Banerjee}, title = {A Parallel Circuit-Partitioned Algorithm for Timing Driven Cell Placement}, booktitle = {Proceedings 1997 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA, October 12-15, 1997}, pages = {621--627}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICCD.1997.628930}, doi = {10.1109/ICCD.1997.628930}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChandyB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KrishnaswamyHB97, author = {Venkatram Krishnaswamy and Gagan Hasteer and Prithviraj Banerjee}, title = {Load Balancing and Workload Minimization Of Overlapping Parallel Tasks}, booktitle = {1997 International Conference on Parallel Processing {(ICPP} '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings}, pages = {272--279}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICPP.1997.622655}, doi = {10.1109/ICPP.1997.622655}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/KrishnaswamyHB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KrishnaswamyB97, author = {Dilip Krishnaswamy and Prithviraj Banerjee}, title = {Exploiting task and data parallelism in parallel Hough and Radon transforms}, booktitle = {1997 International Conference on Parallel Processing {(ICPP} '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings}, pages = {441--445}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICPP.1997.622678}, doi = {10.1109/ICPP.1997.622678}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/KrishnaswamyB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HolmCPRKHB97, author = {John G. Holm and John A. Chandy and Steven Parkes and Sumit Roy and Venkatram Krishnaswamy and Gagan Hasteer and Prithviraj Banerjee}, editor = {Steven J. Wallach and Hans P. Zima}, title = {Performance Evaluation of Message-Driven Parallel {VLSI} {CAD} Applications on General Purpose Multiprocessors}, booktitle = {Proceedings of the 11th international conference on Supercomputing, {ICS} 1997, Vienna, Austria, July 7-11, 1997}, pages = {172--179}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/263580.263626}, doi = {10.1145/263580.263626}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HolmCPRKHB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/XingCB97, author = {Zhaoyun Xing and John A. Chandy and Prithviraj Banerjee}, title = {Parallel Global Routing Algorithms for Standard Cells}, booktitle = {11th International Parallel Processing Symposium {(IPPS} '97), 1-5 April 1997, Geneva, Switzerland, Proceedings}, pages = {527}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/IPPS.1997.580951}, doi = {10.1109/IPPS.1997.580951}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/XingCB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/RoyB97, author = {Sumit Roy and Prithviraj Banerjee}, title = {A Comparison of Parallel Approaches for Algebraic Factorization in Logic Synthesis}, booktitle = {11th International Parallel Processing Symposium {(IPPS} '97), 1-5 April 1997, Geneva, Switzerland, Proceedings}, pages = {665--671}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/IPPS.1997.580973}, doi = {10.1109/IPPS.1997.580973}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/RoyB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pads/KrishnaswamyBRP97, author = {Dilip Krishnaswamy and Prithviraj Banerjee and Elizabeth M. Rudnick and Janak H. Patel}, editor = {Alois Ferscha and Rassul Ayani and Carl Tropper}, title = {Asynchronous Parallel Algorithms for Test Set Partitioned Fault Simulation}, booktitle = {Proceedings of the Eleventh Workshop on Parallel and Distributed Simulation, {PADS} '97, Lockenhaus, Austria, June 10-13, 1997}, pages = {30--37}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/PADS.1997.594583}, doi = {10.1109/PADS.1997.594583}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pads/KrishnaswamyBRP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/HasteerB97, author = {Gagan Hasteer and Prithviraj Banerjee}, title = {Simulated Annealing Based Parallel State Assignment of Finite State Machines}, booktitle = {10th International Conference on {VLSI} Design {(VLSI} Design 1997), 4-7 January 1997, Hyderabad, India}, pages = {69--75}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICVD.1997.567963}, doi = {10.1109/ICVD.1997.567963}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/HasteerB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KrishnaswamyHSRPB97, author = {Dilip Krishnaswamy and Michael S. Hsiao and Vikram Saxena and Elizabeth M. Rudnick and Janak H. Patel and Prithviraj Banerjee}, title = {Parallel Genetic Algorithms for Simulation-Based Sequential Circuit Test Generation}, booktitle = {10th International Conference on {VLSI} Design {(VLSI} Design 1997), 4-7 January 1997, Hyderabad, India}, pages = {475--481}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICVD.1997.568180}, doi = {10.1109/ICVD.1997.568180}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KrishnaswamyHSRPB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/KrishnaswamyRPB97, author = {Dilip Krishnaswamy and Elizabeth M. Rudnick and Janak H. Patel and Prithviraj Banerjee}, title = {{SPITFIRE:} scalable parallel algorithms for test set partitioned fault simulation}, booktitle = {15th {IEEE} {VLSI} Test Symposium (VTS'97), April 27-May 1, 1997, Monterey, California, {USA}}, pages = {274--281}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/VTEST.1997.600663}, doi = {10.1109/VTEST.1997.600663}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/KrishnaswamyRPB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/MacPhersonB96, author = {Ky MacPherson and Prithviraj Banerjee}, title = {Parallel Algorithms for {VLSI} Layout Verification}, journal = {J. Parallel Distributed Comput.}, volume = {36}, number = {2}, pages = {156--172}, year = {1996}, url = {https://doi.org/10.1006/jpdc.1996.0096}, doi = {10.1006/JPDC.1996.0096}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/MacPhersonB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/PalermoHB96, author = {Daniel J. Palermo and Eugene W. Hodges IV and Prithviraj Banerjee}, title = {Dynamic Data Partitioning for Distributed-Memory Multicomputers}, journal = {J. Parallel Distributed Comput.}, volume = {38}, number = {2}, pages = {158--175}, year = {1996}, url = {https://doi.org/10.1006/jpdc.1996.0138}, doi = {10.1006/JPDC.1996.0138}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/PalermoHB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/RamaswamySB96, author = {Shankar Ramaswamy and Barbara Simons and Prithviraj Banerjee}, title = {Optimizations for Efficient Array Redistribution on Distributed Memory Multicomputers}, journal = {J. Parallel Distributed Comput.}, volume = {38}, number = {2}, pages = {217--228}, year = {1996}, url = {https://doi.org/10.1006/jpdc.1996.0142}, doi = {10.1006/JPDC.1996.0142}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/RamaswamySB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChowdhuryB96, author = {Amber Roy{-}Chowdhury and Prithviraj Banerjee}, title = {A New Error Analysis Based Method for Tolerance Computation for Algorithm-Based Checks}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {2}, pages = {238--243}, year = {1996}, url = {https://doi.org/10.1109/12.485376}, doi = {10.1109/12.485376}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ChowdhuryB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Roy-ChowdhuryBB96, author = {Amber Roy{-}Chowdhury and Nikolaos Bellas and Prithviraj Banerjee}, title = {Algorithm-Based Error Detection Schemes for Iterative Solution of Partial Differential Equations}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {4}, pages = {394--407}, year = {1996}, url = {https://doi.org/10.1109/12.494098}, doi = {10.1109/12.494098}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Roy-ChowdhuryBB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/NairAB96, author = {V. S. S. Nair and Jacob A. Abraham and Prithviraj Banerjee}, title = {Efficient Techniques for the Analysis of Algorithm-Based Fault Tolerance {(ABFT)} Schemes}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {4}, pages = {499--503}, year = {1996}, url = {https://doi.org/10.1109/12.494110}, doi = {10.1109/12.494110}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/NairAB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Roy-ChowdhuryB96, author = {Amber Roy{-}Chowdhury and Prithviraj Banerjee}, title = {Algorithm-Based Fault Location and Recovery for Matrix Computations on Multiprocessor Systems}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {11}, pages = {1239--1247}, year = {1996}, url = {https://doi.org/10.1109/12.544480}, doi = {10.1109/12.544480}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Roy-ChowdhuryB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/BoppanaSBFL96, author = {Vamsi Boppana and Prashant Saxena and Prithviraj Banerjee and W. Kent Fuchs and C. L. Liu}, editor = {Luc Boug{\'{e}} and Pierre Fraigniaud and Anne Mignotte and Yves Robert}, title = {A Parallel Algorithm for the Technology Mapping of LUT-Based FPGAs}, booktitle = {Euro-Par '96 Parallel Processing, Second International Euro-Par Conference, Lyon, France, August 26-29, 1996, Proceedings, Volume {I}}, series = {Lecture Notes in Computer Science}, volume = {1123}, pages = {828--831}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-61626-8\_109}, doi = {10.1007/3-540-61626-8\_109}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/BoppanaSBFL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/Roy-ChowdhuryB96, author = {Amber Roy{-}Chowdhury and Prithviraj Banerjee}, title = {Compiler-Assisted Generation of Error-Detecting Parallel Programs}, booktitle = {Digest of Papers: FTCS-26, The Twenty-Sixth Annual International Symposium on Fault-Tolerant Computing, Sendai, Japan, June 25-27, 1996}, pages = {360--369}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/FTCS.1996.534621}, doi = {10.1109/FTCS.1996.534621}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ftcs/Roy-ChowdhuryB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PrabhakaranB96, author = {Pradeep Prabhakaran and Prithviraj Banerjee}, title = {Parallel Algorithms for Force Directed Scheduling of Flattened and Hierarchical Signal Flow Graphs}, booktitle = {1996 International Conference on Computer Design {(ICCD} '96), {VLSI} in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings}, pages = {66--71}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICCD.1996.563535}, doi = {10.1109/ICCD.1996.563535}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PrabhakaranB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/PalermoSHB96, author = {Daniel J. Palermo and Ernesto Su and Eugene W. Hodges IV and Prithviraj Banerjee}, editor = {Keshav Pingali}, title = {Compiler Support for Privatization on Distributed-Memory Machines}, booktitle = {Proceedings of the 1996 International Conference on Parallel Processing, {ICCP} 1996, Bloomingdale, IL, USA, August 12-16, 1996. Volume 3: Software}, pages = {17--24}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICPP.1996.538555}, doi = {10.1109/ICPP.1996.538555}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/PalermoSHB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HasteerB96, author = {Gagan Hasteer and Prithviraj Banerjee}, editor = {Adam W. Bojanczyk}, title = {A Parallel Algorithm for State Assignment of Finite State Machines}, booktitle = {Proceedings of the 1996 International Conference on Parallel Processing, {ICCP} 1996, Bloomingdale, IL, USA, August 12-16, 1996. Volume 2: Algorithms {\&} Applications}, pages = {37--45}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICPP.1996.537379}, doi = {10.1109/ICPP.1996.537379}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/HasteerB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LainB96, author = {Antonio Lain and Prithviraj Banerjee}, editor = {Pen{-}Chung Yew}, title = {Compiler Support for Hybrid Irregular Accesses on Multicomputers}, booktitle = {Proceedings of the 10th international conference on Supercomputing, {ICS} 1996, Philadelphia, PA, USA, May 25-28, 1996}, pages = {1--9}, publisher = {{ACM}}, year = {1996}, url = {https://doi.org/10.1145/237578.237579}, doi = {10.1145/237578.237579}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/LainB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/RamaswamyHB96, author = {Shankar Ramaswamy and Eugene W. Hodges IV and Prithviraj Banerjee}, title = {Compiling {MATLAB} Programs to ScaLAPACK: Exploiting Task and Data Parallelism}, booktitle = {Proceedings of {IPPS} '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, {USA}}, pages = {613--619}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/IPPS.1996.508120}, doi = {10.1109/IPPS.1996.508120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/RamaswamyHB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irregular/ChandyPB96, author = {John A. Chandy and Steven Parkes and Prithviraj Banerjee}, editor = {Afonso Ferreira and Jos{\'{e}} D. P. Rolim and Yousef Saad and Tao Yang}, title = {Distributed Object Oriented Data Structures and Algorithms for {VLSI} {CAD}}, booktitle = {Parallel Algorithms for Irregularly Structured Problems, Third International Workshop, {IRREGULAR} '96, Santa Barbara, California, USA, August 19-21, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1117}, pages = {147--158}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0030105}, doi = {10.1007/BFB0030105}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irregular/ChandyPB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/PalermoHB96, author = {Daniel J. Palermo and Eugene W. Hodges IV and Prithviraj Banerjee}, editor = {David C. Sehr and Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {Interprocedural Array Redistribution Data-Flow Analysis}, booktitle = {Languages and Compilers for Parallel Computing, 9th International Workshop, LCPC'96, San Jose, California, USA, August 8-10, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1239}, pages = {435--449}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0017268}, doi = {10.1007/BFB0017268}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/PalermoHB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pads/KrishnaswamyB96, author = {Venkatram Krishnaswamy and Prithviraj Banerjee}, editor = {Wayne M. Loucks and Bruno R. Preiss}, title = {Actor Based Parallel {VHDL} Simulation Using Time Warp}, booktitle = {Proceedings of the Tenth Workshop on Parallel and Distributed Simulation, {PADS} '96, Philadelphia, PA, USA, May 22-24, 1996}, pages = {135--142}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/PADS.1996.761571}, doi = {10.1109/PADS.1996.761571}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pads/KrishnaswamyB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/McPhersonB96, author = {Ky McPherson and Prithviraj Banerjee}, title = {Integrating task and data parallelism in an irregular application: a case study}, booktitle = {Proceedings of the Eighth {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1996, New Orleans, Louisiana, USA, October 23-26, 1996}, pages = {208--213}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/SPDP.1996.570335}, doi = {10.1109/SPDP.1996.570335}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/spdp/McPhersonB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ChandyB96, author = {John A. Chandy and Prithviraj Banerjee}, title = {Parallel simulated annealing strategies for {VLSI} cell placement}, booktitle = {9th International Conference on {VLSI} Design {(VLSI} Design 1996), 3-6 January 1996, Bangalore, India}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICVD.1996.489451}, doi = {10.1109/ICVD.1996.489451}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ChandyB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/BanerjeeCGHHLPRS95, author = {Prithviraj Banerjee and John A. Chandy and Manish Gupta and Eugene W. Hodges IV and John G. Holm and Antonio Lain and Daniel J. Palermo and Shankar Ramaswamy and Ernesto Su}, title = {The Paradigm Compiler for Distributed-Memory Multicomputers}, journal = {Computer}, volume = {28}, number = {10}, pages = {37--47}, year = {1995}, url = {https://doi.org/10.1109/2.467577}, doi = {10.1109/2.467577}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/BanerjeeCGHHLPRS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/RamaswamyB95, author = {Shankar Ramaswamy and Prithviraj Banerjee}, title = {Simultaneous Allocation and Scheduling Using Convex Programming Techniques}, journal = {Parallel Process. Lett.}, volume = {5}, pages = {587--598}, year = {1995}, url = {https://doi.org/10.1142/S0129626495000527}, doi = {10.1142/S0129626495000527}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/RamaswamyB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RudnickCBP95, author = {Elizabeth M. Rudnick and Vivek Chickermane and Prithviraj Banerjee and Janak H. Patel}, title = {Sequential circuit testability enhancement using a nonscan approach}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {3}, number = {2}, pages = {333--338}, year = {1995}, url = {https://doi.org/10.1109/92.386233}, doi = {10.1109/92.386233}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RudnickCBP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/PeercyB95, author = {Michael Peercy and Prithviraj Banerjee}, title = {Software Schemes of Reconfiguration and Recovery in Distributed Memory Multicomputers Using the Actor Model}, booktitle = {Digest of Papers: FTCS-25, The Twenty-Fifth International Symposium on Fault-Tolerant Computing, Pasadena, California, USA, June 27-30, 1995}, pages = {479--488}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/FTCS.1995.466950}, doi = {10.1109/FTCS.1995.466950}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ftcs/PeercyB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ParkesBP95, author = {Steven Parkes and Prithviraj Banerjee and Janak H. Patel}, title = {A parallel algorithm for fault simulation based on {PROOFS}}, booktitle = {1995 International Conference on Computer Design {(ICCD} '95), {VLSI} in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings}, pages = {616--621}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICCD.1995.528932}, doi = {10.1109/ICCD.1995.528932}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ParkesBP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SuLRPHB95, author = {Ernesto Su and Antonio Lain and Shankar Ramaswamy and Daniel J. Palermo and Eugene W. Hodges IV and Prithviraj Banerjee}, editor = {Mateo Valero}, title = {Advanced Compilation Techniques in the {PARADIGM} Compiler for Distributed-memory Multicomputers}, booktitle = {Proceedings of the 9th international conference on Supercomputing, {ICS} 1995, Barcelona, Spain, July 3-7, 1995}, pages = {424--433}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224538.224650}, doi = {10.1145/224538.224650}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/SuLRPHB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/DeCRPB95, author = {Kaushik De and John A. Chandy and Sumit Roy and Steven Parkes and Prithviraj Banerjee}, title = {Parallel algorithms for logic synthesis using the {MIS} approach}, booktitle = {Proceedings of {IPPS} '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, {USA}}, pages = {579--585}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/IPPS.1995.395889}, doi = {10.1109/IPPS.1995.395889}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/DeCRPB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LainB95, author = {Antonio Lain and Prithviraj Banerjee}, title = {Exploiting spatial regularity in irregular iterative applications}, booktitle = {Proceedings of {IPPS} '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, {USA}}, pages = {820--826}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/IPPS.1995.395856}, doi = {10.1109/IPPS.1995.395856}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LainB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/PalermoB95, author = {Daniel J. Palermo and Prithviraj Banerjee}, editor = {Chua{-}Huang Huang and P. Sadayappan and Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {Automatic Selection of Dynamic Data Partitioning Schemes for Distributed-Memory Multicomputers}, booktitle = {Languages and Compilers for Parallel Computing, 8th International Workshop, LCPC'95, Columbus, Ohio, USA, August 10-12, 1995, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1033}, pages = {392--406}, publisher = {Springer}, year = {1995}, url = {https://doi.org/10.1007/BFb0014213}, doi = {10.1007/BFB0014213}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/PalermoB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1995-1, editor = {Prithviraj Banerjee}, title = {Proceedings of the 1995 International Conference on Parallel Processing, Urbana-Champain, Illinois, USA, August 14-18, 1995. Volume {I:} Architecture}, publisher = {{CRC} Press}, year = {1995}, isbn = {0-8493-2615-X}, timestamp = {Fri, 25 Jul 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/1995-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0077398, author = {Prithviraj Banerjee}, title = {Parallel algorithms for {VLSI} computer-aided design}, publisher = {Prentice Hall}, year = {1994}, isbn = {978-0-13-015835-2}, timestamp = {Fri, 29 Apr 2011 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0077398.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BanerjeeP94, author = {Prithviraj Banerjee and Michael Peercy}, title = {Design and Evaluation of Hardware Strategies for Reconfiguring Hypercubes and Meshes Under Faults}, journal = {{IEEE} Trans. Computers}, volume = {43}, number = {7}, pages = {841--848}, year = {1994}, url = {https://doi.org/10.1109/12.293264}, doi = {10.1109/12.293264}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BanerjeeP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DeRB94, author = {Kaushik De and Balkrishna Ramkumar and Prithviraj Banerjee}, title = {A portable parallel algorithm for logic synthesis using transduction}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {5}, pages = {566--580}, year = {1994}, url = {https://doi.org/10.1109/43.277630}, doi = {10.1109/43.277630}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DeRB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RamkumarB94, author = {Balkrishna Ramkumar and Prithviraj Banerjee}, title = {ProperCAD: {A} portable object-oriented parallel environment for {VLSI} {CAD}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {7}, pages = {829--842}, year = {1994}, url = {https://doi.org/10.1109/43.293940}, doi = {10.1109/43.293940}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RamkumarB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/DeNNB94, author = {Kaushik De and Chitra Natarajan and Devi Nair and Prithviraj Banerjee}, title = {{RSYN:} a system for automated synthesis of reliable multilevel circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {2}, number = {2}, pages = {186--195}, year = {1994}, url = {https://doi.org/10.1109/92.285745}, doi = {10.1109/92.285745}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/DeNNB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ParkesBP94, author = {Steven Parkes and Prithviraj Banerjee and Janak H. Patel}, editor = {Michael J. Lorenzetti}, title = {ProperHITEC: {A} Portable, Parallel, Object-Oriented Approach to Sequential Test Generation}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {717--721}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196624}, doi = {10.1145/196244.196624}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ParkesBP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/Roy-ChowdhuryB94, author = {Amber Roy{-}Chowdhury and Prithviraj Banerjee}, title = {Algorithm-Based Fault Location and Recovery for Matrix Computations}, booktitle = {Digest of Papers: FTCS/24, The Twenty-Fourth Annual International Symposium on Fault-Tolerant Computing, Austin, Texas, USA, June 15-17, 1994}, pages = {38--47}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/FTCS.1994.315659}, doi = {10.1109/FTCS.1994.315659}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/Roy-ChowdhuryB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/PalermoSCB94, author = {Daniel J. Palermo and Ernesto Su and John A. Chandy and Prithviraj Banerjee}, editor = {K. C. Tai}, title = {Communication Optimizations Used in the {PARADIGM} Compiler for Distributed Memory Multicomputers}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {II:} Software}, pages = {1--10}, publisher = {{CRC} Press}, year = {1994}, url = {https://doi.org/10.1109/ICPP.1994.67}, doi = {10.1109/ICPP.1994.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/PalermoSCB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/RamaswamySB94, author = {Shankar Ramaswamy and Sachin S. Sapatnekar and Prithviraj Banerjee}, editor = {K. C. Tai}, title = {A Convex Programming Approach for Exploiting Data and Functional Parallelism on Distributed Memory Multicomputers}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {II:} Software}, pages = {116--125}, publisher = {{CRC} Press}, year = {1994}, url = {https://doi.org/10.1109/ICPP.1994.21}, doi = {10.1109/ICPP.1994.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/RamaswamySB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/DeB94, author = {Kaushik De and Prithviraj Banerjee}, editor = {Jagdish Chandra}, title = {Parallel Logic Synthesis Using Partitioning}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {I:} Algorithms {\&} Applications}, pages = {135--142}, publisher = {{CRC} Press}, year = {1994}, url = {https://doi.org/10.1109/ICPP.1994.150}, doi = {10.1109/ICPP.1994.150}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/DeB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LainB94, author = {Antonio Lain and Prithviraj Banerjee}, editor = {John R. Gurd and William Jalby}, title = {Techniques to overlap computation and communication in irregular iterative applications}, booktitle = {Proceedings of the 8th international conference on Supercomputing, {ICS} 1994, Manchester, UK, July 11-15, 1994}, pages = {236--245}, publisher = {{ACM}}, year = {1994}, url = {https://doi.org/10.1145/181181.181539}, doi = {10.1145/181181.181539}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/LainB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifipPACT/SuPB94, author = {Ernesto Su and Daniel J. Palermo and Prithviraj Banerjee}, editor = {Michel Cosnard and Guang R. Gao and Gabriel M. Silberman}, title = {Processor Tagged Descriptors: {A} Data Structure for Compiling for Distributed-Memory Multicomputers}, booktitle = {Parallel Architectures and Compilation Techniques, Proceedings of the {IFIP} {WG10.3} Working Conference on Parallel Architectures and Compilation Techniques, PACT'94, Montr{\'{e}}al, Canada, 24-26 August, 1994}, series = {{IFIP} Transactions}, volume = {{A-50}}, pages = {123--132}, publisher = {North-Holland}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=713819}, timestamp = {Thu, 25 Sep 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifipPACT/SuPB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KimBRPC94, author = {Sungho Kim and Prithviraj Banerjee and Balkrishna Ramkumar and Steven Parkes and John A. Chandy}, editor = {Howard Jay Siegel}, title = {ProperPLACE: {A} Portable Parallel Algorithm for Standard Cell Placement}, booktitle = {Proceedings of the 8th International Symposium on Parallel Processing, Canc{\'{u}}n, Mexico, April 1994}, pages = {932--941}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/IPPS.1994.288194}, doi = {10.1109/IPPS.1994.288194}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KimBRPC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/ParkesCB94, author = {Steven Parkes and John A. Chandy and Prithviraj Banerjee}, editor = {Gary M. Johnson}, title = {A library-based approach to portable, parallel, object-oriented programming: interface, implementation, and application}, booktitle = {Proceedings Supercomputing '94, Washington, DC, USA, November 14-18, 1994}, pages = {69--78}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/SUPERC.1994.344267}, doi = {10.1109/SUPERC.1994.344267}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/ParkesCB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ReddyCB93, author = {A. L. Narasimha Reddy and John A. Chandy and Prithviraj Banerjee}, title = {Design and Evaluation of Gracefully Degradable Disk Arrays}, journal = {J. Parallel Distributed Comput.}, volume = {17}, number = {1-2}, pages = {28--40}, year = {1993}, url = {https://doi.org/10.1006/jpdc.1993.1003}, doi = {10.1006/JPDC.1993.1003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ReddyCB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/PeercyB93, author = {Michael Peercy and Prithviraj Banerjee}, title = {Fault tolerant {VLSI} systems}, journal = {Proc. {IEEE}}, volume = {81}, number = {5}, pages = {745--758}, year = {1993}, url = {https://doi.org/10.1109/5.220905}, doi = {10.1109/5.220905}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/PeercyB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BelkhaleBB93, author = {Krishna P. Belkhale and Randall J. Brouwer and Prithviraj Banerjee}, title = {Task scheduling for exploiting parallelism and hierarchy in {VLSI} {CAD} algorithms}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {5}, pages = {557--567}, year = {1993}, url = {https://doi.org/10.1109/43.277604}, doi = {10.1109/43.277604}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BelkhaleBB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/DeB93, author = {Kaushik De and Prithviraj Banerjee}, title = {{PREST:} a system for logic partitioning and resynthesis for testability}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {1}, number = {4}, pages = {514--525}, year = {1993}, url = {https://doi.org/10.1109/92.250199}, doi = {10.1109/92.250199}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/DeB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChickermaneRBP93, author = {Vivek Chickermane and Elizabeth M. Rudnick and Prithviraj Banerjee and Janak H. Patel}, editor = {Alfred E. Dunlop}, title = {Non-Scan Design-for-Testability Techniques for Sequential Circuits}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {236--241}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.164686}, doi = {10.1145/157485.164686}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChickermaneRBP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/Roy-ChowdhuryB93, author = {Amber Roy{-}Chowdhury and Prithviraj Banerjee}, title = {Tolerance Determination for Algorithm-Based Checks Using Simplified Error Analysis Techniques}, booktitle = {Digest of Papers: FTCS-23, The Twenty-Third Annual International Symposium on Fault-Tolerant Computing, Toulouse, France, June 22-24, 1993}, pages = {290--298}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/FTCS.1993.627332}, doi = {10.1109/FTCS.1993.627332}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/Roy-ChowdhuryB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SuPB93, author = {Ernesto Su and Daniel J. Palermo and Prithviraj Banerjee}, editor = {Alok N. Choudhary and P. Bruce Berra}, title = {Automating Parallelization of Regular Computations for Distributed-Memory}, booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {II:} Software}, pages = {30--38}, publisher = {{CRC} Press}, year = {1993}, url = {https://doi.org/10.1109/ICPP.1993.51}, doi = {10.1109/ICPP.1993.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SuPB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/Roy-ChowdhuryB93, author = {Amber Roy{-}Chowdhury and Prithviraj Banerjee}, editor = {Salim Hariri and P. Bruce Berra}, title = {A Fault-Tolerant Parallel Algorithm for Iterative Solution of the Laplace Equation}, booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {III:} Algorithms {\&} Applications}, pages = {133--140}, publisher = {{CRC} Press}, year = {1993}, url = {https://doi.org/10.1109/ICPP.1993.22}, doi = {10.1109/ICPP.1993.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/Roy-ChowdhuryB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/RamaswamyB93, author = {Shankar Ramaswamy and Prithviraj Banerjee}, editor = {Alok N. Choudhary and P. Bruce Berra}, title = {Processor Allocation and Scheduling of Macro Dataflow Graphs on Distributed Memory Multicomputers by the {PARADIGM} Compiler}, booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {II:} Software}, pages = {134--138}, publisher = {{CRC} Press}, year = {1993}, url = {https://doi.org/10.1109/ICPP.1993.153}, doi = {10.1109/ICPP.1993.153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/RamaswamyB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChandyB93, author = {John A. Chandy and Prithviraj Banerjee}, editor = {C. Y. Roger Chen and P. Bruce Berra}, title = {Reliability Evalutaion of Disk Array Architectures}, booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {I:} Architecture}, pages = {263--267}, publisher = {{CRC} Press}, year = {1993}, url = {https://doi.org/10.1109/ICPP.1993.158}, doi = {10.1109/ICPP.1993.158}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/ChandyB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GuptaB93, author = {Manish Gupta and Prithviraj Banerjee}, editor = {Yoichi Muraoka}, title = {{PARADIGM:} {A} Compiler for Automatic Data Distribution on Multicomputers}, booktitle = {Proceedings of the 7th international conference on Supercomputing, {ICS} 1993, Tokyo, Japan, July 20-22, 1993}, pages = {87--96}, publisher = {{ACM}}, year = {1993}, url = {https://doi.org/10.1145/165939.165959}, doi = {10.1145/165939.165959}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/GuptaB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/RamkumarB93, author = {Balkrishna Ramkumar and Prithviraj Banerjee}, title = {A Portable Parallel Algorithm for {VLSI} Circuit Extraction}, booktitle = {The Seventh International Parallel Processing Symposium, Proceedings, Newport Beach, California, USA, April 13-16, 1993}, pages = {434--438}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/IPPS.1993.262922}, doi = {10.1109/IPPS.1993.262922}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/RamkumarB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/LimBDM93, author = {Chieng{-}Fai Lim and Prithviraj Banerjee and Kaushik De and Saburo Muroga}, title = {A Shared Memory Parallel Algorithm for Logic Synthesis}, booktitle = {Proceedings of the Sixth International Conference on {VLSI} Design, {VLSI} Design 1993, Bombay, India, January 3-6, 1993}, pages = {317--322}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/ICVD.1993.669703}, doi = {10.1109/ICVD.1993.669703}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/LimBDM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/ws/93/Banerjee93, author = {Prithviraj Banerjee}, editor = {Majid Sarrafzadeh and D. T. Lee}, title = {A Survey of Parallel Algorithms for {VLSI} cell Placement}, booktitle = {Algorithmic Aspects of {VLSI} Layout}, series = {Lecture Notes Series on Computing}, volume = {2}, pages = {69--131}, publisher = {World Scientific}, year = {1993}, url = {https://doi.org/10.1142/9789812794468\_0003}, doi = {10.1142/9789812794468\_0003}, timestamp = {Mon, 03 Apr 2023 16:01:56 +0200}, biburl = {https://dblp.org/rec/books/ws/93/Banerjee93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BelkhaleB92, author = {Krishna P. Belkhale and Prithviraj Banerjee}, title = {Reconfiguration Strategies for {VLSI} Processor Arrays and Trees Using a Modified Diogenes Approach}, journal = {{IEEE} Trans. Computers}, volume = {41}, number = {1}, pages = {83--96}, year = {1992}, url = {https://doi.org/10.1109/12.123383}, doi = {10.1109/12.123383}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BelkhaleB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BelkhaleB92a, author = {Krishna P. Belkhale and Prithviraj Banerjee}, title = {Parallel Algorithms for Geometric Connected Component Labeling on a Hypercube Multiprocessor}, journal = {{IEEE} Trans. Computers}, volume = {41}, number = {6}, pages = {699--709}, year = {1992}, url = {https://doi.org/10.1109/12.144622}, doi = {10.1109/12.144622}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BelkhaleB92a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/GuptaB92, author = {Manish Gupta and Prithviraj Banerjee}, title = {Demonstration of Automatic Data Partitioning Techniques for Parallelizing Compilers on Multicomputers}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {3}, number = {2}, pages = {179--193}, year = {1992}, url = {https://doi.org/10.1109/71.127259}, doi = {10.1109/71.127259}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/GuptaB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HsuB92, author = {Jiun{-}Ming Hsu and Prithviraj Banerjee}, title = {Performance Measurement and Trace Driven Simulation of Parallel {CAD} and Numeric Applications on a Hypercube Multicomputer}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {3}, number = {4}, pages = {451--464}, year = {1992}, url = {https://doi.org/10.1109/71.149963}, doi = {10.1109/71.149963}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HsuB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimBCP92, author = {Sungho Kim and Prithviraj Banerjee and Vivek Chickermane and Janak H. Patel}, editor = {Daniel G. Schweikert}, title = {{APT:} An Area-Performance-Testability Driven Placement Algorithm}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {141--146}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.110364}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimBCP92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/PeercyB92, author = {Michael Peercy and Prithviraj Banerjee}, title = {Design and Analysis of Software Reconfiguration Strategies for Hypercube Multicomputers under Multiple Faults}, booktitle = {Digest of Papers: FTCS-22, The Twenty-Second Annual International Symposium on Fault-Tolerant Computing, Boston, Massachusetts, USA, July 8-10, 1992}, pages = {448--455}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/FTCS.1992.243590}, doi = {10.1109/FTCS.1992.243590}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/PeercyB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RamkumarB92, author = {Balkrishna Ramkumar and Prithviraj Banerjee}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Portable parallel test generation for sequential circuits}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {220--223}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279371}, doi = {10.1109/ICCAD.1992.279371}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RamkumarB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeRB92, author = {Kaushik De and Balkrishna Ramkumar and Prithviraj Banerjee}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {ProperSYN: a portable parallel algorithm for logic synthesis}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {412--416}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279336}, doi = {10.1109/ICCAD.1992.279336}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeRB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RamkumarB92, author = {Balkrishna Ramkumar and Prithviraj Banerjee}, title = {ProperCAd: {A} Portable Object-Oriented Parallel Environment for {VLSI} {CAD}}, booktitle = {Proceedings 1992 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '92, Cambridge, MA, USA, October 11-14, 1992}, pages = {544--548}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ICCD.1992.276227}, doi = {10.1109/ICCD.1992.276227}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RamkumarB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HolmB92, author = {John G. Holm and Prithviraj Banerjee}, editor = {Trevor N. Mudge}, title = {Low Cost Concurrent Error Detection in a {VLIW} Architecture Using Replicated Instructions}, booktitle = {Proceedings of the 1992 International Conference on Parallel Processing, University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992. Volume {I:} Architecture}, pages = {192--195}, publisher = {{CRC} Press}, year = {1992}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/HolmB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GuptaB92, author = {Manish Gupta and Prithviraj Banerjee}, editor = {Ken Kennedy and Constantine D. Polychronopoulos}, title = {A methodology for high-level synthesis of communication on multicomputers}, booktitle = {Proceedings of the 6th international conference on Supercomputing, {ICS} 1992, Washington, DC, USA, July 19-24, 1992}, pages = {357--367}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/143369.143433}, doi = {10.1145/143369.143433}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/GuptaB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/GuptaB92, author = {Manish Gupta and Prithviraj Banerjee}, editor = {Viktor K. Prasanna and Larry H. Canter}, title = {Compile-Time Estimation of Communication Costs on Multicomputers}, booktitle = {Proceedings of the 6th International Parallel Processing Symposium, Beverly Hills, CA, USA, March 1992}, pages = {470--475}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/IPPS.1992.222982}, doi = {10.1109/IPPS.1992.222982}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/GuptaB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BelkhaleB91, author = {Krishna P. Belkhale and Prithviraj Banerjee}, title = {Parallel algorithms for {VLSI} circuit extraction}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {5}, pages = {604--618}, year = {1991}, url = {https://doi.org/10.1109/43.79498}, doi = {10.1109/43.79498}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BelkhaleB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KlingB91, author = {Ralph{-}Michael Kling and Prithviraj Banerjee}, title = {Empirical and theoretical studies of the simulated evolution method applied to standard cell placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {10}, pages = {1303--1315}, year = {1991}, url = {https://doi.org/10.1109/43.88926}, doi = {10.1109/43.88926}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KlingB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PatilB91, author = {Srinivas Patil and Prithviraj Banerjee}, title = {Performance trade-offs in a parallel test generation/fault simulation environment}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {12}, pages = {1542--1558}, year = {1991}, url = {https://doi.org/10.1109/43.103504}, doi = {10.1109/43.103504}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PatilB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PatilBP91, author = {Srinivas Patil and Prithviraj Banerjee and Janak H. Patel}, editor = {A. Richard Newton}, title = {Parallel Test Generation for Sequential Circuits on General-Purpose Multiprocessors}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {155--159}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/127601.127651}, doi = {10.1145/127601.127651}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PatilBP91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/BlaauwSBA91, author = {David T. Blaauw and Daniel G. Saab and Prithviraj Banerjee and Jacob A. Abraham}, editor = {Tony Ambler and Jochen A. G. Jess and Hugo De Man}, title = {Functional abstraction of logic gates for switch-level simulation}, booktitle = {Proceedings of the conference on European design automation, EURO-DAC'91, Amsterdam, The Netherlands, 1991}, pages = {329--333}, publisher = {{EEE} Computer Society}, year = {1991}, url = {http://dl.acm.org/citation.cfm?id=951584}, timestamp = {Tue, 17 Nov 2015 16:02:17 +0100}, biburl = {https://dblp.org/rec/conf/eurodac/BlaauwSBA91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/ReddyB91, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, title = {Gracefully Degradable Disk Arrays}, booktitle = {Proceedings of the 1991 International Symposium on Fault-Tolerant Computing, Montreal, Canada}, pages = {401--409}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/FTCS.1991.146692}, doi = {10.1109/FTCS.1991.146692}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/ReddyB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ReddyBC91, author = {A. L. Narasimha Reddy and Prithviraj Banerjee and D. K. Chen}, title = {Compiler Support for Parallel {I/O} Operations}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {II:} Software}, pages = {290--291}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/ReddyBC91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/BalasubramanianB91, author = {Vijay Balasubramanian and Prithviraj Banerjee}, title = {{CRAFT:} Compiler-Assisted Algorithm-Based Fault Tolerance in Distributed Memory Multiprocessors}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {501--504}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/BalasubramanianB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HsuB91, author = {Jiun{-}Ming Hsu and Prithviraj Banerjee}, title = {Performance Evaluation of Hardware Support for Message Passing in Distributed Memory Multicomputers}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {604--607}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Mon, 28 Jul 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/HsuB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BelkhaleB91, author = {Krishna P. Belkhale and Prithviraj Banerjee}, editor = {V. K. Prasanna Kumar}, title = {A Scheduling Algorithm for Parallelizable Dependent Tasks}, booktitle = {The Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30 - May 2, 1991}, pages = {500--506}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/IPPS.1991.153827}, doi = {10.1109/IPPS.1991.153827}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BelkhaleB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KimBP91, author = {Sungho Kim and Prithviraj Banerjee and Srinivas Patil}, title = {A Layout Driven Design for Testability Technique for {MOS} {VLSI} Circuits}, booktitle = {Proceedings {IEEE} International Test Conference 1991, Test: Faster, Better, Sooner, Nashville, TN, USA, October 26-30, 1991}, pages = {157--165}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/TEST.1991.519506}, doi = {10.1109/TEST.1991.519506}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/KimBP91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DeB91, author = {Kaushik De and Prithviraj Banerjee}, title = {Logic Partitioning and Resynthesis for Testability}, booktitle = {Proceedings {IEEE} International Test Conference 1991, Test: Faster, Better, Sooner, Nashville, TN, USA, October 26-30, 1991}, pages = {906--915}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/TEST.1991.519757}, doi = {10.1109/TEST.1991.519757}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/DeB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BalasubramanianB90, author = {Vijay Balasubramanian and Prithviraj Banerjee}, title = {Compiler-Assisted Synthesis of Algorithm-Based Checking in Multiprocessors}, journal = {{IEEE} Trans. Computers}, volume = {39}, number = {4}, pages = {436--446}, year = {1990}, url = {https://doi.org/10.1109/12.54837}, doi = {10.1109/12.54837}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BalasubramanianB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BanerjeeRSNRBA90, author = {Prithviraj Banerjee and Joseph T. Rahmeh and Craig B. Stunkel and V. S. S. Nair and Kaushik Roy and Vijay Balasubramanian and Jacob A. Abraham}, title = {Algorithm-Based Fault Tolerance on a Hypercube Multiprocessor}, journal = {{IEEE} Trans. Computers}, volume = {39}, number = {9}, pages = {1132--1145}, year = {1990}, url = {https://doi.org/10.1109/12.57055}, doi = {10.1109/12.57055}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BanerjeeRSNRBA90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ReddyB90, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, title = {Algorithms-Based Fault Detection for Signal Processing Applications}, journal = {{IEEE} Trans. Computers}, volume = {39}, number = {10}, pages = {1304--1308}, year = {1990}, url = {https://doi.org/10.1109/12.59860}, doi = {10.1109/12.59860}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ReddyB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PatilB90, author = {Srinivas Patil and Prithviraj Banerjee}, title = {A parallel branch and bound algorithm for test generation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {9}, number = {3}, pages = {313--322}, year = {1990}, url = {https://doi.org/10.1109/43.46806}, doi = {10.1109/43.46806}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PatilB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/BanerjeeJS90, author = {Prithviraj Banerjee and Mark Howard Jones and Jeff S. Sargent}, title = {Parallel Simulated Annealing Algorithms for Cell Placement on Hypercube Multiprocessors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {1}, number = {1}, pages = {91--106}, year = {1990}, url = {https://doi.org/10.1109/71.80128}, doi = {10.1109/71.80128}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/BanerjeeJS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ReddyB90, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, title = {Design, Analysis, and Simulation of {I/O} Architectures for Hypercube}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {1}, number = {2}, pages = {140--151}, year = {1990}, url = {https://doi.org/10.1109/71.80142}, doi = {10.1109/71.80142}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ReddyB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/BalasubramanianB90, author = {Vijay Balasubramanian and Prithviraj Banerjee}, title = {Tradeoffs in the Design of Efficient Algorithm-Based Error Detection Schemes for Hypercube Multiprocessors}, journal = {{IEEE} Trans. Software Eng.}, volume = {16}, number = {2}, pages = {183--196}, year = {1990}, url = {https://doi.org/10.1109/32.44381}, doi = {10.1109/32.44381}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/BalasubramanianB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KlingB90, author = {Ralph{-}Michael Kling and Prithviraj Banerjee}, editor = {Richard C. Smith}, title = {Optimization by Simulated Evolution with Applications to Standard Cell Placement}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {20--25}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123193}, doi = {10.1145/123186.123193}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KlingB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BrouwerB90, author = {Randall J. Brouwer and Prithviraj Banerjee}, editor = {Richard C. Smith}, title = {{PHIGURE:} {A} Parallel Hierarchical Global Router}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {650--653}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123429}, doi = {10.1145/123186.123429}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BrouwerB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/Banerjee90, author = {Prithviraj Banerjee}, title = {Strategies for reconfiguring hypercubes under faults}, booktitle = {Proceedings of the 20th International Symposium on Fault-Tolerant Computing, {FTCS} 1990, Newcastle Upon Tyne, UK, 26-28 June, 1990}, pages = {210--217}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/FTCS.1990.89368}, doi = {10.1109/FTCS.1990.89368}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/Banerjee90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/PeercyB90, author = {Michael Peercy and Prithviraj Banerjee}, title = {Distributed algorithms for shortest-path, deadlock-free routing and broadcasting in arbitrarily faulty hypercubes}, booktitle = {Proceedings of the 20th International Symposium on Fault-Tolerant Computing, {FTCS} 1990, Newcastle Upon Tyne, UK, 26-28 June, 1990}, pages = {218--225}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/FTCS.1990.89369}, doi = {10.1109/FTCS.1990.89369}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/PeercyB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BlaauwMSBA90, author = {David T. Blaauw and Robert B. Mueller{-}Thuns and Daniel G. Saab and Prithviraj Banerjee and Jacob A. Abraham}, title = {{SNEL:} {A} Switch-Level Simulator Using Multiple Levels of Functional Abstraction}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {66--69}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129842}, doi = {10.1109/ICCAD.1990.129842}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BlaauwMSBA90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BelkhaleB90, author = {Krishna P. Belkhale and Prithviraj Banerjee}, title = {A Parallel Algorithm for Hierarchical Circuit Extraction}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {236--239}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129890}, doi = {10.1109/ICCAD.1990.129890}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BelkhaleB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BlaauwBA90, author = {David T. Blaauw and Prithviraj Banerjee and Jacob A. Abraham}, title = {Automatic classification of node types in switch-level descriptions}, booktitle = {Proceedings of the 1990 {IEEE} International Conference on Computer Design: {VLSI} in Computers and Processors, {ICCD} 1990, Cambridge, MA, USA, 17-19 September, 1990}, pages = {175--178}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCD.1990.130194}, doi = {10.1109/ICCD.1990.130194}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BlaauwBA90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/BelkhaleB90, author = {Krishna P. Belkhale and Prithviraj Banerjee}, editor = {Benjamin W. Wah}, title = {An Approximate Algorithm for the Partitionable Independent Task Scheduling Problem}, booktitle = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 1: Architecture}, pages = {72--75}, publisher = {Pennsylvania State University Press}, year = {1990}, timestamp = {Mon, 28 Jul 2014 17:06:01 +0200}, biburl = {https://dblp.org/rec/conf/icpp/BelkhaleB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/BelkhaleB90a, author = {Krishna P. Belkhale and Prithviraj Banerjee}, editor = {Pen{-}Chung Yew}, title = {Geometric Connected Component Labeling on Distributed Memory Multicomputers}, booktitle = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 3: Algorithms and Applications}, pages = {291--294}, publisher = {Pennsylvania State University Press}, year = {1990}, timestamp = {Mon, 15 Jun 2015 19:00:07 +0200}, biburl = {https://dblp.org/rec/conf/icpp/BelkhaleB90a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HsuB90, author = {Jiun{-}Ming Hsu and Prithviraj Banerjee}, editor = {Benjamin W. Wah}, title = {Hardware Support for Message Routing in a Distributed Memory Multicomputer}, booktitle = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 1: Architecture}, pages = {508--515}, publisher = {Pennsylvania State University Press}, year = {1990}, timestamp = {Wed, 30 Oct 2002 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/HsuB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HsuB90, author = {Jiun{-}Ming Hsu and Prithviraj Banerjee}, editor = {Jean{-}Loup Baer and Larry Snyder and James R. Goodman}, title = {Performance Measurement and Trace Driven Simulation of Parallel {CAD} and Numeric Applications on a Hypercube Multicomputer}, booktitle = {Proceedings of the 17th Annual International Symposium on Computer Architecture, Seattle, WA, USA, June 1990}, pages = {260--269}, publisher = {{ACM}}, year = {1990}, url = {https://doi.org/10.1145/325164.325152}, doi = {10.1145/325164.325152}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/HsuB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ReddyB90, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, editor = {Jean{-}Loup Baer and Larry Snyder and James R. Goodman}, title = {A Study of {I/O} Behavior of Perfect Benchmarks on a Multiprocessor}, booktitle = {Proceedings of the 17th Annual International Symposium on Computer Architecture, Seattle, WA, USA, June 1990}, pages = {312--321}, publisher = {{ACM}}, year = {1990}, url = {https://doi.org/10.1145/325164.325157}, doi = {10.1145/325164.325157}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/ReddyB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/HsuB90, author = {Jiun{-}Ming Hsu and Prithviraj Banerjee}, editor = {Joanne L. Martin and Daniel V. Pryor and Gary R. Montry}, title = {A message passing coprocessor for distributed memory multicomputers}, booktitle = {Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990}, pages = {720--729}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/SUPERC.1990.130092}, doi = {10.1109/SUPERC.1990.130092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/HsuB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/ReddyB89, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, title = {A study parallel disk organizations}, journal = {{SIGARCH} Comput. Archit. News}, volume = {17}, number = {5}, pages = {40--47}, year = {1989}, url = {https://doi.org/10.1145/71302.71307}, doi = {10.1145/71302.71307}, timestamp = {Thu, 08 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/ReddyB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BanerjeeD89, author = {Prithviraj Banerjee and Abhijeet Dugar}, title = {The Design, Analysis and Simulation of a Fault-Tolerant Interconnection Network Supporting the Fetch-and-Add Primitive}, journal = {{IEEE} Trans. Computers}, volume = {38}, number = {1}, pages = {30--46}, year = {1989}, url = {https://doi.org/10.1109/12.8728}, doi = {10.1109/12.8728}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BanerjeeD89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ReddyB89, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, title = {An Evaluation of Multiple-Disk {I/O} Systems}, journal = {{IEEE} Trans. Computers}, volume = {38}, number = {12}, pages = {1680--1690}, year = {1989}, url = {https://doi.org/10.1109/12.40846}, doi = {10.1109/12.40846}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ReddyB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KlingB89, author = {Ralph{-}Michael Kling and Prithviraj Banerjee}, title = {ESp: Placement by simulated evolution}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {8}, number = {3}, pages = {245--256}, year = {1989}, url = {https://doi.org/10.1109/43.21844}, doi = {10.1109/43.21844}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KlingB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PatilB89, author = {Srinivas Patil and Prithviraj Banerjee}, editor = {Donald E. Thomas}, title = {A Parallel Branch and Bound Algorithm for Test Generation}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {339--343}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74439}, doi = {10.1145/74382.74439}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PatilB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SargentB89, author = {Jeff S. Sargent and Prithviraj Banerjee}, editor = {Donald E. Thomas}, title = {A Parallel Row-based Algorithm for Standard Cell Placement with Integrated Error Control}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {590--593}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74482}, doi = {10.1145/74382.74482}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SargentB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimB89, author = {Sungho Kim and Prithviraj Banerjee}, title = {An accurate timing model for fault simulation in {MOS} circuits}, booktitle = {1989 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}, pages = {76--79}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/ICCAD.1989.76908}, doi = {10.1109/ICCAD.1989.76908}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BelkhaleB89, author = {Krishna P. Belkhale and Prithviraj Banerjee}, title = {{PACE2:} an improved parallel {VLSI} extractor with parameter extraction}, booktitle = {1989 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}, pages = {526--529}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/ICCAD.1989.77005}, doi = {10.1109/ICCAD.1989.77005}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BelkhaleB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/Mueller-ThunsMB89, author = {Robert B. Mueller{-}Thuns and David McFarland and Prithviraj Banerjee}, title = {Algorithm-Based Fault Tolerance for Adaptive Least Squares Lattice Filtering on a Hypercube Multiprocessor}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '89, The Pennsylvania State University, University Park, PA, USA, August 1989. Volume 3: Algorithms and Applications}, pages = {177--180}, publisher = {Pennsylvania State University Press}, year = {1989}, timestamp = {Mon, 15 Jun 2015 19:00:07 +0200}, biburl = {https://dblp.org/rec/conf/icpp/Mueller-ThunsMB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ReddyB89, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, title = {Performance Evaluation of Multiple-Disk {I/O} Systems}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '89, The Pennsylvania State University, University Park, PA, USA, August 1989. Volume 1: Architecture}, pages = {315--318}, publisher = {Pennsylvania State University Press}, year = {1989}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/ReddyB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ReddyB89, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, editor = {George Paul and Theodore S. Papatheodorou and Dennis Gannon and E. N. Pudue}, title = {{I/O} issues for hypercubes}, booktitle = {Proceedings of the 3rd international conference on Supercomputing, {ICS} 1989, Heraklion, Crete, Greece, June 5-9, 1989}, pages = {72--81}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/318789.318798}, doi = {10.1145/318789.318798}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/ReddyB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PatilB89, author = {Srinivas Patil and Prithviraj Banerjee}, title = {Fault Partitioning Issues in an Integrated Parallel Test Generation/Fault Simulation Environment}, booktitle = {Proceedings International Test Conference 1989, Washington, D.C., USA, August 1989}, pages = {718--726}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/TEST.1989.82360}, doi = {10.1109/TEST.1989.82360}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PatilB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/BalasubramanianB89, author = {Vijay Balasubramanian and Prithviraj Banerjee}, title = {Algorithm-based Error Detection for Signal Processing Applications on a Hypercube Multiprocessor}, booktitle = {Proceedings of the Real-Time Systems Symposium - 1989, Santa Monica, California, USA, December 1989}, pages = {134--143}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/REAL.1989.63564}, doi = {10.1109/REAL.1989.63564}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/BalasubramanianB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/PatilBP89, author = {Srinivas Patil and Prithviraj Banerjee and Constantine D. Polychronopoulos}, editor = {F. Ron Bailey}, title = {Efficient circuit partitioning algorithms for parallel logic simulation}, booktitle = {Proceedings Supercomputing '89, Reno, NV, USA, November 12-17, 1989}, pages = {361--370}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/76263.76303}, doi = {10.1145/76263.76303}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/sc/PatilBP89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Banerjee88, author = {Prithviraj Banerjee}, title = {The Cubical Ring Connected Cycles: {A} Fault-Tolerant Parallel Computation Network}, journal = {{IEEE} Trans. Computers}, volume = {37}, number = {5}, pages = {632--636}, year = {1988}, url = {https://doi.org/10.1109/12.4617}, doi = {10.1109/12.4617}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Banerjee88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WestB88, author = {Douglas B. West and Prithviraj Banerjee}, title = {On the Construction of Communication Networks Satisfying Bounded Fan-In of Service Ports}, journal = {{IEEE} Trans. Computers}, volume = {37}, number = {9}, pages = {1148--1151}, year = {1988}, url = {https://doi.org/10.1109/12.2270}, doi = {10.1109/12.2270}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/WestB88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/c3p/BanerjeeS88, author = {Prithviraj Banerjee and Craig B. Stunkel}, editor = {Geoffrey C. Fox}, title = {A novel approach to system-level fault tolerance in hypercube multiprocessors}, booktitle = {Proceedings of the Third Conference on Hypercube Concurrent Computers and Applications - Architecture, Software, Computer Systems, and General Issues, C{\({^3}\)}P, Pasadena, California, USA, January 19-20, 1988}, pages = {307--311}, publisher = {{ACM}}, year = {1988}, url = {https://doi.org/10.1145/62297.62330}, doi = {10.1145/62297.62330}, timestamp = {Fri, 29 Apr 2022 13:57:54 +0200}, biburl = {https://dblp.org/rec/conf/c3p/BanerjeeS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/BanerjeeRSNRA88, author = {Prithviraj Banerjee and Joseph T. Rahmeh and Craig B. Stunkel and V. S. S. Nair and Kaushik Roy and Jacob A. Abraham}, title = {An evaluation of system-level fault tolerance on the Intel hypercube multiprocessor}, booktitle = {Proceedings of the Eighteenth International Symposium on Fault-Tolerant Computing, {FTCS} 1988, Tokyo, Japan, 27-30 June, 1988}, pages = {362--367}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/FTCS.1988.5344}, doi = {10.1109/FTCS.1988.5344}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/BanerjeeRSNRA88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BelkhaleB88, author = {Krishna P. Belkhale and Prithviraj Banerjee}, title = {{PACE:} a parallel {VLSI} extractor on the Intel hypercube multiprocessor}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {326--329}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122521}, doi = {10.1109/ICCAD.1988.122521}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BelkhaleB88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BrouwerB88, author = {Randall J. Brouwer and Prithviraj Banerjee}, title = {A parallel simulated annealing algorithm for channel routing on a hypercube multiprocessor}, booktitle = {Computer Design: {VLSI} in Computers and Processors, {ICCD} 1988., Proceedings of the 1988 {IEEE} International Conference on, Rye Brook, NY, USA, October 3-5, 1988}, pages = {4--7}, publisher = {{IEEE}}, year = {1988}, url = {https://doi.org/10.1109/ICCD.1988.25647}, doi = {10.1109/ICCD.1988.25647}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/iccd/BrouwerB88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BelkhaleB88, author = {Krishna P. Belkhale and Prith Banerjee}, title = {Reconfiguration strategies in {VLSI} processor arrays}, booktitle = {Computer Design: {VLSI} in Computers and Processors, {ICCD} 1988., Proceedings of the 1988 {IEEE} International Conference on, Rye Brook, NY, USA, October 3-5, 1988}, pages = {418--421}, publisher = {{IEEE}}, year = {1988}, url = {https://doi.org/10.1109/ICCD.1988.25735}, doi = {10.1109/ICCD.1988.25735}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/BelkhaleB88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ReddyB88, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, title = {{I/O} Embedding in Hypercubes}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '88, The Pennsylvania State University, University Park, PA, USA, August 1988. Volume 1: Architecture}, pages = {331--338}, publisher = {Pennsylvania State University Press}, year = {1988}, timestamp = {Mon, 28 Jul 2014 17:06:01 +0200}, biburl = {https://dblp.org/rec/conf/icpp/ReddyB88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/AbrahamBCFKR87, author = {Jacob A. Abraham and Prithviraj Banerjee and Chien{-}Yi Chen and W. Kent Fuchs and Sy{-}Yen Kuo and A. L. Narasimha Reddy}, title = {Fault Tolerance Techniques for Systolic Arrays}, journal = {Computer}, volume = {20}, number = {7}, pages = {65--75}, year = {1987}, url = {https://doi.org/10.1109/MC.1987.1663621}, doi = {10.1109/MC.1987.1663621}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/AbrahamBCFKR87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/BalasubramanianB87, author = {Vijay Balasubramanian and Prithviraj Banerjee}, title = {A Fault Tolerant Massively Parallel Processing Architecture}, journal = {J. Parallel Distributed Comput.}, volume = {4}, number = {4}, pages = {363--383}, year = {1987}, url = {https://doi.org/10.1016/0743-7315(87)90025-6}, doi = {10.1016/0743-7315(87)90025-6}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/BalasubramanianB87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KlingB87, author = {Ralph{-}Michael Kling and Prithviraj Banerjee}, editor = {A. O'Neill and D. Thomas}, title = {{ESP:} {A} New Standard Cell Placement Package Using Simulated Evolution}, booktitle = {Proceedings of the 24th {ACM/IEEE} Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987}, pages = {60--66}, publisher = {{IEEE} Computer Society Press / {ACM}}, year = {1987}, url = {https://doi.org/10.1145/37888.37897}, doi = {10.1145/37888.37897}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KlingB87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JonesB87, author = {Mark Jones and Prithviraj Banerjee}, editor = {A. O'Neill and D. Thomas}, title = {Performance of a Parallel Algorithm for Standard Cell Placement on the Intel Hypercube}, booktitle = {Proceedings of the 24th {ACM/IEEE} Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987}, pages = {807--813}, publisher = {{IEEE} Computer Society Press / {ACM}}, year = {1987}, url = {https://doi.org/10.1145/37888.38015}, doi = {10.1145/37888.38015}, timestamp = {Fri, 30 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JonesB87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/ReddyB87, author = {A. L. Narasimha Reddy and Prithviraj Banerjee}, title = {A Fault Secure Dictionary Machine}, booktitle = {Proceedings of the Third International Conference on Data Engineering, February 3-5, 1987, Los Angeles, California, {USA}}, pages = {104--110}, publisher = {{IEEE} Computer Society}, year = {1987}, url = {https://doi.org/10.1109/ICDE.1987.7272363}, doi = {10.1109/ICDE.1987.7272363}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/ReddyB87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/BalasubramanianB87, author = {Vijay Balasubramanian and Prithviraj Banerjee}, title = {A Fixed Size Array Processor for Computing the Fast Fourier Transform}, booktitle = {Proceedings of the 8th {IEEE} Real-Time Systems Symposium {(RTSS} '87), December 1-3, 1987, San Jose, Califorinia, {USA}}, pages = {36--43}, publisher = {{IEEE} Computer Society}, year = {1987}, timestamp = {Wed, 23 Jan 2013 07:55:26 +0100}, biburl = {https://dblp.org/rec/conf/rtss/BalasubramanianB87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BanerjeeA86, author = {Prithviraj Banerjee and Jacob A. Abraham}, title = {Bounds on Algorithm-Based Fault Tolerance in Multiple Processor Systems}, journal = {{IEEE} Trans. Computers}, volume = {35}, number = {4}, pages = {296--306}, year = {1986}, url = {https://doi.org/10.1109/TC.1986.1676762}, doi = {10.1109/TC.1986.1676762}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BanerjeeA86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/BanerjeeD86, author = {Prithviraj Banerjee and Abhijeet Dugar}, title = {A Fault-Tolerant Interconnection Network Supporting the Fetch-And-Add Primitive}, booktitle = {International Conference on Parallel Processing, ICPP'86, University Park, PA, USA, August 1986}, pages = {327--334}, publisher = {{IEEE} Computer Society Press}, year = {1986}, timestamp = {Mon, 28 Jul 2014 17:06:02 +0200}, biburl = {https://dblp.org/rec/conf/icpp/BanerjeeD86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/BalasubramanianB86, author = {Vijay Balasubramanian and Prithviraj Banerjee}, title = {{RECBAR} : {A} Reconfigurable Massively Parallel Processing Architecture}, booktitle = {International Conference on Parallel Processing, ICPP'86, University Park, PA, USA, August 1986}, pages = {390--393}, publisher = {{IEEE} Computer Society Press}, year = {1986}, timestamp = {Mon, 28 Jul 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/BalasubramanianB86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/BanerjeeA86, author = {Prithviraj Banerjee and Jacob A. Abraham}, title = {A Probabilistic Model of Algorithm-Based Fault Tolerance in Array Processors for Real-Time Systems}, booktitle = {Proceedings of the 7th {IEEE} Real-Time Systems Symposium {(RTSS} '86), December 2-4, 1986, New Orleans, Louisiana, {USA}}, pages = {72--78}, publisher = {{IEEE} Computer Society}, year = {1986}, timestamp = {Wed, 23 Jan 2013 07:55:26 +0100}, biburl = {https://dblp.org/rec/conf/rtss/BanerjeeA86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Banerjee85, author = {Prithviraj Banerjee}, title = {A Theory for Algorithm-Based Fault Tolerance in Array Processor Systems}, school = {University of Illinois Urbana-Champaign, {USA}}, year = {1985}, url = {https://hdl.handle.net/2142/69305}, timestamp = {Sat, 29 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Banerjee85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BanerjeeA85, author = {Prithviraj Banerjee and Jacob A. Abraham}, title = {A Multivalued Algebra For Modeling Physical Failures in {MOS} {VLSI} Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {4}, number = {3}, pages = {312--321}, year = {1985}, url = {https://doi.org/10.1109/TCAD.1985.1270127}, doi = {10.1109/TCAD.1985.1270127}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BanerjeeA85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BanerjeeA84, author = {Prithviraj Banerjee and Jacob A. Abraham}, title = {Characterization and Testing of Physical Failures in {MOS} Logic Circuits}, journal = {{IEEE} Des. Test}, volume = {1}, number = {3}, pages = {76--86}, year = {1984}, url = {https://doi.org/10.1109/MDT.1984.5005655}, doi = {10.1109/MDT.1984.5005655}, timestamp = {Wed, 11 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BanerjeeA84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/BanerjeeA84, author = {Prithviraj Banerjee and Jacob A. Abraham}, editor = {Dharma P. Agrawal}, title = {Fault-Secure Algorithms for Multiple-Processor Systems}, booktitle = {Proceedings of the 11th Annual Symposium on Computer Architecture, Ann Arbor, USA, June 1984}, pages = {279--287}, publisher = {{ACM}}, year = {1984}, url = {https://doi.org/10.1145/800015.808196}, doi = {10.1145/800015.808196}, timestamp = {Tue, 13 Jul 2021 10:01:21 +0200}, biburl = {https://dblp.org/rec/conf/isca/BanerjeeA84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BanerjeeA83, author = {Prithviraj Banerjee and Jacob A. Abraham}, title = {Generating Tests for Physical Failures in {MOS} Logic Circuits}, booktitle = {Proceedings International Test Conference 1983, Philadelphia, PA, USA, October 1983}, pages = {554--559}, publisher = {{IEEE} Computer Society}, year = {1983}, timestamp = {Tue, 05 Nov 2002 15:16:27 +0100}, biburl = {https://dblp.org/rec/conf/itc/BanerjeeA83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.