BibTeX records: Sumeet Kumar Gupta

download as .bib file

@article{DBLP:journals/tcad/AlamHAIGA24,
  author       = {Shamiul Alam and
                  William Mitchell Hunter and
                  Nazmul Amin and
                  Md. Mazharul Islam and
                  Sumeet Kumar Gupta and
                  Ahmedullah Aziz},
  title        = {Design Space Exploration for Phase Transition Material-Augmented MRAMs
                  With Separate Read-Write Paths},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {43},
  number       = {1},
  pages        = {151--160},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCAD.2023.3299838},
  doi          = {10.1109/TCAD.2023.3299838},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/AlamHAIGA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/MalhotraSWG23,
  author       = {Akul Malhotra and
                  Atanu K. Saha and
                  Chunguang Wang and
                  Sumeet Kumar Gupta},
  title        = {{ADRA:} Extending Digital Computing-In-Memory With Asymmetric Dual-Row-Activation},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {70},
  number       = {8},
  pages        = {3089--3093},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSII.2023.3253659},
  doi          = {10.1109/TCSII.2023.3253659},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/MalhotraSWG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MalhotraWG23,
  author       = {Akul Malhotra and
                  Chunguang Wang and
                  Sumeet Kumar Gupta},
  title        = {TFix: Exploiting the Natural Redundancy of Ternary Neural Networks
                  for Fault Tolerant In-Memory Vector Matrix Multiplication},
  booktitle    = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco,
                  CA, USA, July 9-13, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DAC56929.2023.10247835},
  doi          = {10.1109/DAC56929.2023.10247835},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MalhotraWG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/KimSG23,
  author       = {Tae Ryong Kim and
                  Atanu K. Saha and
                  Sumeet Kumar Gupta},
  title        = {Analysis of Polarization Switching in HZO/ZrO2 {(HZZ)} Nanolaminates
                  based on Sub-lattice Phase-field Model},
  booktitle    = {Device Research Conference, {DRC} 2023, Santa Barbara, CA, USA, June
                  25-28, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DRC58590.2023.10186928},
  doi          = {10.1109/DRC58590.2023.10186928},
  timestamp    = {Tue, 08 Aug 2023 12:06:53 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/KimSG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/WangVSCSSRYG23,
  author       = {Chunguang Wang and
                  Jeffry Victor and
                  Atanu K. Saha and
                  X. Chen and
                  M. Si and
                  T. Sharma and
                  K. Roy and
                  Peide D. Ye and
                  Sumeet Kumar Gupta},
  title        = {FeFET-Based Synaptic Cross-Bar Arrays for Deep Neural Networks: Impact
                  of Ferroelectric Thickness on Device-Circuit Non-Idealities and System
                  Accuracy},
  booktitle    = {Device Research Conference, {DRC} 2023, Santa Barbara, CA, USA, June
                  25-28, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DRC58590.2023.10187042},
  doi          = {10.1109/DRC58590.2023.10187042},
  timestamp    = {Sun, 08 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/WangVSCSSRYG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2301-00675,
  author       = {Akul Malhotra and
                  Sumeet Kumar Gupta},
  title        = {FlatENN: Train Flat for Enhanced Fault Tolerance of Quantized Deep
                  Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2301.00675},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2301.00675},
  doi          = {10.48550/ARXIV.2301.00675},
  eprinttype    = {arXiv},
  eprint       = {2301.00675},
  timestamp    = {Tue, 10 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2301-00675.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2306-05219,
  author       = {Karam Cho and
                  Sumeet Kumar Gupta},
  title        = {{XNOR-VSH:} {A} Valley-Spin Hall Effect-based Compact and Energy-Efficient
                  Synaptic Crossbar Array for Binary Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2306.05219},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2306.05219},
  doi          = {10.48550/ARXIV.2306.05219},
  eprinttype    = {arXiv},
  eprint       = {2306.05219},
  timestamp    = {Wed, 14 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2306-05219.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2307-04261,
  author       = {Chunguang Wang and
                  Jeffry Victor and
                  Sumeet Kumar Gupta},
  title        = {Design Space Exploration and Comparative Evaluation of Memory Technologies
                  for Synaptic Crossbar Arrays: Device-Circuit Non-Idealities and System
                  Accuracy},
  journal      = {CoRR},
  volume       = {abs/2307.04261},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2307.04261},
  doi          = {10.48550/ARXIV.2307.04261},
  eprinttype    = {arXiv},
  eprint       = {2307.04261},
  timestamp    = {Mon, 24 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2307-04261.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2308-15756,
  author       = {Md. Mazharul Islam and
                  Shamiul Alam and
                  Mohammad Adnan Jahangir and
                  Garrett S. Rose and
                  Suman Datta and
                  Vijaykrishnan Narayanan and
                  Sumeet Kumar Gupta and
                  Ahmedullah Aziz},
  title        = {Reimagining Sense Amplifiers: Harnessing Phase Transition Materials
                  for Current and Voltage Sensing},
  journal      = {CoRR},
  volume       = {abs/2308.15756},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2308.15756},
  doi          = {10.48550/ARXIV.2308.15756},
  eprinttype    = {arXiv},
  eprint       = {2308.15756},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2308-15756.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ThirumalaRGR22,
  author       = {Sandeep Krishna Thirumala and
                  Arnab Raha and
                  Sumeet Kumar Gupta and
                  Vijay Raghunathan},
  title        = {Exploring the Design of Energy-Efficient Intermittently Powered Systems
                  Using Reconfigurable Ferroelectric Transistors},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {30},
  number       = {4},
  pages        = {365--378},
  year         = {2022},
  url          = {https://doi.org/10.1109/TVLSI.2021.3125248},
  doi          = {10.1109/TVLSI.2021.3125248},
  timestamp    = {Fri, 01 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ThirumalaRGR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ElangovanRTGR22,
  author       = {Reena Elangovan and
                  Ashish Ranjan and
                  Niharika Thakuria and
                  Sumeet Kumar Gupta and
                  Anand Raghunathan},
  editor       = {Hai Helen Li and
                  Charles Augustine and
                  Ayse Kivilcim Coskun and
                  Swaroop Ghosh},
  title        = {Energy Efficient Cache Design with Piezoelectric FETs},
  booktitle    = {{ISLPED} '22: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, MA, USA, August 1 - 3, 2022},
  pages        = {31:1--31:6},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3531437.3539727},
  doi          = {10.1145/3531437.3539727},
  timestamp    = {Thu, 20 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ElangovanRTGR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KunduMRGB22,
  author       = {Shamik Kundu and
                  Akul Malhotra and
                  Arnab Raha and
                  Sumeet Kumar Gupta and
                  Kanad Basu},
  title        = {RIBoNN: Designing Robust In-Memory Binary Neural Network Accelerators},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA,
                  September 23-30, 2022},
  pages        = {504--508},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ITC50671.2022.00061},
  doi          = {10.1109/ITC50671.2022.00061},
  timestamp    = {Thu, 05 Jan 2023 13:13:27 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KunduMRGB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2201-01509,
  author       = {Akul Malhotra and
                  Atanu K. Saha and
                  Chunguang Wang and
                  Sumeet Kumar Gupta},
  title        = {{ADRA:} Extending Digital Computing-in-Memory with Asymmetric Dual-Row-Activation},
  journal      = {CoRR},
  volume       = {abs/2201.01509},
  year         = {2022},
  url          = {https://arxiv.org/abs/2201.01509},
  eprinttype    = {arXiv},
  eprint       = {2201.01509},
  timestamp    = {Mon, 10 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2201-01509.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2203-00064,
  author       = {Niharika Thakuria and
                  Sumeet Kumar Gupta},
  title        = {Piezoelectric Strain {FET} (PeFET) based Non-Volatile Memories},
  journal      = {CoRR},
  volume       = {abs/2203.00064},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2203.00064},
  doi          = {10.48550/ARXIV.2203.00064},
  eprinttype    = {arXiv},
  eprint       = {2203.00064},
  timestamp    = {Wed, 16 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2203-00064.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2203-16416,
  author       = {Niharika Thakuria and
                  Reena Elangovan and
                  Sandeep Krishna Thirumala and
                  Anand Raghunathan and
                  Sumeet Kumar Gupta},
  title        = {STeP-CiM: Strain-enabled Ternary Precision Computation-in-Memory based
                  on Non-Volatile 2D Piezoelectric Transistors},
  journal      = {CoRR},
  volume       = {abs/2203.16416},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2203.16416},
  doi          = {10.48550/ARXIV.2203.16416},
  eprinttype    = {arXiv},
  eprint       = {2203.16416},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2203-16416.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2209-08188,
  author       = {Karam Cho and
                  Sumeet Kumar Gupta},
  title        = {Valley-Spin Hall Effect-based Nonvolatile Memory with Exchange-Coupling-Enabled
                  Electrical Isolation of Read and Write Paths},
  journal      = {CoRR},
  volume       = {abs/2209.08188},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2209.08188},
  doi          = {10.48550/ARXIV.2209.08188},
  eprinttype    = {arXiv},
  eprint       = {2209.08188},
  timestamp    = {Wed, 28 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2209-08188.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/ChenLJCG21,
  author       = {Xinkang Chen and
                  Chun{-}Li Lo and
                  Mark C. Johnson and
                  Zhihong Chen and
                  Sumeet Kumar Gupta},
  title        = {Modeling and Circuit Analysis of Interconnects with TaS2 Barrier/Liner},
  booktitle    = {Device Research Conference, {DRC} 2021, Santa Barbara, CA, USA, June
                  20-23, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DRC52342.2021.9467160},
  doi          = {10.1109/DRC52342.2021.9467160},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/drc/ChenLJCG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/ChoFG21,
  author       = {Karam Cho and
                  Xuanyao Fong and
                  Sumeet Kumar Gupta},
  title        = {Exchange-Coupling-Enabled Electrical-Isolation of Compute and Programming
                  Paths in Valley-Spin Hall Effect based Spintronic Device for Neuromorphic
                  Applications},
  booktitle    = {Device Research Conference, {DRC} 2021, Santa Barbara, CA, USA, June
                  20-23, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DRC52342.2021.9467139},
  doi          = {10.1109/DRC52342.2021.9467139},
  timestamp    = {Wed, 28 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/ChoFG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AlamAGA21,
  author       = {Shamiul Alam and
                  Nazmul Amin and
                  Sumeet Kumar Gupta and
                  Ahmedullah Aziz},
  editor       = {Yiran Chen and
                  Victor V. Zhirnov and
                  Avesta Sasan and
                  Ioannis Savidis},
  title        = {Monte Carlo Variation Analysis of NCFET-based 6-T {SRAM:} Design Opportunities
                  and Trade-offs},
  booktitle    = {{GLSVLSI} '21: Great Lakes Symposium on {VLSI} 2021, Virtual Event,
                  USA, June 22-25, 2021},
  pages        = {467--472},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3453688.3461742},
  doi          = {10.1145/3453688.3461742},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AlamAGA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JainGR20,
  author       = {Shubham Jain and
                  Sumeet Kumar Gupta and
                  Anand Raghunathan},
  title        = {TiM-DNN: Ternary In-Memory Accelerator for Deep Neural Networks},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {7},
  pages        = {1567--1577},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2020.2993045},
  doi          = {10.1109/TVLSI.2020.2993045},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JainGR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ThirumalaJGR20,
  author       = {Sandeep Krishna Thirumala and
                  Shubham Jain and
                  Sumeet Kumar Gupta and
                  Anand Raghunathan},
  title        = {Ternary Compute-Enabled Memory using Ferroelectric Transistors for
                  Accelerating Deep Neural Networks},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {31--36},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116495},
  doi          = {10.23919/DATE48585.2020.9116495},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ThirumalaJGR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/ChoTLTCG20,
  author       = {Karam Cho and
                  Sandeep Krishna Thirumala and
                  X. Liu and
                  Niharika Thakuria and
                  Zhihong Chen and
                  Sumeet Kumar Gupta},
  title        = {Utilizing Valley-Spin Hall Effect in WSe2 for Low Power Non-Volatile
                  Flip-Flop Design},
  booktitle    = {2020 Device Research Conference, {DRC} 2020, Columbus, OH, USA, June
                  21-24, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DRC50226.2020.9135153},
  doi          = {10.1109/DRC50226.2020.9135153},
  timestamp    = {Wed, 28 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/ChoTLTCG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/ThakuriaSTSDG20,
  author       = {Niharika Thakuria and
                  Atanu K. Saha and
                  Sandeep Krishna Thirumala and
                  Daniel Schulman and
                  Saptarshi Das and
                  Sumeet Kumar Gupta},
  title        = {Polarization-induced Strain-coupled {TMD} FETs {(PS} FETs) for Non-Volatile
                  Memory Applications},
  booktitle    = {2020 Device Research Conference, {DRC} 2020, Columbus, OH, USA, June
                  21-24, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DRC50226.2020.9135172},
  doi          = {10.1109/DRC50226.2020.9135172},
  timestamp    = {Mon, 27 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/ThakuriaSTSDG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ThirumalaRRG20,
  author       = {Sandeep Krishna Thirumala and
                  Arnab Raha and
                  Vijay Raghunathan and
                  Sumeet Kumar Gupta},
  title        = {IPS-CiM: Enhancing Energy Efficiency of Intermittently-Powered Systems
                  with Compute-in-Memory},
  booktitle    = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020,
                  Hartford, CT, USA, October 18-21, 2020},
  pages        = {368--376},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICCD50377.2020.00068},
  doi          = {10.1109/ICCD50377.2020.00068},
  timestamp    = {Mon, 11 Jan 2021 13:35:27 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ThirumalaRRG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GeorgeJRLGSN20,
  author       = {Sumitha George and
                  Nicholas Jao and
                  Akshay Krishna Ramanathan and
                  Xueqing Li and
                  Sumeet Kumar Gupta and
                  John Sampson and
                  Vijaykrishnan Narayanan},
  title        = {Integrated {CAM-RAM} Functionality using Ferroelectric FETs},
  booktitle    = {21st International Symposium on Quality Electronic Design, {ISQED}
                  2020, Santa Clara, CA, USA, March 25-26, 2020},
  pages        = {81--86},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISQED48828.2020.9136998},
  doi          = {10.1109/ISQED48828.2020.9136998},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GeorgeJRLGSN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/LiWNGMSGLYDN19,
  author       = {Xueqing Li and
                  Juejian Wu and
                  Kai Ni and
                  Sumitha George and
                  Kaisheng Ma and
                  John Sampson and
                  Sumeet Kumar Gupta and
                  Yongpan Liu and
                  Huazhong Yang and
                  Suman Datta and
                  Vijaykrishnan Narayanan},
  title        = {Design of 2T/Cell and 3T/Cell Nonvolatile Memories with Emerging Ferroelectric
                  FETs},
  journal      = {{IEEE} Des. Test},
  volume       = {36},
  number       = {3},
  pages        = {39--45},
  year         = {2019},
  url          = {https://doi.org/10.1109/MDAT.2019.2902094},
  doi          = {10.1109/MDAT.2019.2902094},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/LiWNGMSGLYDN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/SrinivasaRLCGCG19,
  author       = {Srivatsa Rangachar Srinivasa and
                  Akshay Krishna Ramanathan and
                  Xueqing Li and
                  Wei{-}Hao Chen and
                  Sumeet Kumar Gupta and
                  Meng{-}Fan Chang and
                  Swaroop Ghosh and
                  Jack Sampson and
                  Vijaykrishnan Narayanan},
  title        = {{ROBIN:} Monolithic-3D {SRAM} for Enhanced Robustness with In-Memory
                  Computation Support},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {7},
  pages        = {2533--2545},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2019.2897497},
  doi          = {10.1109/TCSI.2019.2897497},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/SrinivasaRLCGCG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/Ash-SakiLATGG19,
  author       = {Abdullah Ash{-}Saki and
                  Sung{-}Hao Lin and
                  Mahabubul Alam and
                  Sandeep Krishna Thirumala and
                  Sumeet Kumar Gupta and
                  Swaroop Ghosh},
  title        = {A Family of Compact Non-Volatile Flip-Flops With Ferroelectric {FET}},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {11},
  pages        = {4219--4229},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2019.2927347},
  doi          = {10.1109/TCSI.2019.2927347},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/Ash-SakiLATGG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiangZLGDN19,
  author       = {Yuhua Liang and
                  Zhangming Zhu and
                  Xueqing Li and
                  Sumeet Kumar Gupta and
                  Suman Datta and
                  Vijaykrishnan Narayanan},
  title        = {Utilization of Negative-Capacitance FETs to Boost Analog Circuit Performances},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2855--2860},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2932268},
  doi          = {10.1109/TVLSI.2019.2932268},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiangZLGDN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ThirumalaJRG19,
  author       = {Sandeep Krishna Thirumala and
                  Shubham Jain and
                  Anand Raghunathan and
                  Sumeet Kumar Gupta},
  title        = {Non-Volatile Memory utilizing Reconfigurable Ferroelectric Transistors
                  to enable Differential Read and Energy-Efficient In-Memory Computation},
  booktitle    = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISLPED.2019.8824948},
  doi          = {10.1109/ISLPED.2019.8824948},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ThirumalaJRG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/ThirumalaRNRG19,
  author       = {Sandeep Krishna Thirumala and
                  Arnab Raha and
                  Vijaykrishnan Narayanan and
                  Vijay Raghunathan and
                  Sumeet Kumar Gupta},
  title        = {Non-volatile Logic and Memory based on Reconfigurable Ferroelectric
                  Transistors},
  booktitle    = {{IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2019, Qingdao, China, July 17-19, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/NANOARCH47378.2019.181302},
  doi          = {10.1109/NANOARCH47378.2019.181302},
  timestamp    = {Mon, 11 May 2020 11:18:24 +0200},
  biburl       = {https://dblp.org/rec/conf/nanoarch/ThirumalaRNRG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1909-06892,
  author       = {Shubham Jain and
                  Sumeet Kumar Gupta and
                  Anand Raghunathan},
  title        = {TiM-DNN: Ternary in-Memory accelerator for Deep Neural Networks},
  journal      = {CoRR},
  volume       = {abs/1909.06892},
  year         = {2019},
  url          = {http://arxiv.org/abs/1909.06892},
  eprinttype    = {arXiv},
  eprint       = {1909.06892},
  timestamp    = {Wed, 02 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1909-06892.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1912-07821,
  author       = {Sandeep Krishna Thirumala and
                  Yi{-}Tse Hung and
                  Shubham Jain and
                  Arnab Raha and
                  Niharika Thakuria and
                  Vijay Raghunathan and
                  Anand Raghunathan and
                  Zhihong Chen and
                  Sumeet Kumar Gupta},
  title        = {Valley-Coupled-Spintronic Non-Volatile Memories with Compute-In-Memory
                  Support},
  journal      = {CoRR},
  volume       = {abs/1912.07821},
  year         = {2019},
  url          = {http://arxiv.org/abs/1912.07821},
  eprinttype    = {arXiv},
  eprint       = {1912.07821},
  timestamp    = {Fri, 03 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1912-07821.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/SrinivasaLCSGN18,
  author       = {Srivatsa Rangachar Srinivasa and
                  Xueqing Li and
                  Meng{-}Fan Chang and
                  John Sampson and
                  Sumeet Kumar Gupta and
                  Vijaykrishnan Narayanan},
  title        = {Compact 3-D-SRAM Memory With Concurrent Row and Column Data Access
                  Capability Using Sequential Monolithic 3-D Integration},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {4},
  pages        = {671--683},
  year         = {2018},
  url          = {https://doi.org/10.1109/TVLSI.2017.2787562},
  doi          = {10.1109/TVLSI.2017.2787562},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/SrinivasaLCSGN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GeorgeLLMSMASGN18,
  author       = {Sumitha George and
                  Xueqing Li and
                  Minli Julie Liao and
                  Kaisheng Ma and
                  Srivatsa Rangachar Srinivasa and
                  Karthik Mohan and
                  Ahmedullah Aziz and
                  John Sampson and
                  Sumeet Kumar Gupta and
                  Vijaykrishnan Narayanan},
  title        = {Symmetric 2-D-Memory Access to Multidimensional Data},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {6},
  pages        = {1040--1050},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2801302},
  doi          = {10.1109/TVLSI.2018.2801302},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GeorgeLLMSMASGN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AzizBCCDGHHIJMM18,
  author       = {Ahmedullah Aziz and
                  Evelyn T. Breyer and
                  An Chen and
                  Xiaoming Chen and
                  Suman Datta and
                  Sumeet Kumar Gupta and
                  Michael Hoffmann and
                  Xiaobo Sharon Hu and
                  Adrian M. Ionescu and
                  Matthew Jerry and
                  Thomas Mikolajick and
                  Halid Mulaosmanovic and
                  Kai Ni and
                  Michael T. Niemier and
                  Ian O'Connor and
                  Atanu Saha and
                  Stefan Slesazeck and
                  Sandeep Krishna Thirumala and
                  Xunzhao Yin},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Computing with ferroelectric FETs: Devices, models, systems, and applications},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {1289--1298},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342213},
  doi          = {10.23919/DATE.2018.8342213},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/AzizBCCDGHHIJMM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/AzizEGS18,
  author       = {Ahmedullah Aziz and
                  Roman Engel{-}Herbert and
                  Sumeet Kumar Gupta and
                  Nikhil Shukla},
  title        = {A Three-Terminal Edge-Triggered Mott Switch},
  booktitle    = {76th Device Research Conference, {DRC} 2018, Santa Barbara, CA, USA,
                  June 24-27, 2018},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/DRC.2018.8442274},
  doi          = {10.1109/DRC.2018.8442274},
  timestamp    = {Mon, 09 Aug 2021 01:32:18 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/AzizEGS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/JerryS0SGD18,
  author       = {Matthew Jerry and
                  Jeffrey A. Smith and
                  Kai Ni and
                  Atanu Saha and
                  Sumeet Kumar Gupta and
                  Suman Datta},
  title        = {Insinhts on the {DC} Characterization of Ferroelectric Field-Effect-Transistors},
  booktitle    = {76th Device Research Conference, {DRC} 2018, Santa Barbara, CA, USA,
                  June 24-27, 2018},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/DRC.2018.8442191},
  doi          = {10.1109/DRC.2018.8442191},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/JerryS0SGD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/SahaG18,
  author       = {Atanu K. Saha and
                  Sumeet Kumar Gupta},
  title        = {Modeling and Comparative Analysis of Hysteretic Ferroelectric and
                  Anti-ferroelectric FETs},
  booktitle    = {76th Device Research Conference, {DRC} 2018, Santa Barbara, CA, USA,
                  June 24-27, 2018},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/DRC.2018.8442136},
  doi          = {10.1109/DRC.2018.8442136},
  timestamp    = {Thu, 01 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/SahaG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/ThakuriaSDG18,
  author       = {Niharika Thakuria and
                  Daniel Schulman and
                  Saptarshi Das and
                  Sumeet Kumar Gupta},
  title        = {2- Transistor Schmitt Trigger based on 2D Electrostrictive Field Effect
                  Transistors},
  booktitle    = {76th Device Research Conference, {DRC} 2018, Santa Barbara, CA, USA,
                  June 24-27, 2018},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/DRC.2018.8442149},
  doi          = {10.1109/DRC.2018.8442149},
  timestamp    = {Mon, 15 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/ThakuriaSDG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/ThirumalaG18,
  author       = {Sandeep Krishna Thirumala and
                  Sumeet Kumar Gupta},
  title        = {Gate Leakage in Non-Volatile Ferroelectric Transistors: Device-Circuit
                  Implications},
  booktitle    = {76th Device Research Conference, {DRC} 2018, Santa Barbara, CA, USA,
                  June 24-27, 2018},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/DRC.2018.8442186},
  doi          = {10.1109/DRC.2018.8442186},
  timestamp    = {Wed, 25 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/drc/ThirumalaG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/DeIKLTGG18,
  author       = {Asmit De and
                  Anirudh Iyengar and
                  Mohammad Nasim Imtiaz Khan and
                  Sung{-}Hao Lin and
                  Sandeep Krishna Thirumala and
                  Swaroop Ghosh and
                  Sumeet Kumar Gupta},
  title        = {{CTCG:} Charge-trap based camouflaged gates for reverse engineering
                  prevention},
  booktitle    = {2018 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2018, Washington, DC, USA, April 30 - May 4, 2018},
  pages        = {103--110},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/HST.2018.8383897},
  doi          = {10.1109/HST.2018.8383897},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/DeIKLTGG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/HuangCWG18,
  author       = {Ya{-}Chi Huang and
                  Meng{-}Hsueh Chiang and
                  Shui{-}Jinn Wang and
                  Sumeet Kumar Gupta},
  title        = {An area efficient low-voltage 6-T {SRAM} cell using stacked silicon
                  nanowires},
  booktitle    = {2018 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2018, Otranto, Italy, June 4-6, 2018},
  pages        = {117--120},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICICDT.2018.8399770},
  doi          = {10.1109/ICICDT.2018.8399770},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/HuangCWG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ThirumalaRJMVRG18,
  author       = {Sandeep Krishna Thirumala and
                  Arnab Raha and
                  Hrishikesh Jayakumar and
                  Kaisheng Ma and
                  Narayanan Vijaykrishnan and
                  Vijay Raghunathan and
                  Sumeet Kumar Gupta},
  title        = {Dual Mode Ferroelectric Transistor based Non-Volatile Flip-Flops for
                  Intermittently-Powered Systems},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {31:1--31:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218653},
  doi          = {10.1145/3218603.3218653},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ThirumalaRJMVRG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SrinivasaRLCHYS18,
  author       = {Srivatsa Rangachar Srinivasa and
                  Akshay Krishna Ramanathan and
                  Xueqing Li and
                  Wei{-}Hao Chen and
                  Fu{-}Kuo Hsueh and
                  Chih{-}Chao Yang and
                  Chang{-}Hong Shen and
                  Jia{-}Min Shieh and
                  Sumeet Kumar Gupta and
                  Meng{-}Fan Marvin Chang and
                  Swaroop Ghosh and
                  Jack Sampson and
                  Vijaykrishnan Narayanan},
  title        = {A Monolithic-3D {SRAM} Design with Enhanced Robustness and In-Memory
                  Computation Support},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {34:1--34:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218645},
  doi          = {10.1145/3218603.3218645},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SrinivasaRLCHYS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/LiGMTASGCLDN17,
  author       = {Xueqing Li and
                  Sumitha George and
                  Kaisheng Ma and
                  Wei{-}Yu Tsai and
                  Ahmedullah Aziz and
                  John Sampson and
                  Sumeet Kumar Gupta and
                  Meng{-}Fan Chang and
                  Yongpan Liu and
                  Suman Datta and
                  Vijaykrishnan Narayanan},
  title        = {Advancing Nonvolatile Computing With Nonvolatile {NCFET} Latches and
                  Flip-Flops},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {64-I},
  number       = {11},
  pages        = {2907--2919},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCSI.2017.2702741},
  doi          = {10.1109/TCSI.2017.2702741},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/LiGMTASGCLDN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DattaSNRSJXWPSG17,
  author       = {Suman Datta and
                  Alan C. Seabaugh and
                  Michael T. Niemier and
                  Arijit Raychowdhury and
                  Darrell Schlom and
                  Debdeep Jena and
                  Huili Grace Xing and
                  H.{-}S. Philip Wong and
                  Eric Pop and
                  Sayeef S. Salahuddin and
                  Sumeet Kumar Gupta and
                  Supratik Guha},
  title        = {In Quest of the Next Information Processing Substrate: Extended Abstract:
                  Invited},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {17:1--17:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3072953},
  doi          = {10.1145/3061639.3072953},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DattaSNRSJXWPSG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GuptaWGALDN17,
  author       = {Sumeet Kumar Gupta and
                  Danni Wang and
                  Sumitha George and
                  Ahmedullah Aziz and
                  Xueqing Li and
                  Suman Datta and
                  Vijaykrishnan Narayanan},
  title        = {Harnessing ferroelectrics for non-volatile memories and logic},
  booktitle    = {18th International Symposium on Quality Electronic Design, {ISQED}
                  2017, Santa Clara, CA, USA, March 14-15, 2017},
  pages        = {29--34},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISQED.2017.7918288},
  doi          = {10.1109/ISQED.2017.7918288},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GuptaWGALDN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/SrinivasaMCHLCG17,
  author       = {Srivatsa Rangachar Srinivasa and
                  Karthik Mohan and
                  Wei{-}Hao Chen and
                  Kuo{-}Hsinag Hsu and
                  Xueqing Li and
                  Meng{-}Fan Chang and
                  Sumeet Kumar Gupta and
                  John Sampson and
                  Vijaykrishnan Narayanan},
  title        = {Improving {FPGA} Design with Monolithic 3D Integration Using High
                  Dense Inter-Stack Via},
  booktitle    = {2017 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2017,
                  Bochum, Germany, July 3-5, 2017},
  pages        = {128--133},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISVLSI.2017.31},
  doi          = {10.1109/ISVLSI.2017.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/SrinivasaMCHLCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/AzizG17,
  author       = {Ahmedullah Aziz and
                  Sumeet Kumar Gupta},
  title        = {Read-enhanced spin memories augmented by phase transition materials
                  (Invited)},
  booktitle    = {{IEEE} 60th International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017},
  pages        = {993--996},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/MWSCAS.2017.8053093},
  doi          = {10.1109/MWSCAS.2017.8053093},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/AzizG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/KimCLSDGN16,
  author       = {Moon Seok Kim and
                  William Cane{-}Wissing and
                  Xueqing Li and
                  Jack Sampson and
                  Suman Datta and
                  Sumeet Kumar Gupta and
                  Vijaykrishnan Narayanan},
  title        = {Comparative Area and Parasitics Analysis in FinFET and Heterojunction
                  Vertical {TFET} Standard Cells},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {12},
  number       = {4},
  pages        = {38:1--38:23},
  year         = {2016},
  url          = {https://doi.org/10.1145/2914790},
  doi          = {10.1145/2914790},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/KimCLSDGN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/AzizJDG16,
  author       = {Ahmedullah Aziz and
                  Nicholas Jao and
                  Suman Datta and
                  Sumeet Kumar Gupta},
  title        = {Analysis of Functional Oxide based Selectors for Cross-Point Memories},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {63-I},
  number       = {12},
  pages        = {2222--2235},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCSI.2016.2620475},
  doi          = {10.1109/TCSI.2016.2620475},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/AzizJDG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GeorgeMALKSCDSG16,
  author       = {Sumitha George and
                  Kaisheng Ma and
                  Ahmedullah Aziz and
                  Xueqing Li and
                  Asif Islam Khan and
                  Sayeef S. Salahuddin and
                  Meng{-}Fan Chang and
                  Suman Datta and
                  John Sampson and
                  Sumeet Kumar Gupta and
                  Vijaykrishnan Narayanan},
  title        = {Nonvolatile memory design based on ferroelectric FETs},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {118:1--118:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898050},
  doi          = {10.1145/2897937.2898050},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GeorgeMALKSCDSG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YinANDGNH16,
  author       = {Xunzhao Yin and
                  Ahmedullah Aziz and
                  Joseph Nahas and
                  Suman Datta and
                  Sumeet Kumar Gupta and
                  Michael T. Niemier and
                  Xiaobo Sharon Hu},
  editor       = {Frank Liu},
  title        = {Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory
                  circuits},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {121},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2967037},
  doi          = {10.1145/2966986.2967037},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YinANDGNH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuptaASD16,
  author       = {Sumeet Kumar Gupta and
                  Ahmedullah Aziz and
                  Nikhil Shukla and
                  Suman Datta},
  title        = {On the potential of correlated materials in the design of spin-based
                  cross-point memories (Invited)},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1158--1161},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527451},
  doi          = {10.1109/ISCAS.2016.7527451},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuptaASD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangGADNG16,
  author       = {Danni Wang and
                  Sumitha George and
                  Ahmedullah Aziz and
                  Suman Datta and
                  Vijaykrishnan Narayanan and
                  Sumeet Kumar Gupta},
  title        = {Ferroelectric Transistor based Non-Volatile Flip-Flop},
  booktitle    = {Proceedings of the 2016 International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August
                  08 - 10, 2016},
  pages        = {10--15},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2934583.2934603},
  doi          = {10.1145/2934583.2934603},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/WangGADNG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/GeorgeALKDSGN16,
  author       = {Sumitha George and
                  Ahmedullah Aziz and
                  Xueqing Li and
                  Moon Seok Kim and
                  Suman Datta and
                  John Sampson and
                  Sumeet Kumar Gupta and
                  Vijaykrishnan Narayanan},
  title        = {Device Circuit Co Design of {FEFET} Based Logic for Low Voltage Processors},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {649--654},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.116},
  doi          = {10.1109/ISVLSI.2016.116},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/GeorgeALKDSGN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AzizSDG15,
  author       = {Ahmedullah Aziz and
                  Nikhil Shukla and
                  Suman Datta and
                  Sumeet Kumar Gupta},
  title        = {{COAST:} Correlated material assisted {STT} MRAMs for optimized read
                  operation},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273481},
  doi          = {10.1109/ISLPED.2015.7273481},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AzizSDG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/KimCSDNG15,
  author       = {Moon Seok Kim and
                  William Cane{-}Wissing and
                  Jack Sampson and
                  Suman Datta and
                  Vijaykrishnan Narayanan and
                  Sumeet Kumar Gupta},
  title        = {Comparing Energy, Area, Delay Tradeoffs in Going Vertical with {CMOS}
                  and Asymmetric HTFETs},
  booktitle    = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015,
                  Montpellier, France, July 8-10, 2015},
  pages        = {303--308},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISVLSI.2015.82},
  doi          = {10.1109/ISVLSI.2015.82},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/KimCSDNG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/AzizCKDNG15,
  author       = {Ahmedullah Aziz and
                  William Cane{-}Wissing and
                  Moon Seok Kim and
                  Suman Datta and
                  Vijaykrishnan Narayanan and
                  Sumeet Kumar Gupta},
  title        = {Single-Ended and Differential MRAMs Based on Spin Hall Effect: {A}
                  Layout-Aware Design Perspective},
  booktitle    = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015,
                  Montpellier, France, July 8-10, 2015},
  pages        = {333--338},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISVLSI.2015.52},
  doi          = {10.1109/ISVLSI.2015.52},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/AzizCKDNG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/MaCLGS0N15,
  author       = {Kaisheng Ma and
                  Nandhini Chandramoorthy and
                  Xueqing Li and
                  Sumeet Kumar Gupta and
                  John Sampson and
                  Yuan Xie and
                  Vijaykrishnan Narayanan},
  title        = {Using Multiple-Input {NEMS} for Parallel {A/D} Conversion and Image
                  Processing},
  booktitle    = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015,
                  Montpellier, France, July 8-10, 2015},
  pages        = {339--344},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISVLSI.2015.114},
  doi          = {10.1109/ISVLSI.2015.114},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/MaCLGS0N15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/HeoLLGDN15,
  author       = {Unsuk Heo and
                  Xueqing Li and
                  Huichu Liu and
                  Sumeet Kumar Gupta and
                  Suman Datta and
                  Vijaykrishnan Narayanan},
  title        = {A High-Efficiency Switched-Capacitance {HTFET} Charge Pump for Low-Input-Voltage
                  Applications},
  booktitle    = {28th International Conference on {VLSI} Design, {VLSID} 2015, Bangalore,
                  India, January 3-7, 2015},
  pages        = {304--309},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSID.2015.58},
  doi          = {10.1109/VLSID.2015.58},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/HeoLLGDN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/MaLXZLG0N14,
  author       = {Kaisheng Ma and
                  Huichu Liu and
                  Yang Xiao and
                  Yang Zheng and
                  Xueqing Li and
                  Sumeet Kumar Gupta and
                  Yuan Xie and
                  Vijaykrishnan Narayanan},
  title        = {Independently-Controlled-Gate FinFET 6T {SRAM} Cell Design for Leakage
                  Current Reduction and Enhanced Read Access Speed},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa,
                  FL, USA, July 9-11, 2014},
  pages        = {296--301},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISVLSI.2014.25},
  doi          = {10.1109/ISVLSI.2014.25},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/MaLXZLG0N14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/GuptaR13,
  author       = {Sumeet Kumar Gupta and
                  Kaushik Roy},
  title        = {Device-Circuit Co-Optimization for Robust Design of FinFET-Based SRAMs},
  journal      = {{IEEE} Des. Test},
  volume       = {30},
  number       = {6},
  pages        = {29--39},
  year         = {2013},
  url          = {https://doi.org/10.1109/MDAT.2013.2266394},
  doi          = {10.1109/MDAT.2013.2266394},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/GuptaR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/MojumderFAGCR13,
  author       = {Niladri Narayan Mojumder and
                  Xuanyao Fong and
                  Charles Augustine and
                  Sumeet Kumar Gupta and
                  Sri Harsha Choday and
                  Kaushik Roy},
  title        = {Dual pillar spin-transfer torque MRAMs for low power applications},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {9},
  number       = {2},
  pages        = {14:1--14:17},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463585.2463590},
  doi          = {10.1145/2463585.2463590},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/MojumderFAGCR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/SharadGRIR12,
  author       = {Mrigank Sharad and
                  Sumeet Kumar Gupta and
                  Shriram Raghunathan and
                  Pedro P. Irazoqui and
                  Kaushik Roy},
  title        = {Low-Power Architecture for Epileptic Seizure Detection Based on Reduced
                  Complexity {DWT}},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {8},
  number       = {2},
  pages        = {10:1--10:14},
  year         = {2012},
  url          = {https://doi.org/10.1145/2180878.2180882},
  doi          = {10.1145/2180878.2180882},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/SharadGRIR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ParkGMRR12,
  author       = {Sang Phill Park and
                  Sumeet Kumar Gupta and
                  Niladri Narayan Mojumder and
                  Anand Raghunathan and
                  Kaushik Roy},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Future cache design using {STT} MRAMs for improved energy efficiency:
                  devices, circuits and architecture},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {492--497},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228447},
  doi          = {10.1145/2228360.2228447},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ParkGMRR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GuptaPMR12,
  author       = {Sumeet Kumar Gupta and
                  Sang Phill Park and
                  Niladri Narayan Mojumder and
                  Kaushik Roy},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Layout-aware optimization of stt mrams},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1455--1458},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176595},
  doi          = {10.1109/DATE.2012.6176595},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GuptaPMR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimGPPR12,
  author       = {Yusung Kim and
                  Sumeet Kumar Gupta and
                  Sang Phill Park and
                  Georgios Panagopoulos and
                  Kaushik Roy},
  editor       = {Naresh R. Shanbhag and
                  Massimo Poncino and
                  Pai H. Chou and
                  Ajith Amerasekera},
  title        = {Write-optimized reliable design of {STT} {MRAM}},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'12,
                  Redondo Beach, CA, {USA} - July 30 - August 01, 2012},
  pages        = {3--8},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2333660.2333664},
  doi          = {10.1145/2333660.2333664},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimGPPR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeGR12,
  author       = {Dongsoo Lee and
                  Sumeet Kumar Gupta and
                  Kaushik Roy},
  editor       = {Naresh R. Shanbhag and
                  Massimo Poncino and
                  Pai H. Chou and
                  Ajith Amerasekera},
  title        = {High-performance low-energy {STT} {MRAM} based on balanced write scheme},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'12,
                  Redondo Beach, CA, {USA} - July 30 - August 01, 2012},
  pages        = {9--14},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2333660.2333665},
  doi          = {10.1145/2333660.2333665},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeGR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/GuptaRR10,
  author       = {Sumeet Kumar Gupta and
                  Arijit Raychowdhury and
                  Kaushik Roy},
  title        = {Digital Computation in Subthreshold Region for Ultralow-Power Operation:
                  {A} Device-Circuit-Architecture Codesign Perspective},
  journal      = {Proc. {IEEE}},
  volume       = {98},
  number       = {2},
  pages        = {160--190},
  year         = {2010},
  url          = {https://doi.org/10.1109/JPROC.2009.2035060},
  doi          = {10.1109/JPROC.2009.2035060},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/GuptaRR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RoyKG09,
  author       = {Kaushik Roy and
                  Jaydeep P. Kulkarni and
                  Sumeet Kumar Gupta},
  title        = {Device/circuit interactions at 22nm technology node},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {97--102},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1629942},
  doi          = {10.1145/1629911.1629942},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RoyKG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics