Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Shiyan Hu
@article{DBLP:journals/jcsc/LiDLYWYH24, author = {Yingying Li and Jian Dong and Xinyi Lu and Jiahui Yuan and Haixin Wang and Junyou Yang and Shiyan Hu}, title = {SSA-SVR-Based Prediction Model of Charging Load for Electric Vehicles}, journal = {J. Circuits Syst. Comput.}, volume = {33}, number = {1}, year = {2024}, url = {https://doi.org/10.1142/s0218126624500014}, doi = {10.1142/S0218126624500014}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/LiDLYWYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/NiHL24, author = {Shiying Ni and Shiyan Hu and Lefei Li}, title = {An Intention-Aware Markov Chain Based Method for Top-K Recommendation}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {21}, number = {1}, pages = {581--592}, year = {2024}, url = {https://doi.org/10.1109/TASE.2022.3230783}, doi = {10.1109/TASE.2022.3230783}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tase/NiHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/WangCYLZYCH24, author = {Haixin Wang and Xiangyu Cai and Zihao Yang and Gen Li and Yue Zhou and Junyou Yang and Zhe Chen and Shiyan Hu}, title = {Optimized Operation Framework of Distributed Thermal Storage Aggregators in the Electricity Spot Market}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {2}, pages = {2272--2283}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3290228}, doi = {10.1109/TII.2023.3290228}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/WangCYLZYCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/CongZWWH24, author = {Peijin Cong and Junlong Zhou and Jiali Wang and Zebin Wu and Shiyan Hu}, title = {Learning-Based Cloud Server Configuration for Energy Minimization Under Reliability Constraint}, journal = {{IEEE} Trans. Reliab.}, volume = {73}, number = {1}, pages = {203--215}, year = {2024}, url = {https://doi.org/10.1109/TR.2023.3234036}, doi = {10.1109/TR.2023.3234036}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tr/CongZWWH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HaoYLHLR23, author = {Weijie Hao and Qiang Yang and Zhiyi Li and Shiyan Hu and Bo Liu and Wei Ruan}, title = {Multi-Scale Traffic Aware Cybersecurity Situational Awareness Online Model for Intelligent Power Substation Communication Network}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {2}, pages = {1666--1681}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3210946}, doi = {10.1109/JIOT.2022.3210946}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/HaoYLHLR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LiuYHGH23, author = {Yishun Liu and Chunhua Yang and Keke Huang and Weihua Gui and Shiyan Hu}, title = {A Systematic Procurement Supply Chain Optimization Technique Based on Industrial Internet of Things and Application}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {8, April 15}, pages = {7272--7292}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3228736}, doi = {10.1109/JIOT.2022.3228736}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LiuYHGH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LiWWHW23, author = {Liying Li and Yinghui Wang and Haizhou Wang and Shiyan Hu and Tongquan Wei}, title = {An Efficient Architecture for Imputing Distributed Data Sets of IoT Networks}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {17}, pages = {15100--15114}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3264609}, doi = {10.1109/JIOT.2023.3264609}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LiWWHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/HuCZC23, author = {Shiyan Hu and Yiran Chen and Qi Zhu and Armando Walter Colombo}, title = {Guest Editorial Machine Learning for Resilient Industrial Cyber-Physical Systems}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {20}, number = {1}, pages = {3--4}, year = {2023}, url = {https://doi.org/10.1109/TASE.2022.3223583}, doi = {10.1109/TASE.2022.3223583}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tase/HuCZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/ZhangZCZZH23, author = {Mingyue Zhang and Junlong Zhou and Peijin Cong and Gongxuan Zhang and Cheng Zhuo and Shiyan Hu}, title = {{LIAS:} {A} Lightweight Incentive Authentication Scheme for Forensic Services in IoV}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {20}, number = {2}, pages = {805--820}, year = {2023}, url = {https://doi.org/10.1109/TASE.2022.3165174}, doi = {10.1109/TASE.2022.3165174}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/ZhangZCZZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/HuangTLSYGH23, author = {Keke Huang and Zui Tao and Yishun Liu and Bei Sun and Chunhua Yang and Weihua Gui and Shiyan Hu}, title = {Adaptive Multimode Process Monitoring Based on Mode-Matching and Similarity-Preserving Dictionary Learning}, journal = {{IEEE} Trans. Cybern.}, volume = {53}, number = {6}, pages = {3974--3987}, year = {2023}, url = {https://doi.org/10.1109/TCYB.2022.3178878}, doi = {10.1109/TCYB.2022.3178878}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/HuangTLSYGH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/XieZKXTH23, author = {Yong Xie and Gang Zeng and Ryo Kurachi and Fu Xiao and Hiroaki Takada and Shiyan Hu}, title = {Timing Analysis of {CAN} {FD} for Security-Aware Automotive Cyber-Physical Systems}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {20}, number = {4}, pages = {3064--3078}, year = {2023}, url = {https://doi.org/10.1109/TDSC.2022.3194712}, doi = {10.1109/TDSC.2022.3194712}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/XieZKXTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/LinWLSHD23, author = {Xi Lin and Jun Wu and Jianhua Li and Chao Sang and Shiyan Hu and M. Jamal Deen}, title = {Heterogeneous Differential-Private Federated Learning: Trading Privacy for Utility Truthfully}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {20}, number = {6}, pages = {5113--5129}, year = {2023}, url = {https://doi.org/10.1109/TDSC.2023.3241057}, doi = {10.1109/TDSC.2023.3241057}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tdsc/LinWLSHD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/HuangTWYGH23, author = {Keke Huang and Shijun Tao and Dehao Wu and Chunhua Yang and Weihua Gui and Shiyan Hu}, title = {Trustworthiness of Process Monitoring in IIoT Based on Self-Weighted Dictionary Learning}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {19}, number = {1}, pages = {436--446}, year = {2023}, url = {https://doi.org/10.1109/TII.2022.3205638}, doi = {10.1109/TII.2022.3205638}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/HuangTWYGH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/SunWFH22, author = {Qiuye Sun and Bingyu Wang and Xiaomeng Feng and Shiyan Hu}, title = {Small-signal stability and robustness analysis for microgrids under time-constrained DoS attacks and a mitigation adaptive secondary control method}, journal = {Sci. China Inf. Sci.}, volume = {65}, number = {6}, pages = {1--18}, year = {2022}, url = {https://doi.org/10.1007/s11432-021-3290-3}, doi = {10.1007/S11432-021-3290-3}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/SunWFH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/YangHZZ22, author = {Qing Yang and Shiyan Hu and Wenxiang Zhang and Jingwei Zhang}, title = {Attention mechanism and adaptive convolution actuated fusion network for next {POI} recommendation}, journal = {Int. J. Intell. Syst.}, volume = {37}, number = {10}, pages = {7888--7908}, year = {2022}, url = {https://doi.org/10.1002/int.22909}, doi = {10.1002/INT.22909}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/YangHZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HuYLP22, author = {Shiyan Hu and Shui Yu and Hai Li and Vincenzo Piuri}, title = {Guest Editorial Special Issue on Security, Privacy, and Trustworthiness in Intelligent Cyber-Physical Systems and Internet of Things}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {22}, pages = {22044--22047}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3207335}, doi = {10.1109/JIOT.2022.3207335}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/HuYLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/HuangW00H22, author = {Keke Huang and Haofei Wen and Chunhua Yang and Weihua Gui and Shiyan Hu}, title = {Outlier Detection for Process Monitoring in Industrial Cyber-Physical Systems}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {3}, pages = {2487--2498}, year = {2022}, url = {https://doi.org/10.1109/TASE.2021.3087599}, doi = {10.1109/TASE.2021.3087599}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/HuangW00H22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhouCZCWH22, author = {Junlong Zhou and Kun Cao and Xiumin Zhou and Mingsong Chen and Tongquan Wei and Shiyan Hu}, title = {Throughput-Conscious Energy Allocation and Reliability-Aware Task Assignment for Renewable Powered In-Situ Server Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {3}, pages = {516--529}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3068095}, doi = {10.1109/TCAD.2021.3068095}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhouCZCWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SunYRYH22, author = {Qi Sun and Xufeng Yao and Arjun Ashok Rao and Bei Yu and Shiyan Hu}, title = {Counteracting Adversarial Attacks in Autonomous Driving}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {12}, pages = {5193--5206}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3166112}, doi = {10.1109/TCAD.2022.3166112}, timestamp = {Tue, 12 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SunYRYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/HuangZZSWYH22, author = {Longxia Huang and Junlong Zhou and Gongxuan Zhang and Jin Sun and Tongquan Wei and Shui Yu and Shiyan Hu}, title = {{IPANM:} Incentive Public Auditing Scheme for Non-Manager Groups in Clouds}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {19}, number = {2}, pages = {936--952}, year = {2022}, url = {https://doi.org/10.1109/TDSC.2020.3004827}, doi = {10.1109/TDSC.2020.3004827}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tdsc/HuangZZSWYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LiangTLYHYH22, author = {Chengbin Liang and Zhaosheng Teng and Jianmin Li and Wenxuan Yao and Shiyan Hu and Yan Yang and Qing He}, title = {A Kaiser Window-Based S-Transform for Time-Frequency Analysis of Power Quality Signals}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {18}, number = {2}, pages = {965--975}, year = {2022}, url = {https://doi.org/10.1109/TII.2021.3083240}, doi = {10.1109/TII.2021.3083240}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/LiangTLYHYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/XieYXLH22, author = {Guoqi Xie and Kehua Yang and Cheng Xu and Renfa Li and Shiyan Hu}, title = {Digital Twinning Based Adaptive Development Environment for Automotive Cyber-Physical Systems}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {18}, number = {2}, pages = {1387--1396}, year = {2022}, url = {https://doi.org/10.1109/TII.2021.3064364}, doi = {10.1109/TII.2021.3064364}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/XieYXLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HuangZYGH22, author = {Keke Huang and Li Zhang and Chunhua Yang and Weihua Gui and Shiyan Hu}, title = {Unified Stationary and Nonstationary Data Representation for Process Monitoring in IIoT}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--12}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3173631}, doi = {10.1109/TIM.2022.3173631}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HuangZYGH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/ZhouZSWZH22, author = {Junlong Zhou and Mingyue Zhang and Jin Sun and Tian Wang and Xiumin Zhou and Shiyan Hu}, title = {{DRHEFT:} Deadline-Constrained Reliability-Aware {HEFT} Algorithm for Real-Time Heterogeneous MPSoC Systems}, journal = {{IEEE} Trans. Reliab.}, volume = {71}, number = {1}, pages = {178--189}, year = {2022}, url = {https://doi.org/10.1109/TR.2020.2981419}, doi = {10.1109/TR.2020.2981419}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/ZhouZSWZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/LiuGHZ22, author = {Yang Liu and Mingjie Guo and Shiyan Hu and Wenming Zhe}, title = {Enhancing Vehicle State Recognition in Logistics Industrial Parks via Dynamic Hidden Markov Model}, booktitle = {27th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2022, Stuttgart, Germany, September 6-9, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ETFA52439.2022.9921466}, doi = {10.1109/ETFA52439.2022.9921466}, timestamp = {Wed, 02 Nov 2022 18:01:35 +0100}, biburl = {https://dblp.org/rec/conf/etfa/LiuGHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wasa/JiangZCZH22, author = {Weiming Jiang and Junlong Zhou and Peijin Cong and Gongxuan Zhang and Shiyan Hu}, editor = {Lei Wang and Michael Segal and Jenhui Chen and Tie Qiu}, title = {QoE and Reliability-Aware Task Scheduling for Multi-user Mobile-Edge Computing}, booktitle = {Wireless Algorithms, Systems, and Applications - 17th International Conference, {WASA} 2022, Dalian, China, November 24-26, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13473}, pages = {380--392}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19211-1\_32}, doi = {10.1007/978-3-031-19211-1\_32}, timestamp = {Fri, 18 Nov 2022 20:51:16 +0100}, biburl = {https://dblp.org/rec/conf/wasa/JiangZCZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/0007ZJH21, author = {Fang Fang and Zhongyan Zhu and Shunping Jin and Shiyan Hu}, title = {Two-Layer Game Theoretic Microgrid Capacity Optimization Considering Uncertainty of Renewable Energy}, journal = {{IEEE} Syst. J.}, volume = {15}, number = {3}, pages = {4260--4271}, year = {2021}, url = {https://doi.org/10.1109/JSYST.2020.3008316}, doi = {10.1109/JSYST.2020.3008316}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/0007ZJH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/ZhouKZLH21, author = {Junlong Zhou and Angeliki Kritikakou and Dakai Zhu and Jose L. Martinez Lastra and Shiyan Hu}, title = {Software and hardware co-design for sustainable cyber-physical systems}, journal = {Softw. Pract. Exp.}, volume = {51}, number = {11}, pages = {2105--2107}, year = {2021}, url = {https://doi.org/10.1002/spe.3017}, doi = {10.1002/SPE.3017}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spe/ZhouKZLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenLGHY21, author = {Tinghuan Chen and Bingqing Lin and Hao Geng and Shiyan Hu and Bei Yu}, title = {Leveraging Spatial Correlation for Sensor Drift Calibration in Smart Building}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {7}, pages = {1273--1286}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3015438}, doi = {10.1109/TCAD.2020.3015438}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenLGHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhangHXWCH21, author = {Xinqian Zhang and Ming Hu and Jun Xia and Tongquan Wei and Mingsong Chen and Shiyan Hu}, title = {Efficient Federated Learning for Cloud-Based AIoT Applications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {11}, pages = {2211--2223}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3046665}, doi = {10.1109/TCAD.2020.3046665}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhangHXWCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/CaoLCWH21, author = {Kun Cao and Liying Li and Yangguang Cui and Tongquan Wei and Shiyan Hu}, title = {Exploring Placement of Heterogeneous Edge Servers for Response Time Minimization in Mobile Edge-Cloud Computing}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {1}, pages = {494--503}, year = {2021}, url = {https://doi.org/10.1109/TII.2020.2975897}, doi = {10.1109/TII.2020.2975897}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/CaoLCWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/HuSCKL21, author = {Shiyan Hu and Yang Shi and Armando W. Colombo and Stamatis Karnouskos and Xin Li}, title = {Guest Editorial: Cloud-Edge Computing for Cyber-Physical Systems and Internet of Things}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {11}, pages = {7802--7805}, year = {2021}, url = {https://doi.org/10.1109/TII.2021.3064881}, doi = {10.1109/TII.2021.3064881}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/HuSCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/CaoHSCKL21, author = {Kun Cao and Shiyan Hu and Yang Shi and Armando Walter Colombo and Stamatis Karnouskos and Xin Li}, title = {A Survey on Edge and Edge-Cloud Computing Assisted Cyber-Physical Systems}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {11}, pages = {7806--7819}, year = {2021}, url = {https://doi.org/10.1109/TII.2021.3073066}, doi = {10.1109/TII.2021.3073066}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/CaoHSCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/XieWZLH21, author = {Guoqi Xie and Wei Wu and Gang Zeng and Renfa Li and Shiyan Hu}, title = {Risk Assessment and Development Cost Optimization in Software Defined Vehicles}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {22}, number = {6}, pages = {3675--3686}, year = {2021}, url = {https://doi.org/10.1109/TITS.2020.3027469}, doi = {10.1109/TITS.2020.3027469}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/XieWZLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/XieYLLH21, author = {Guoqi Xie and Kehua Yang and Haibo Luo and Renfa Li and Shiyan Hu}, title = {Reliability and Confidentiality Co-Verification for Parallel Applications in Distributed Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {32}, number = {6}, pages = {1353--1368}, year = {2021}, url = {https://doi.org/10.1109/TPDS.2021.3049780}, doi = {10.1109/TPDS.2021.3049780}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/XieYLLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/GuGCCWFH21, author = {Haifeng Gu and Zishuai Ge and E. Cao and Mingsong Chen and Tongquan Wei and Xin Fu and Shiyan Hu}, title = {A Collaborative and Sustainable Edge-Cloud Architecture for Object Tracking with Convolutional Siamese Networks}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {6}, number = {1}, pages = {144--154}, year = {2021}, url = {https://doi.org/10.1109/TSUSC.2019.2955317}, doi = {10.1109/TSUSC.2019.2955317}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsusc/GuGCCWFH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YeZWHC21, author = {Yutong Ye and Wupan Zhao and Tongquan Wei and Shiyan Hu and Mingsong Chen}, title = {FedLight: Federated Reinforcement Learning for Autonomous Multi-Intersection Traffic Signal Control}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {847--852}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586175}, doi = {10.1109/DAC18074.2021.9586175}, timestamp = {Fri, 12 Nov 2021 12:31:50 +0100}, biburl = {https://dblp.org/rec/conf/dac/YeZWHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isse2/WangH0HZ21, author = {Yuan Wang and Shiqi Hao and Yang Liu and Shiyan Hu and Wenming Zhe}, title = {Delay Aware Dynamic Risk Assessment for Logistics Delivery}, booktitle = {{IEEE} International Symposium on Systems Engineering, {ISSE} 2021, Vienna, Austria, September 13 - October 13, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSE51541.2021.9582469}, doi = {10.1109/ISSE51541.2021.9582469}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isse2/WangH0HZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-00245, author = {Pudong Ge and Fei Teng and Charalambos Konstantinou and Shiyan Hu}, title = {A Resilience-Oriented Centralised-to-Decentralised Framework for Networked Microgrids Management}, journal = {CoRR}, volume = {abs/2109.00245}, year = {2021}, url = {https://arxiv.org/abs/2109.00245}, eprinttype = {arXiv}, eprint = {2109.00245}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-00245.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ChenCLZZRH20, author = {Yunliang Chen and Xiaodao Chen and Wangyang Liu and Yuchen Zhou and Albert Y. Zomaya and Rajiv Ranjan and Shiyan Hu}, title = {Stochastic scheduling for variation-aware virtual machine placement in a cloud computing {CPS}}, journal = {Future Gener. Comput. Syst.}, volume = {105}, pages = {779--788}, year = {2020}, url = {https://doi.org/10.1016/j.future.2017.09.024}, doi = {10.1016/J.FUTURE.2017.09.024}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/ChenCLZZRH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ZhouJZWH20, author = {Junlong Zhou and Xun Jiao and Qingling Zhao and Xiaokang Wang and Shiyan Hu}, title = {Introduction to the special issue on dependable cyber physical systems}, journal = {J. Syst. Archit.}, volume = {108}, pages = {101780}, year = {2020}, url = {https://doi.org/10.1016/j.sysarc.2020.101780}, doi = {10.1016/J.SYSARC.2020.101780}, timestamp = {Fri, 13 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ZhouJZWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/ChenYCZWH20, author = {Xiaodao Chen and Hao Yu and Kun Cao and Junlong Zhou and Tongquan Wei and Shiyan Hu}, title = {Uncertainty-Aware Flight Scheduling for Airport Throughput and Flight Delay Optimization}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {56}, number = {2}, pages = {853--862}, year = {2020}, url = {https://doi.org/10.1109/TAES.2019.2921193}, doi = {10.1109/TAES.2019.2921193}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taes/ChenYCZWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbd/HuLHCP20, author = {Shiyan Hu and Xin Li and Haibo He and Shuguang Cui and Manish Parashar}, title = {Big Data for Cyber-Physical Systems}, journal = {{IEEE} Trans. Big Data}, volume = {6}, number = {4}, pages = {606--608}, year = {2020}, url = {https://doi.org/10.1109/TBDATA.2020.3033101}, doi = {10.1109/TBDATA.2020.3033101}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbd/HuLHCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CuiCLZWH20, author = {Yangguang Cui and Kun Cao and Liying Li and Junlong Zhou and Tongquan Wei and Shiyan Hu}, title = {Augmented Cross-Entropy-Based Joint Temperature Optimization of Real-Time 3-D MPSoC Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {1987--1999}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2939328}, doi = {10.1109/TCAD.2019.2939328}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/CuiCLZWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CaoZXWH20, author = {Kun Cao and Junlong Zhou and Guo Xu and Tongquan Wei and Shiyan Hu}, title = {Exploring Renewable-Adaptive Computation Offloading for Hierarchical QoS Optimization in Fog Computing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2095--2108}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2957374}, doi = {10.1109/TCAD.2019.2957374}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/CaoZXWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/XieLH20, author = {Guoqi Xie and Renfa Li and Shiyan Hu}, title = {Security-Aware Obfuscated Priority Assignment for {CAN} {FD} Messages in Real-Time Parallel Automotive Applications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {12}, pages = {4413--4425}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.2979457}, doi = {10.1109/TCAD.2020.2979457}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/XieLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/ChenWCRZZH20, author = {Yunliang Chen and Lizhe Wang and Xiaodao Chen and Rajiv Ranjan and Albert Y. Zomaya and Yuchen Zhou and Shiyan Hu}, title = {Stochastic Workload Scheduling for Uncoordinated Datacenter Clouds with Multiple QoS Constraints}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {8}, number = {4}, pages = {1284--1295}, year = {2020}, url = {https://doi.org/10.1109/TCC.2016.2586048}, doi = {10.1109/TCC.2016.2586048}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcc/ChenWCRZZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/HamedaniLHAWY20, author = {Kian Hamedani and Lingjia Liu and Shiyan Hu and Jonathan D. Ashdown and Jinsong Wu and Yang Yi}, title = {Detecting Dynamic Attacks in Smart Grids Using Reservoir Computing: {A} Spiking Delayed Feedback Reservoir Based Approach}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {4}, number = {3}, pages = {253--264}, year = {2020}, url = {https://doi.org/10.1109/TETCI.2019.2902845}, doi = {10.1109/TETCI.2019.2902845}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/HamedaniLHAWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LiWH20, author = {Yuancheng Li and Yuanyuan Wang and Shiyan Hu}, title = {Online Generative Adversary Network Based Measurement Recovery in False Data Injection Attacks: {A} Cyber-Physical Approach}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {16}, number = {3}, pages = {2031--2043}, year = {2020}, url = {https://doi.org/10.1109/TII.2019.2921106}, doi = {10.1109/TII.2019.2921106}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LiWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/WangZZWH20, author = {Tian Wang and Junlong Zhou and Gongxuan Zhang and Tongquan Wei and Shiyan Hu}, title = {Customer Perceived Value- and Risk-Aware Multiserver Configuration for Profit Maximization}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {31}, number = {5}, pages = {1074--1088}, year = {2020}, url = {https://doi.org/10.1109/TPDS.2019.2960024}, doi = {10.1109/TPDS.2019.2960024}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/WangZZWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/ZhouSCLZWH20, author = {Junlong Zhou and Jin Sun and Peijin Cong and Zhe Liu and Xiumin Zhou and Tongquan Wei and Shiyan Hu}, title = {Security-Critical Energy-Aware Task Scheduling for Heterogeneous Real-Time MPSoCs in IoT}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {13}, number = {4}, pages = {745--758}, year = {2020}, url = {https://doi.org/10.1109/TSC.2019.2963301}, doi = {10.1109/TSC.2019.2963301}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsc/ZhouSCLZWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/HuangLCCWH20, author = {Xinli Huang and Fanshuo Li and Kun Cao and Peijin Cong and Tongquan Wei and Shiyan Hu}, title = {Queueing Theoretic Approach for Performance-Aware Modeling of Sustainable {SDN} Control Planes}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {5}, number = {1}, pages = {121--133}, year = {2020}, url = {https://doi.org/10.1109/TSUSC.2018.2889561}, doi = {10.1109/TSUSC.2018.2889561}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsusc/HuangLCCWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SunRY0H20, author = {Qi Sun and Arjun Ashok Rao and Xufeng Yao and Bei Yu and Shiyan Hu}, title = {Counteracting Adversarial Attacks in Autonomous Driving}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {83:1--83:7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415758}, doi = {10.1145/3400302.3415758}, timestamp = {Tue, 12 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SunRY0H20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/ZhangZCH20, author = {Mingyue Zhang and Junlong Zhou and Kun Cao and Shiyan Hu}, title = {Trusted Anonymous Authentication For Vehicular Cyber-Physical Systems}, booktitle = {2020 International Conferences on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData) and {IEEE} Congress on Cybermatics (Cybermatics), iThings/GreenCom/CPSCom/SmartData/Cybermatics 2020, Rhodes Island, Greece, November 2-6, 2020}, pages = {37--44}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/iThings-GreenCom-CPSCom-SmartData-Cybermatics50389.2020.00026}, doi = {10.1109/ITHINGS-GREENCOM-CPSCOM-SMARTDATA-CYBERMATICS50389.2020.00026}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ithings/ZhangZCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/HuangCCCWH19, author = {Xinli Huang and Shang Cheng and Kun Cao and Peijin Cong and Tongquan Wei and Shiyan Hu}, title = {A Survey of Deployment Solutions and Optimization Strategies for Hybrid {SDN} Networks}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {21}, number = {2}, pages = {1483--1507}, year = {2019}, url = {https://doi.org/10.1109/COMST.2018.2871061}, doi = {10.1109/COMST.2018.2871061}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comsur/HuangCCCWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ZhouZSZWH19, author = {Xiumin Zhou and Gongxuan Zhang and Jin Sun and Junlong Zhou and Tongquan Wei and Shiyan Hu}, title = {Minimizing cost and makespan for workflow scheduling in cloud using fuzzy dominance sort based {HEFT}}, journal = {Future Gener. Comput. Syst.}, volume = {93}, pages = {278--289}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.10.046}, doi = {10.1016/J.FUTURE.2018.10.046}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/ZhouZSZWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ChenFHWLH19, author = {Xiaodao Chen and Junqing Fan and Qing He and Yuewei Wang and Dongbo Liu and Shiyan Hu}, title = {Economical and balanced production in smart Petroleum Cyber-Physical System}, journal = {Future Gener. Comput. Syst.}, volume = {95}, pages = {364--371}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.12.014}, doi = {10.1016/J.FUTURE.2018.12.014}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/ChenFHWLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/CaoZWCHL19, author = {Kun Cao and Junlong Zhou and Tongquan Wei and Mingsong Chen and Shiyan Hu and Keqin Li}, title = {A survey of optimization techniques for thermal-aware 3D processors}, journal = {J. Syst. Archit.}, volume = {97}, pages = {397--415}, year = {2019}, url = {https://doi.org/10.1016/j.sysarc.2019.01.003}, doi = {10.1016/J.SYSARC.2019.01.003}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/CaoZWCHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ZhangWCWZHB19, author = {Xinqian Zhang and Tingming Wu and Mingsong Chen and Tongquan Wei and Junlong Zhou and Shiyan Hu and Rajkumar Buyya}, title = {Energy-aware virtual machine allocation for cloud with resource reservation}, journal = {J. Syst. Softw.}, volume = {147}, pages = {147--161}, year = {2019}, url = {https://doi.org/10.1016/j.jss.2018.09.084}, doi = {10.1016/J.JSS.2018.09.084}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/ZhangWCWZHB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LiKLWLHCH19, author = {Jian{-}De Li and Chun{-}Hao Kuo and Guan{-}Ruei Lu and Sying{-}Jyan Wang and Katherine Shu{-}Min Li and Tsung{-}Yi Ho and Hung{-}Ming Chen and Shiyan Hu}, title = {Co-placement optimization in sensor-reusable cyber-physical digital microfluidic biochips}, journal = {Microelectron. J.}, volume = {83}, pages = {185--196}, year = {2019}, url = {https://doi.org/10.1016/j.mejo.2018.08.005}, doi = {10.1016/J.MEJO.2018.08.005}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/LiKLWLHCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangHS19, author = {Hui Huang and Shiyan Hu and Ye Sun}, title = {A Discrete Curvature Estimation Based Low-Distortion Adaptive Savitzky-Golay Filter for {ECG} Denoising}, journal = {Sensors}, volume = {19}, number = {7}, pages = {1617}, year = {2019}, url = {https://doi.org/10.3390/s19071617}, doi = {10.3390/S19071617}, timestamp = {Wed, 30 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuangHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ZhouHMSWH19, author = {Junlong Zhou and Xiaobo Sharon Hu and Yue Ma and Jin Sun and Tongquan Wei and Shiyan Hu}, title = {Improving Availability of Multicore Real-Time Systems Suffering Both Permanent and Transient Faults}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {12}, pages = {1785--1801}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2935042}, doi = {10.1109/TC.2019.2935042}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ZhouHMSWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CaoZCLWCHH19, author = {Kun Cao and Junlong Zhou and Peijin Cong and Liying Li and Tongquan Wei and Mingsong Chen and Shiyan Hu and Xiaobo Sharon Hu}, title = {Affinity-Driven Modeling and Scheduling for Makespan Optimization in Heterogeneous Multiprocessor Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {7}, pages = {1189--1202}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2846650}, doi = {10.1109/TCAD.2018.2846650}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/CaoZCLWCHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiCCZWCHH19, author = {Liying Li and Peijin Cong and Kun Cao and Junlong Zhou and Tongquan Wei and Mingsong Chen and Shiyan Hu and Xiaobo Sharon Hu}, title = {Game Theoretic Feedback Control for Reliability Enhancement of EtherCAT-Based Networked Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {9}, pages = {1599--1610}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2859241}, doi = {10.1109/TCAD.2018.2859241}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LiCCZWCHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CaoXZWCH19, author = {Kun Cao and Guo Xu and Junlong Zhou and Tongquan Wei and Mingsong Chen and Shiyan Hu}, title = {QoS-Adaptive Approximate Real-Time Computation for Mobility-Aware IoT Lifetime Optimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {10}, pages = {1799--1810}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2873239}, doi = {10.1109/TCAD.2018.2873239}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/CaoXZWCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhouSZWCHH19, author = {Junlong Zhou and Jin Sun and Xiumin Zhou and Tongquan Wei and Mingsong Chen and Shiyan Hu and Xiaobo Sharon Hu}, title = {Resource Management for Improving Soft-Error and Lifetime Reliability of Real-Time MPSoCs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {12}, pages = {2215--2228}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2883993}, doi = {10.1109/TCAD.2018.2883993}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ZhouSZWCHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/LiuCKBLHZ19, author = {Yang Liu and Xiaoming Chen and Dileep Kadambi and Ajinkya Bari and Xin Li and Shiyan Hu and Pingqiang Zhou}, title = {Dependable Visual Light-Based Indoor Localization with Automatic Anomaly Detection for Location-Based Service of Mobile Cyber-Physical Systems}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {3}, number = {1}, pages = {5:1--5:17}, year = {2019}, url = {https://doi.org/10.1145/3162051}, doi = {10.1145/3162051}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcps/LiuCKBLHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/HuangHS19, author = {Hui Huang and Shiyan Hu and Ye Sun}, title = {Energy-Efficient {ECG} Signal Compression for User Data Input in Cyber-Physical Systems by Leveraging Empirical Mode Decomposition}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {3}, number = {4}, pages = {40:1--40:19}, year = {2019}, url = {https://doi.org/10.1145/3341559}, doi = {10.1145/3341559}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcps/HuangHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/LiuZH19, author = {Lin Liu and Yuchen Zhou and Shiyan Hu}, title = {Stochastic Buffering for Bundled {SWCNT} Interconnects Considering Unidimensional Fabrication Variation}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {7}, number = {4}, pages = {585--595}, year = {2019}, url = {https://doi.org/10.1109/TETC.2017.2683447}, doi = {10.1109/TETC.2017.2683447}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/LiuZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ZhouLH19, author = {Yuchen Zhou and Yang Liu and Shiyan Hu}, title = {Smart Home Cyberattack Detection Framework for Sponsor Incentive Attacks}, journal = {{IEEE} Trans. Smart Grid}, volume = {10}, number = {2}, pages = {1916--1927}, year = {2019}, url = {https://doi.org/10.1109/TSG.2017.2781695}, doi = {10.1109/TSG.2017.2781695}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ZhouLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HuangLLHS18, author = {Hui Huang and Xian Li and Si Liu and Shiyan Hu and Ye Sun}, title = {TriboMotion: {A} Self-Powered Triboelectric Motion Sensor in Wearable Internet of Things for Human Activity Recognition and Energy Harvesting}, journal = {{IEEE} Internet Things J.}, volume = {5}, number = {6}, pages = {4441--4453}, year = {2018}, url = {https://doi.org/10.1109/JIOT.2018.2817841}, doi = {10.1109/JIOT.2018.2817841}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/HuangLLHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ZhouYCTWCZCH18, author = {Junlong Zhou and Jianming Yan and Kun Cao and Yanchao Tan and Tongquan Wei and Mingsong Chen and Gongxuan Zhang and Xiaodao Chen and Shiyan Hu}, title = {Thermal-aware correlated two-level scheduling of real-time tasks with reduced processor energy on heterogeneous MPSoCs}, journal = {J. Syst. Archit.}, volume = {82}, pages = {1--11}, year = {2018}, url = {https://doi.org/10.1016/j.sysarc.2017.09.007}, doi = {10.1016/J.SYSARC.2017.09.007}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ZhouYCTWCZCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ZhuSHL18, author = {Qi Zhu and Alberto L. Sangiovanni{-}Vincentelli and Shiyan Hu and Xin Li}, title = {Design Automation for Cyber-Physical Systems [Scanning the Issue]}, journal = {Proc. {IEEE}}, volume = {106}, number = {9}, pages = {1479--1483}, year = {2018}, url = {https://doi.org/10.1109/JPROC.2018.2865229}, doi = {10.1109/JPROC.2018.2865229}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ZhuSHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuZH18, author = {Yang Liu and Yuchen Zhou and Shiyan Hu}, title = {Combating Coordinated Pricing Cyberattack and Energy Theft in Smart Home Cyber-Physical Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {3}, pages = {573--586}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2717781}, doi = {10.1109/TCAD.2017.2717781}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuHH18, author = {Lin Liu and Hui Huang and Shiyan Hu}, title = {Lorenz Chaotic System-Based Carbon Nanotube Physical Unclonable Functions}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {7}, pages = {1408--1421}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2762919}, doi = {10.1109/TCAD.2017.2762919}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/WangSHJQ18, author = {Chen Wang and Yanan Sun and Shiyan Hu and Li Jiang and Weikang Qian}, title = {Variation-Aware Global Placement for Improving Timing-Yield of Carbon-Nanotube Field Effect Transistor Circuit}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {4}, pages = {44:1--44:27}, year = {2018}, url = {https://doi.org/10.1145/3175500}, doi = {10.1145/3175500}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/WangSHJQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/CongLZCWCH18, author = {Peijin Cong and Liying Li and Junlong Zhou and Kun Cao and Tongquan Wei and Mingsong Chen and Shiyan Hu}, title = {Developing User Perceived Value Based Pricing Models for Cloud Markets}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {12}, pages = {2742--2756}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2018.2843343}, doi = {10.1109/TPDS.2018.2843343}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/CongLZCWCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/ZhouLH18, author = {Yuchen Zhou and Yang Liu and Shiyan Hu}, title = {Energy Theft Detection in Multi-Tenant Data Centers with Digital Protective Relay Deployment}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {3}, number = {1}, pages = {16--29}, year = {2018}, url = {https://doi.org/10.1109/TSUSC.2017.2705192}, doi = {10.1109/TSUSC.2017.2705192}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsusc/ZhouLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/HuYY18, author = {Shiyan Hu and Bei Yu and Huafeng Yu}, title = {{IEEE} Transactions on Sustainable Computing: Guest Editorial on Special Issue on Sustainable Cyber-Physical Systems}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {3}, number = {2}, pages = {58--59}, year = {2018}, url = {https://doi.org/10.1109/TSUSC.2017.2770318}, doi = {10.1109/TSUSC.2017.2770318}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsusc/HuYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/HuangHS18, author = {Hui Huang and Shiyan Hu and Ye Sun}, title = {Energy-efficient {ECG} compression in wearable body sensor network by leveraging empirical mode decomposition}, booktitle = {2018 {IEEE} {EMBS} International Conference on Biomedical {\&} Health Informatics, {BHI} 2018, Las Vegas, NV, USA, March 4-7, 2018}, pages = {149--152}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BHI.2018.8333391}, doi = {10.1109/BHI.2018.8333391}, timestamp = {Wed, 30 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bhi/HuangHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/HuangHS18, author = {Hui Huang and Shiyan Hu and Ye Sun}, title = {{ECG} Signal Compression for Low-power Sensor Nodes Using Sparse Frequency Spectrum Features}, booktitle = {2018 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2018, Cleveland, OH, USA, October 17-19, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOCAS.2018.8584840}, doi = {10.1109/BIOCAS.2018.8584840}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/HuangHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2018slip, editor = {Shiyan Hu}, title = {Proceedings of the 20th System Level Interconnect Prediction Workshop, SLIP@DAC 2018, San Francisco, CA, USA, June 23, 2018}, publisher = {{ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3225209}, timestamp = {Wed, 15 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/2018slip.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/MohantySHG17, author = {Saraju P. Mohanty and Ashok Srivastava and Shiyan Hu and Prasun Ghosal}, title = {Guest editorial - Special issue on hardware assisted techniques for IoT and bigdata applications}, journal = {Integr.}, volume = {58}, pages = {263--266}, year = {2017}, url = {https://doi.org/10.1016/j.vlsi.2017.05.004}, doi = {10.1016/J.VLSI.2017.05.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/MohantySHG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/QiuGBYH17, author = {Meikang Qiu and Saurabh Kumar Garg and Rajkumar Buyya and Bei Yu and Shiyan Hu}, title = {Special Issue on Scalable Cyber-Physical Systems}, journal = {J. Parallel Distributed Comput.}, volume = {103}, pages = {1--2}, year = {2017}, url = {https://doi.org/10.1016/j.jpdc.2017.01.025}, doi = {10.1016/J.JPDC.2017.01.025}, timestamp = {Wed, 21 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/QiuGBYH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/LiuHHRZW17, author = {Yang Liu and Shiyan Hu and Han Huang and Rajiv Ranjan and Albert Y. Zomaya and Lizhe Wang}, title = {Game-Theoretic Market-Driven Smart Home Scheduling Considering Energy Balancing}, journal = {{IEEE} Syst. J.}, volume = {11}, number = {2}, pages = {910--921}, year = {2017}, url = {https://doi.org/10.1109/JSYST.2015.2418032}, doi = {10.1109/JSYST.2015.2418032}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/LiuHHRZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenZWJKZH17, author = {Xiaodao Chen and Dongmei Zhang and Lizhe Wang and Ning Jia and Zhijiang Kang and Yun Zhang and Shiyan Hu}, title = {Design Automation for Interwell Connectivity Estimation in Petroleum Cyber-Physical Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {2}, pages = {255--264}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2016.2584065}, doi = {10.1109/TCAD.2016.2584065}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenZWJKZH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SeshiaHLZ17, author = {Sanjit A. Seshia and Shiyan Hu and Wenchao Li and Qi Zhu}, title = {Design Automation of Cyber-Physical Systems: Challenges, Advances, and Opportunities}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {9}, pages = {1421--1434}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2016.2633961}, doi = {10.1109/TCAD.2016.2633961}, timestamp = {Mon, 05 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SeshiaHLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/LiHZ17, author = {Xin Li and Shiyan Hu and Qi Zhu}, title = {Guest Editorial: Special Issue on Smart Homes, Buildings and Infrastructures}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {1}, number = {4}, pages = {17:1--17:2}, year = {2017}, url = {https://doi.org/10.1145/3127020}, doi = {10.1145/3127020}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcps/LiHZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/WurmJLHHRT17, author = {Jacob Wurm and Yier Jin and Yang Liu and Shiyan Hu and Kenneth Heffner and Fahim Rahman and Mark M. Tehranipoor}, title = {Introduction to Cyber-Physical System Security: {A} Cross-Layer Perspective}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {3}, number = {3}, pages = {215--227}, year = {2017}, url = {https://doi.org/10.1109/TMSCS.2016.2569446}, doi = {10.1109/TMSCS.2016.2569446}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmscs/WurmJLHHRT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LiuH17, author = {Yang Liu and Shiyan Hu}, title = {Renewable Energy Pricing Driven Scheduling in Distributed Smart Community Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {5}, pages = {1445--1456}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2016.2615936}, doi = {10.1109/TPDS.2016.2615936}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LiuH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenZWWZH17, author = {Xiaodao Chen and Dongmei Zhang and Yuewei Wang and Lizhe Wang and Albert Y. Zomaya and Shiyan Hu}, editor = {Sri Parameswaran}, title = {Offshore oil spill monitoring and detection: Improving risk management for offshore petroleum cyber-physical systems: (Invited paper)}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {841--846}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203865}, doi = {10.1109/ICCAD.2017.8203865}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenZWWZH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/SunHLLH17, author = {Lijia Sun and Jiang Hu and Yang Liu and Lin Liu and Shiyan Hu}, title = {A comparative study on neural network-based prediction of smart community energy consumption}, booktitle = {2017 {IEEE} SmartWorld, Ubiquitous Intelligence {\&} Computing, Advanced {\&} Trusted Computed, Scalable Computing {\&} Communications, Cloud {\&} Big Data Computing, Internet of People and Smart City Innovation, SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI 2017, San Francisco, CA, USA, August 4-8, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/UIC-ATC.2017.8397441}, doi = {10.1109/UIC-ATC.2017.8397441}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/SunHLLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cps/HuZ16, author = {Shiyan Hu and Albert Y. Zomaya}, title = {Inaugural Editorial}, journal = {{IET} Cyper-Phys. Syst.: Theory {\&} Appl.}, volume = {1}, number = {1}, pages = {1--2}, year = {2016}, url = {https://doi.org/10.1049/iet-cps.2016.0029}, doi = {10.1049/IET-CPS.2016.0029}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cps/HuZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/WangLZH16, author = {Jia Wang and Lin Liu and Yuchen Zhou and Shiyan Hu}, title = {Buffering Carbon Nanotube Interconnects Considering Inductive Effects}, journal = {J. Circuits Syst. Comput.}, volume = {25}, number = {8}, pages = {1650093:1--1650093:17}, year = {2016}, url = {https://doi.org/10.1142/S0218126616500936}, doi = {10.1142/S0218126616500936}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/WangLZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WangHBR16, author = {Lizhe Wang and Shiyan Hu and Gilles Betis and Rajiv Ranjan}, title = {A Computing Perspective on Smart City [Guest Editorial]}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {5}, pages = {1337--1338}, year = {2016}, url = {https://doi.org/10.1109/TC.2016.2538059}, doi = {10.1109/TC.2016.2538059}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/WangHBR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuHZ16, author = {Shiyan Hu and Xiaobo Sharon Hu and Albert Y. Zomaya}, title = {Guest Editorial Leveraging Design Automation Techniques for Cyber-Physical System Design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {5}, pages = {697--698}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2548179}, doi = {10.1109/TCAD.2016.2548179}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HuHZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/LiuHH16, author = {Yang Liu and Shiyan Hu and Tsung{-}Yi Ho}, title = {Leveraging Strategic Detection Techniques for Smart Home Pricing Cyberattacks}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {13}, number = {2}, pages = {220--235}, year = {2016}, url = {https://doi.org/10.1109/TDSC.2015.2427841}, doi = {10.1109/TDSC.2015.2427841}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/LiuHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LiuHZ16, author = {Yang Liu and Shiyan Hu and Albert Y. Zomaya}, title = {The Hierarchical Smart Home Cyberattack Detection Considering Power Overloading and Frequency Disturbance}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {12}, number = {5}, pages = {1973--1983}, year = {2016}, url = {https://doi.org/10.1109/TII.2016.2591911}, doi = {10.1109/TII.2016.2591911}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LiuHZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChenCZRH16, author = {Xiaodao Chen and Yunliang Chen and Albert Y. Zomaya and Rajiv Ranjan and Shiyan Hu}, title = {{CEVP:} Cross Entropy based Virtual Machine Placement for Energy Optimization in Clouds}, journal = {J. Supercomput.}, volume = {72}, number = {8}, pages = {3194--3209}, year = {2016}, url = {https://doi.org/10.1007/s11227-016-1630-1}, doi = {10.1007/S11227-016-1630-1}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/ChenCZRH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/HuJHT16, author = {Shiyan Hu and Yier Jin and Kenneth Heffner and Mark M. Tehranipoor}, title = {Guest Editorial: Hardware/Software Cross-Layer Technologies for Trustworthy and Secure Computing}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {2}, number = {3}, pages = {144--145}, year = {2016}, url = {https://doi.org/10.1109/TMSCS.2016.2609298}, doi = {10.1109/TMSCS.2016.2609298}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmscs/HuJHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/YuYGHP16, author = {Bei Yu and Kun Yuan and Jhih{-}Rong Gao and Shiyan Hu and David Z. Pan}, title = {{EBL} Overlapping Aware Stencil Planning for {MCC} System}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {21}, number = {3}, pages = {43:1--43:24}, year = {2016}, url = {https://doi.org/10.1145/2888394}, doi = {10.1145/2888394}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/YuYGHP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/GuoTHW16, author = {Yonghe Guo and Chee{-}Wooi Ten and Shiyan Hu and Wayne W. Weaver}, title = {Preventive Maintenance for Advanced Metering Infrastructure Against Malware Propagation}, journal = {{IEEE} Trans. Smart Grid}, volume = {7}, number = {3}, pages = {1314--1328}, year = {2016}, url = {https://doi.org/10.1109/TSG.2015.2453342}, doi = {10.1109/TSG.2015.2453342}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/GuoTHW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KuoLHCH16, author = {Chun{-}Hao Kuo and Guan{-}Ruei Lu and Tsung{-}Yi Ho and Hung{-}Ming Chen and Shiyan Hu}, title = {Placement optimization of cyber-physical digital microfluidic biochips}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}, pages = {448--451}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BioCAS.2016.7833828}, doi = {10.1109/BIOCAS.2016.7833828}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/KuoLHCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenZZWZLH16, author = {Xiaodao Chen and Yuchen Zhou and Hong Zhou and Chaowei Wan and Qi Zhu and Wenchao Li and Shiyan Hu}, editor = {Frank Liu}, title = {Analysis of production data manipulation attacks in petroleum cyber-physical systems}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {108}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980091}, doi = {10.1145/2966986.2980091}, timestamp = {Fri, 23 Jun 2023 22:29:48 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenZZWZLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/LiuLZH16, author = {Yang Liu and Lin Liu and Yuchen Zhou and Shiyan Hu}, title = {Leveraging carbon nanotube technologies in developing Physically Unclonable Function for cyber-physical system authentication}, booktitle = {{IEEE} Conference on Computer Communications Workshops, {INFOCOM} Workshops 2016, San Francisco, CA, USA, April 10-14, 2016}, pages = {176--180}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/INFCOMW.2016.7562067}, doi = {10.1109/INFCOMW.2016.7562067}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/LiuLZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ChenH15, author = {Xiaodao Chen and Shiyan Hu}, title = {Distributed Generation Placement for Power Distribution Networks}, journal = {J. Circuits Syst. Comput.}, volume = {24}, number = {1}, pages = {1550009:1--1550009:23}, year = {2015}, url = {https://doi.org/10.1142/S0218126615500097}, doi = {10.1142/S0218126615500097}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ChenH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/LiuYHH15, author = {Lin Liu and Xin Yang and Han Huang and Shiyan Hu}, title = {Smart Home Scheduling for Cost Reduction and Its Implementation on {FPGA}}, journal = {J. Circuits Syst. Comput.}, volume = {24}, number = {4}, pages = {1550044:1--1550044:15}, year = {2015}, url = {https://doi.org/10.1142/S0218126615500449}, doi = {10.1142/S0218126615500449}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/LiuYHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ZhouYWWCH15, author = {Junlong Zhou and Jianming Yan and Tongquan Wei and Kaijie Wu and Xiaodao Chen and Shiyan Hu}, title = {Sharp Corner/Edge Recognition in Domestic Environments Using {RGB-D} Camera Systems}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {62-II}, number = {10}, pages = {987--991}, year = {2015}, url = {https://doi.org/10.1109/TCSII.2015.2458051}, doi = {10.1109/TCSII.2015.2458051}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ZhouYWWCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/LiuH15, author = {Yang Liu and Shiyan Hu}, title = {Cyberthreat Analysis and Detection for Energy Theft in Social Networking of Smart Homes}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {2}, number = {4}, pages = {148--158}, year = {2015}, url = {https://doi.org/10.1109/TCSS.2016.2519506}, doi = {10.1109/TCSS.2016.2519506}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/LiuH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/ZhouCZWH15, author = {Yuchen Zhou and Xiaodao Chen and Albert Y. Zomaya and Lizhe Wang and Shiyan Hu}, title = {A Dynamic Programming Algorithm for Leveraging Probabilistic Detection of Energy Theft in Smart Home}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {3}, number = {4}, pages = {502--513}, year = {2015}, url = {https://doi.org/10.1109/TETC.2015.2484841}, doi = {10.1109/TETC.2015.2484841}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/ZhouCZWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/ChenWZLH15, author = {Xiaodao Chen and Lizhe Wang and Albert Y. Zomaya and Lin Liu and Shiyan Hu}, title = {Cloud Computing for {VLSI} Floorplanning Considering Peak Temperature Reduction}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {3}, number = {4}, pages = {534--543}, year = {2015}, url = {https://doi.org/10.1109/TETC.2015.2443714}, doi = {10.1109/TETC.2015.2443714}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/ChenWZLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/LiuLWZH15, author = {Lin Liu and Yang Liu and Lizhe Wang and Albert Y. Zomaya and Shiyan Hu}, title = {Economical and Balanced Energy Usage in the Smart Home Infrastructure: {A} Tutorial and New Results}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {3}, number = {4}, pages = {556--570}, year = {2015}, url = {https://doi.org/10.1109/TETC.2015.2484839}, doi = {10.1109/TETC.2015.2484839}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/LiuLWZH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuHWSJHL15, author = {Yang Liu and Shiyan Hu and Jie Wu and Yiyu Shi and Yier Jin and Yu Hu and Xiaowei Li}, title = {Impact assessment of net metering on smart home cyberattack detection}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {97:1--97:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747930}, doi = {10.1145/2744769.2747930}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuHWSJHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KonstantinouMSH15, author = {Charalambos Konstantinou and Michail Maniatakos and Fareena Saqib and Shiyan Hu and Jim Plusquellic and Yier Jin}, title = {Cyber-physical systems: {A} security perspective}, booktitle = {20th {IEEE} European Test Symposium, {ETS} 2015, Cluj-Napoca, Romania, 25-29 May, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ETS.2015.7138763}, doi = {10.1109/ETS.2015.7138763}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/KonstantinouMSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WeiZZH15, author = {Tianshu Wei and Bowen Zheng and Qi Zhu and Shiyan Hu}, editor = {Diana Marculescu and Frank Liu}, title = {Security Analysis of Proactive Participation of Smart Buildings in Smart Grid}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {465--472}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372606}, doi = {10.1109/ICCAD.2015.7372606}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WeiZZH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HoGHC15, author = {Tsung{-}Yi Ho and William H. Grover and Shiyan Hu and Krishnendu Chakrabarty}, title = {Cyber-physical integration in programmable microfluidic biochips}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {224--227}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357107}, doi = {10.1109/ICCD.2015.7357107}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HoGHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/GuoTHW15, author = {Yonghe Guo and Chee{-}Wooi Ten and Shiyan Hu and Wayne W. Weaver}, title = {Modeling distributed denial of service attack in advanced metering infrastructure}, booktitle = {2015 {IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2015, Washington, DC, USA, February 18-20, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISGT.2015.7131828}, doi = {10.1109/ISGT.2015.7131828}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgt/GuoTHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangJHLLJQ15, author = {Chen Wang and Li Jiang and Shiyan Hu and Tianjian Li and Xiaoyao Liang and Naifeng Jing and Weikang Qian}, title = {Timing-driven placement for carbon nanotube circuits}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {362--367}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406983}, doi = {10.1109/SOCC.2015.7406983}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangJHLLJQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/ChenCWDRZH14, author = {Xiaodao Chen and Dan Chen and Lizhe Wang and Ze Deng and Rajiv Ranjan and Albert Y. Zomaya and Shiyan Hu}, title = {Variation-Aware Layer Assignment With Hierarchical Stochastic Optimization on a Multicore Platform}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {2}, number = {4}, pages = {488--500}, year = {2014}, url = {https://doi.org/10.1109/TETC.2014.2316503}, doi = {10.1109/TETC.2014.2316503}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/ChenCWDRZH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/BrucknerDHPW14, author = {Dietmar Bruckner and Tharam S. Dillon and Shiyan Hu and Peter Palensky and Tongquan Wei}, title = {Guest Editorial Special Section on Building Automation, Smart Homes, and Communities}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {10}, number = {1}, pages = {676--679}, year = {2014}, url = {https://doi.org/10.1109/TII.2013.2281939}, doi = {10.1109/TII.2013.2281939}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/BrucknerDHPW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuHH14, author = {Yang Liu and Shiyan Hu and Tsung{-}Yi Ho}, editor = {Yao{-}Wen Chang}, title = {Vulnerability assessment and defense technology for smart home cybersecurity considering pricing cyberattacks}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {183--190}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001350}, doi = {10.1109/ICCAD.2014.7001350}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/LiuZH14, author = {Lin Liu and Yuchen Zhou and Shiyan Hu}, title = {Buffering Single-Walled Carbon Nanotubes Bundle Interconnects for Timing Optimization}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa, FL, USA, July 9-11, 2014}, pages = {362--367}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISVLSI.2014.35}, doi = {10.1109/ISVLSI.2014.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/LiuZH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiuZLH14, author = {Lin Liu and Yuchen Zhou and Yang Liu and Shiyan Hu}, title = {Dynamic programming based game theoretic algorithm for economical multi-user smart home scheduling}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {362--365}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908427}, doi = {10.1109/MWSCAS.2014.6908427}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LiuZLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/LiaoWH13, author = {Chen Liao and Jia Wang and Shiyan Hu}, title = {The Power Distribution Network Expansion Planning Based on Stackelberg Minimum Weight k-Star Game}, journal = {J. Circuits Syst. Comput.}, volume = {22}, number = {6}, year = {2013}, url = {https://doi.org/10.1142/S0218126613500412}, doi = {10.1142/S0218126613500412}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/LiaoWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgo/WangH13, author = {Jia Wang and Shiyan Hu}, title = {A linear time approximation scheme for computing geometric maximum k-star}, journal = {J. Glob. Optim.}, volume = {55}, number = {4}, pages = {849--855}, year = {2013}, url = {https://doi.org/10.1007/s10898-012-9867-6}, doi = {10.1007/S10898-012-9867-6}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgo/WangH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuPCC13, author = {Shiyan Hu and Jens C. Pruessner and Pierrick Coup{\'{e}} and D. Louis Collins}, title = {Volumetric analysis of medial temporal lobe structures in brain development from childhood to adolescence}, journal = {NeuroImage}, volume = {74}, pages = {276--287}, year = {2013}, url = {https://doi.org/10.1016/j.neuroimage.2013.02.032}, doi = {10.1016/J.NEUROIMAGE.2013.02.032}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HuPCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ChenWH13, author = {Xiaodao Chen and Tongquan Wei and Shiyan Hu}, title = {Uncertainty-Aware Household Appliance Scheduling Considering Dynamic Electricity Pricing in Smart Home}, journal = {{IEEE} Trans. Smart Grid}, volume = {4}, number = {2}, pages = {932--941}, year = {2013}, url = {https://doi.org/10.1109/TSG.2012.2226065}, doi = {10.1109/TSG.2012.2226065}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ChenWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/LiaoTH13, author = {Chen Liao and Chee{-}Wooi Ten and Shiyan Hu}, title = {Strategic {FRTU} Deployment Considering Cybersecurity in Secondary Distribution Network}, journal = {{IEEE} Trans. Smart Grid}, volume = {4}, number = {3}, pages = {1264--1274}, year = {2013}, url = {https://doi.org/10.1109/TSG.2013.2256939}, doi = {10.1109/TSG.2013.2256939}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/LiaoTH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeiLSHAS13, author = {Yaoguang Wei and Zhuo Li and Cliff C. N. Sze and Shiyan Hu and Charles J. Alpert and Sachin S. Sapatnekar}, editor = {Enrico Macii}, title = {{CATALYST:} planning layer directives for effective design closure}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1873--1878}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.373}, doi = {10.7873/DATE.2013.373}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/WeiLSHAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ZhuWCGH12, author = {Linjie Zhu and Tongquan Wei and Xiaodao Chen and Yonghe Guo and Shiyan Hu}, title = {Adaptive Fault-Tolerant Task Scheduling for Real-Time Energy harvesting Systems}, journal = {J. Circuits Syst. Comput.}, volume = {21}, number = {1}, year = {2012}, url = {https://doi.org/10.1142/S0218126612500041}, doi = {10.1142/S0218126612500041}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ZhuWCGH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/LiaoCH12, author = {Chen Liao and Xiaodao Chen and Shiyan Hu}, title = {Discrete Wavelet Transform Based Circuit Layout Fingerprinting using Chaotic System}, journal = {J. Circuits Syst. Comput.}, volume = {21}, number = {7}, year = {2012}, url = {https://doi.org/10.1142/S0218126612500491}, doi = {10.1142/S0218126612500491}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/LiaoCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/ChenLWH12, author = {Xiaodao Chen and Chen Liao and Tongquan Wei and Shiyan Hu}, title = {An Interconnect Reliability-Driven Routing Technique for Electromigration Failure Avoidance}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {9}, number = {5}, pages = {770--776}, year = {2012}, url = {https://doi.org/10.1109/TDSC.2010.57}, doi = {10.1109/TDSC.2010.57}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/ChenLWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangCLH12, author = {Jia Wang and Xiaodao Chen and Lin Liu and Shiyan Hu}, editor = {Alan J. Hu}, title = {Fast approximation for peak power driven voltage partitioning in almost linear time}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {698--704}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429537}, doi = {10.1145/2429384.2429537}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangCLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/LiaoH11, author = {Chen Liao and Shiyan Hu}, title = {Approximation scheme for restricted discrete gate sizing targeting delay minimization}, journal = {J. Comb. Optim.}, volume = {21}, number = {4}, pages = {497--510}, year = {2011}, url = {https://doi.org/10.1007/s10878-009-9267-0}, doi = {10.1007/S10878-009-9267-0}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/LiaoH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuCPC11, author = {Shiyan Hu and Pierrick Coup{\'{e}} and Jens C. Pruessner and D. Louis Collins}, title = {Appearance-based modeling for segmentation of hippocampus and amygdala using multi-contrast {MR} imaging}, journal = {NeuroImage}, volume = {58}, number = {2}, pages = {549--559}, year = {2011}, url = {https://doi.org/10.1016/j.neuroimage.2011.06.054}, doi = {10.1016/J.NEUROIMAGE.2011.06.054}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HuCPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WeiCH11, author = {Tongquan Wei and Xiaodao Chen and Shiyan Hu}, title = {Reliability-Driven Energy-Efficient Task Scheduling for Multiprocessor Real-Time Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {10}, pages = {1569--1573}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2160178}, doi = {10.1109/TCAD.2011.2160178}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WeiCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhaoGCFH11, author = {Xueqian Zhao and Yonghe Guo and Xiaodao Chen and Zhuo Feng and Shiyan Hu}, title = {Hierarchical Cross-Entropy Optimization for Fast On-Chip Decap Budgeting}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {11}, pages = {1610--1620}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2162068}, doi = {10.1109/TCAD.2011.2162068}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhaoGCFH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/Hu0D11, author = {Shiyan Hu and Zhuo Li and Yangdong Deng}, title = {{CAD} for Gigascale SoC Design and Verification Solutions}, journal = {{VLSI} Design}, volume = {2011}, pages = {398390:1--398390:2}, year = {2011}, url = {https://doi.org/10.1155/2011/398390}, doi = {10.1155/2011/398390}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsi/Hu0D11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhaoWFH11, author = {Xueqian Zhao and Jia Wang and Zhuo Feng and Shiyan Hu}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Power grid analysis with hierarchical support graphs}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {543--547}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105383}, doi = {10.1109/ICCAD.2011.6105383}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhaoWFH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangCLH11, author = {Jia Wang and Xiaodao Chen and Chen Liao and Shiyan Hu}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {The approximation scheme for peak power driven voltage partitioning}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {736--741}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105411}, doi = {10.1109/ICCAD.2011.6105411}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangCLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijics/Hu10, author = {Shiyan Hu}, title = {A secure partition-based document image watermarking scheme}, journal = {Int. J. Inf. Comput. Secur.}, volume = {4}, number = {1}, pages = {9--23}, year = {2010}, url = {https://doi.org/10.1504/IJICS.2010.031856}, doi = {10.1504/IJICS.2010.031856}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijics/Hu10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/Hu10, author = {Shiyan Hu}, title = {An almost four-approximation algorithm for maximum weight triangulation}, journal = {J. Comb. Optim.}, volume = {19}, number = {1}, pages = {31--42}, year = {2010}, url = {https://doi.org/10.1007/s10878-008-9158-9}, doi = {10.1007/S10878-008-9158-9}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/Hu10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/LiaoH10, author = {Chen Liao and Shiyan Hu}, title = {Polynomial time approximation schemes for minimum disk cover problems}, journal = {J. Comb. Optim.}, volume = {20}, number = {4}, pages = {399--412}, year = {2010}, url = {https://doi.org/10.1007/s10878-009-9216-y}, doi = {10.1007/S10878-009-9216-Y}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/LiaoH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgo/Hu10, author = {Shiyan Hu}, title = {A new asymmetric inclusion region for minimum weight triangulation}, journal = {J. Glob. Optim.}, volume = {46}, number = {1}, pages = {63--73}, year = {2010}, url = {https://doi.org/10.1007/s10898-009-9409-z}, doi = {10.1007/S10898-009-9409-Z}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgo/Hu10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HuSH10, author = {Shiyan Hu and Patrik Shah and Jiang Hu}, title = {Pattern Sensitive Placement Perturbation for Manufacturability}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {6}, pages = {1002--1006}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2017268}, doi = {10.1109/TVLSI.2009.2017268}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HuSH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoGFH10, author = {Xueqian Zhao and Yonghe Guo and Zhuo Feng and Shiyan Hu}, editor = {Sachin S. Sapatnekar}, title = {Parallel hierarchical cross entropy optimization for on-chip decap budgeting}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {843--848}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837485}, doi = {10.1145/1837274.1837485}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoGFH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangH10, author = {Jia Wang and Shiyan Hu}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {The fast optimal voltage partitioning algorithm for peak power density minimization}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {213--217}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5654144}, doi = {10.1109/ICCAD.2010.5654144}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/LiPAHSSZ10, author = {Zhuo Li and David A. Papa and Charles J. Alpert and Shiyan Hu and Weiping Shi and Cliff C. N. Sze and Nancy Ying Zhou}, editor = {Prashant Saxena and Yao{-}Wen Chang}, title = {Ultra-fast interconnect driven cell cloning for minimizing critical path delay}, booktitle = {Proceedings of the 2010 International Symposium on Physical Design, {ISPD} 2010, San Francisco, California, USA, March 14-17, 2010}, pages = {75--82}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1735023.1735047}, doi = {10.1145/1735023.1735047}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/LiPAHSSZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/WeiGCH10, author = {Tongquan Wei and Yonghe Guo and Xiaodao Chen and Shiyan Hu}, title = {Adaptive task allocation for multiprocessor SoCs}, booktitle = {11th International Symposium on Quality of Electronic Design {(ISQED} 2010), 22-24 March 2010, San Jose, CA, {USA}}, pages = {538--543}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISQED.2010.5450524}, doi = {10.1109/ISQED.2010.5450524}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/WeiGCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/HuH09, author = {Shiyan Hu and Jiang Hu}, title = {A fast general slew constrained minimum cost buffering algorithm}, journal = {Microelectron. J.}, volume = {40}, number = {10}, pages = {1482--1486}, year = {2009}, url = {https://doi.org/10.1016/j.mejo.2009.08.003}, doi = {10.1016/J.MEJO.2009.08.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/HuH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuKH09, author = {Shiyan Hu and Mahesh Ketkar and Jiang Hu}, title = {Gate Sizing for Cell-Library-Based Designs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {6}, pages = {818--825}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2015735}, doi = {10.1109/TCAD.2009.2015735}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HuKH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HuLA09, author = {Shiyan Hu and Zhuo Li and Charles J. Alpert}, title = {A Fully Polynomial-Time Approximation Scheme for Timing-Constrained Minimum Cost Layer Assignment}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {56-II}, number = {7}, pages = {580--584}, year = {2009}, url = {https://doi.org/10.1109/TCSII.2009.2022203}, doi = {10.1109/TCSII.2009.2022203}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HuLA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuLA09, author = {Shiyan Hu and Zhuo Li and Charles J. Alpert}, title = {A fully polynomial time approximation scheme for timing driven minimum cost buffer insertion}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {424--429}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630026}, doi = {10.1145/1629911.1630026}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuLA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FengH09, author = {Yujia Feng and Shiyan Hu}, editor = {Jaijeet S. Roychowdhury}, title = {The epsilon-approximation to discrete {VT} assignment for leakage power minimization}, booktitle = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009, San Jose, CA, USA, November 2-5, 2009}, pages = {281--287}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1687399.1687453}, doi = {10.1145/1687399.1687453}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/FengH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/HuLA09, author = {Shiyan Hu and Zhuo Li and Charles J. Alpert}, editor = {Gi{-}Joon Nam and Prashant Saxena}, title = {A faster approximation scheme for timing driven minimum cost layer assignment}, booktitle = {Proceedings of the 2009 International Symposium on Physical Design, {ISPD} 2009, San Diego, California, USA, March 29 - April 1, 2009}, pages = {167--174}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1514932.1514969}, doi = {10.1145/1514932.1514969}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/HuLA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/DoddannagariHS09, author = {Uday Doddannagari and Shiyan Hu and Weiping Shi}, title = {Fast characterization of parameterized cell library}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {500--505}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810345}, doi = {10.1109/ISQED.2009.4810345}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/DoddannagariHS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Hu08a, author = {Shiyan Hu}, title = {Algorithmic techniques for nanometer {VLSI} design and manufacturing closure}, school = {Texas A{\&}M University, College Station, {USA}}, year = {2008}, url = {https://hdl.handle.net/1969.1/85905}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Hu08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informaticaSI/Hu08, author = {Shiyan Hu}, title = {Geometric-Invariant Image Watermarking by Key-Dependent Triangulation}, journal = {Informatica (Slovenia)}, volume = {32}, number = {2}, pages = {169--181}, year = {2008}, url = {http://www.informatica.si/index.php/informatica/article/view/189}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/informaticaSI/Hu08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuLA08, author = {Shiyan Hu and Zhuo Li and Charles J. Alpert}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A polynomial time approximation scheme for timing constrained minimum cost layer assignment}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {112--115}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681560}, doi = {10.1109/ICCAD.2008.4681560}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuLA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/LiAHMQV08, author = {Zhuo Li and Charles J. Alpert and Shiyan Hu and Tuhin Muhmud and Stephen T. Quay and Paul G. Villarrubia}, editor = {David Z. Pan and Gi{-}Joon Nam}, title = {Fast interconnect synthesis with layer assignment}, booktitle = {Proceedings of the 2008 International Symposium on Physical Design, {ISPD} 2008, Portland, Oregon, USA, April 13-16, 2008}, pages = {71--77}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1353629.1353648}, doi = {10.1145/1353629.1353648}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/LiAHMQV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/crc/Hu0H08, author = {Jiang Hu and Zhuo Li and Shiyan Hu}, editor = {Charles J. Alpert and Dinesh P. Mehta and Sachin S. Sapatnekar}, title = {Buffer Insertion Basics}, booktitle = {Handbook of Algorithms for Physical Design Automation}, publisher = {Auerbach Publications}, year = {2008}, url = {https://doi.org/10.1201/9781420013481.ch26}, doi = {10.1201/9781420013481.CH26}, timestamp = {Mon, 26 Oct 2020 09:04:39 +0100}, biburl = {https://dblp.org/rec/reference/crc/Hu0H08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/Hu07, author = {Shiyan Hu}, title = {A linear time algorithm for max-min length triangulation of a convex polygon}, journal = {Inf. Process. Lett.}, volume = {101}, number = {5}, pages = {203--208}, year = {2007}, url = {https://doi.org/10.1016/j.ipl.2006.09.014}, doi = {10.1016/J.IPL.2006.09.014}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/Hu07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuC07, author = {Shiyan Hu and D. Louis Collins}, title = {Joint level-set shape modeling and appearance modeling for brain structure segmentation}, journal = {NeuroImage}, volume = {36}, number = {3}, pages = {672--683}, year = {2007}, url = {https://doi.org/10.1016/j.neuroimage.2006.12.048}, doi = {10.1016/J.NEUROIMAGE.2006.12.048}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HuC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuAHKLSS07, author = {Shiyan Hu and Charles J. Alpert and Jiang Hu and Shrirang K. Karandikar and Zhuo Li and Weiping Shi and Chin Ngai Sze}, title = {Fast Algorithms for Slew-Constrained Minimum Cost Buffering}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {11}, pages = {2009--2022}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.906477}, doi = {10.1109/TCAD.2007.906477}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HuAHKLSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HuLHL07, author = {Shiyan Hu and Qiuyang Li and Jiang Hu and Peng Li}, title = {Utilizing Redundancy for Timing Critical Interconnect}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {10}, pages = {1067--1080}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.903911}, doi = {10.1109/TVLSI.2007.903911}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HuLHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangHS07, author = {Zhanyuan Jiang and Shiyan Hu and Weiping Shi}, title = {A New Twisted Differential Line Structure in Global Bus Design}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {180--183}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278524}, doi = {10.1145/1278480.1278524}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangHS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuKH07, author = {Shiyan Hu and Mahesh Ketkar and Jiang Hu}, title = {Gate Sizing For Cell Library-Based Designs}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {847--852}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278690}, doi = {10.1145/1278480.1278690}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuKH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuH07, author = {Shiyan Hu and Jiang Hu}, editor = {Georges G. E. Gielen}, title = {Unified adaptivity optimization of clock and logic signals}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397254}, doi = {10.1109/ICCAD.2007.4397254}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/HuH07, author = {Shiyan Hu and Jiang Hu}, editor = {Patrick H. Madden and David Z. Pan}, title = {Pattern sensitive placement for manufacturability}, booktitle = {Proceedings of the 2007 International Symposium on Physical Design, {ISPD} 2007, Austin, Texas, USA, March 18-21, 2007}, pages = {27--34}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1231996.1232004}, doi = {10.1145/1231996.1232004}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/HuH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/JiangHHS07, author = {Zhanyuan Jiang and Shiyan Hu and Jiang Hu and Weiping Shi}, title = {An Efficient Algorithm for {RLC} Buffer Insertion}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {171--175}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.33}, doi = {10.1109/ISQED.2007.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/JiangHHS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuAHKLSS06, author = {Shiyan Hu and Charles J. Alpert and Jiang Hu and Shrirang K. Karandikar and Zhuo Li and Weiping Shi and Cliff C. N. Sze}, editor = {Ellen Sentovich}, title = {Fast algorithms for slew constrained minimum cost buffering}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {308--313}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146990}, doi = {10.1145/1146909.1146990}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuAHKLSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuLHL06, author = {Shiyan Hu and Qiuyang Li and Jiang Hu and Peng Li}, editor = {Ellen Sentovich}, title = {Steiner network construction for timing critical nets}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {379--384}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147010}, doi = {10.1145/1146909.1147010}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuLHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JiangHHLS06, author = {Zhanyuan Jiang and Shiyan Hu and Jiang Hu and Zhuo Li and Weiping Shi}, editor = {Soha Hassoun}, title = {A new {RLC} buffer insertion algorithm}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {553--557}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233614}, doi = {10.1145/1233501.1233614}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JiangHHLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HuC05, author = {Shiyan Hu and Minya Chen}, title = {Adaptive Fre'chet kernel based support vector machine for text detection}, booktitle = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, pages = {365--368}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICASSP.2005.1416316}, doi = {10.1109/ICASSP.2005.1416316}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HuC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/Hu05, author = {Shiyan Hu}, title = {Efficient Video Retrieval by Locality Sensitive Hashing}, booktitle = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, pages = {449--452}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICASSP.2005.1415438}, doi = {10.1109/ICASSP.2005.1415438}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/Hu05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/Hu05a, author = {Shiyan Hu}, title = {Document Image Watermarking Algorithm Based on Neighborhood Pixel Ratio}, booktitle = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, pages = {841--844}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICASSP.2005.1415536}, doi = {10.1109/ICASSP.2005.1415536}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/Hu05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuHC05, author = {Shiyan Hu and Han Huang and Dariusz Czarkowski}, title = {Hybrid trigonometric differential evolution for optimizing harmonic distribution}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1306--1309}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464835}, doi = {10.1109/ISCAS.2005.1464835}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cit/HuH04, author = {Shiyan Hu and Han Huang}, editor = {Gautam Das and Ved Prakash Gulati}, title = {Optimizing Surplus Harmonics Distribution in {PWM}}, booktitle = {Intelligent Information Technology, 7th International Conference on Information Technology, {CIT} 2004, Hyderabad, India, December 20-23, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3356}, pages = {366--375}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30561-3\_38}, doi = {10.1007/978-3-540-30561-3\_38}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/cit/HuH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/das/Hu04, author = {Shiyan Hu}, editor = {Simone Marinai and Andreas Dengel}, title = {Document Image Watermarking Based on Weight-Invariant Partition Using Support Vector Machine}, booktitle = {Document Analysis Systems VI, 6th International Workshop, {DAS} 2004, Florence, Italy, September 8-10, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3163}, pages = {546--554}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-28640-0\_51}, doi = {10.1007/978-3-540-28640-0\_51}, timestamp = {Fri, 13 Sep 2019 15:47:20 +0200}, biburl = {https://dblp.org/rec/conf/das/Hu04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscis/Hu04, author = {Shiyan Hu}, editor = {Cevdet Aykanat and Tugrul Dayar and Ibrahim Korpeoglu}, title = {A New Document Watermarking Algorithm Based on Hybrid Multi-scale Ant Colony System}, booktitle = {Computer and Information Sciences - {ISCIS} 2004, 19th International Symposium, Kemer-Antalya, Turkey, October 27-29, 2004. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3280}, pages = {440--448}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30182-0\_45}, doi = {10.1007/978-3-540-30182-0\_45}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/iscis/Hu04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/Hu04, author = {Shiyan Hu}, editor = {Fuliang Yin and Jun Wang and Chengan Guo}, title = {Quantum Neural Network for Image Watermarking}, booktitle = {Advances in Neural Networks - {ISNN} 2004, International Symposium on Neural Networks, Dalian, China, August 19-21, 2004, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3174}, pages = {669--674}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-28648-6\_107}, doi = {10.1007/978-3-540-28648-6\_107}, timestamp = {Thu, 09 Jan 2020 18:22:01 +0100}, biburl = {https://dblp.org/rec/conf/isnn/Hu04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/Hu04, author = {Shiyan Hu}, editor = {Henning Schulzrinne and Nevenka Dimitrova and Martina Angela Sasse and Sue B. Moon and Rainer Lienhart}, title = {Key-dependant decomposition based image watermarking}, booktitle = {Proceedings of the 12th {ACM} International Conference on Multimedia, New York, NY, USA, October 10-16, 2004}, pages = {428--431}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1027527.1027630}, doi = {10.1145/1027527.1027630}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/Hu04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cccg/Hu03, author = {Shiyan Hu}, title = {A Constant Approximation Algorithm for Maximum Weight Triangulation}, booktitle = {Proceedings of the 15th Canadian Conference on Computational Geometry, CCCG'03, Halifax, Canada, August 11-13, 2003}, pages = {150--154}, year = {2003}, url = {http://www.cccg.ca/proceedings/2003/52.pdf}, timestamp = {Wed, 12 May 2021 14:19:37 +0200}, biburl = {https://dblp.org/rec/conf/cccg/Hu03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.