BibTeX records: Ajay Joshi

download as .bib file

@article{DBLP:journals/pomacs/Jonatan0SWLMSAJ24,
  author       = {Gilbert Jonatan and
                  Haeyoon Cho and
                  Hyojun Son and
                  Xiangyu Wu and
                  Neal Livesay and
                  Evelio Mora and
                  Kaustubh Shivdikar and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Ajay Joshi and
                  David R. Kaeli and
                  John Kim},
  title        = {Scalability Limitations of Processing-in-Memory using Real System
                  Evaluations},
  journal      = {Proc. {ACM} Meas. Anal. Comput. Syst.},
  volume       = {8},
  number       = {1},
  pages        = {5:1--5:28},
  year         = {2024},
  url          = {https://doi.org/10.1145/3639046},
  doi          = {10.1145/3639046},
  timestamp    = {Sat, 16 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/pomacs/Jonatan0SWLMSAJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hri/JoshiBB24,
  author       = {Ajay Joshi and
                  Lynne Baillie and
                  Carl Bettosi},
  editor       = {Dan Grollman and
                  Elizabeth Broadbent and
                  Wendy Ju and
                  Harold Soh and
                  Tom Williams},
  title        = {A Robot-Administered {ICU} Confusion Assessment with Brain-Computer
                  Interface Control},
  booktitle    = {Companion of the 2024 {ACM/IEEE} International Conference on Human-Robot
                  Interaction, {HRI} 2024, Boulder, CO, USA, March 11-15, 2024},
  pages        = {583--587},
  publisher    = {{ACM}},
  year         = {2024},
  url          = {https://doi.org/10.1145/3610978.3640554},
  doi          = {10.1145/3610978.3640554},
  timestamp    = {Fri, 22 Mar 2024 14:54:24 +0100},
  biburl       = {https://dblp.org/rec/conf/hri/JoshiBB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2401-05121,
  author       = {Farbin Fayza and
                  Satyavolu Papa Rao and
                  Darius Bunandar and
                  Udit Gupta and
                  Ajay Joshi},
  title        = {Photonics for Sustainable Computing},
  journal      = {CoRR},
  volume       = {abs/2401.05121},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2401.05121},
  doi          = {10.48550/ARXIV.2401.05121},
  eprinttype    = {arXiv},
  eprint       = {2401.05121},
  timestamp    = {Thu, 25 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2401-05121.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:series/synthesis-cac/AgrawalJ23,
  author       = {Rashmi Agrawal and
                  Ajay Joshi},
  title        = {On Architecting Fully Homomorphic Encryption-based Computing Systems},
  series       = {Synthesis Lectures on Computer Architecture},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-31754-5},
  doi          = {10.1007/978-3-031-31754-5},
  isbn         = {978-3-031-31753-8},
  timestamp    = {Fri, 03 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/series/synthesis-cac/AgrawalJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/DemirkiranEWEMHBRJB23,
  author       = {Cansu Demirkiran and
                  Furkan Eris and
                  Gongyu Wang and
                  Jonathan Elmhurst and
                  Nick Moore and
                  Nicholas C. Harris and
                  Ayon Basumallik and
                  Vijay Janapa Reddi and
                  Ajay Joshi and
                  Darius Bunandar},
  title        = {An Electro-Photonic System for Accelerating Deep Neural Networks},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {19},
  number       = {4},
  pages        = {30:1--30:31},
  year         = {2023},
  url          = {https://doi.org/10.1145/3606949},
  doi          = {10.1145/3606949},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/DemirkiranEWEMHBRJB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/LivesayJMSAJAKK23,
  author       = {Neal Livesay and
                  Gilbert Jonatan and
                  Evelio Mora and
                  Kaustubh Shivdikar and
                  Rashmi Agrawal and
                  Ajay Joshi and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  David R. Kaeli},
  title        = {Accelerating Finite Field Arithmetic for Homomorphic Encryption on
                  GPUs},
  journal      = {{IEEE} Micro},
  volume       = {43},
  number       = {5},
  pages        = {55--63},
  year         = {2023},
  url          = {https://doi.org/10.1109/MM.2023.3253052},
  doi          = {10.1109/MM.2023.3253052},
  timestamp    = {Wed, 01 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/micro/LivesayJMSAJAKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/ErisLEMJ23,
  author       = {Furkan Eris and
                  Marcia S. Louis and
                  Kubra Eris and
                  Jos{\'{e}} Luis Abell{\'{a}}n Miguel and
                  Ajay Joshi},
  title        = {Puppeteer: {A} Random Forest Based Manager for Hardware Prefetchers
                  Across the Memory Hierarchy},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {20},
  number       = {1},
  pages        = {19:1--19:25},
  year         = {2023},
  url          = {https://doi.org/10.1145/3570304},
  doi          = {10.1145/3570304},
  timestamp    = {Sat, 11 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/ErisLEMJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AzadYAPTJ23,
  author       = {Zahra Azad and
                  Guowei Yang and
                  Rashmi Agrawal and
                  Daniel Petrisko and
                  Michael Bedford Taylor and
                  Ajay Joshi},
  title        = {{RISE:} {RISC-V} SoC for En/Decryption Acceleration on the Edge for
                  Homomorphic Encryption},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {31},
  number       = {10},
  pages        = {1523--1536},
  year         = {2023},
  url          = {https://doi.org/10.1109/TVLSI.2023.3288754},
  doi          = {10.1109/TVLSI.2023.3288754},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AzadYAPTJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RajapakshaDEJ23,
  author       = {Chathura Rajapaksha and
                  Leila Delshadtehrani and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {SIGFuzz: {A} Framework for Discovering Microarchitectural Timing Side
                  Channels},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10136966},
  doi          = {10.23919/DATE56975.2023.10136966},
  timestamp    = {Wed, 07 Jun 2023 22:08:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/RajapakshaDEJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/CanakciRDNTEJ23,
  author       = {Sadullah Canakci and
                  Chathura Rajapaksha and
                  Leila Delshadtehrani and
                  Anoop Mysore Nataraja and
                  Michael Bedford Taylor and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {ProcessorFuzz: Processor Fuzzing with Control and Status Registers
                  Guidance},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2023, San Jose, CA, USA, May 1-4, 2023},
  pages        = {1--12},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/HOST55118.2023.10133714},
  doi          = {10.1109/HOST55118.2023.10133714},
  timestamp    = {Mon, 11 Sep 2023 17:53:23 +0200},
  biburl       = {https://dblp.org/rec/conf/host/CanakciRDNTEJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/AgrawalCYJYCVJ23,
  author       = {Rashmi Agrawal and
                  Leo de Castro and
                  Guowei Yang and
                  Chiraag Juvekar and
                  Rabia Tugce Yazicigil and
                  Anantha P. Chandrakasan and
                  Vinod Vaikuntanathan and
                  Ajay Joshi},
  title        = {{FAB:} An FPGA-based Accelerator for Bootstrappable Fully Homomorphic
                  Encryption},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023},
  pages        = {882--895},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/HPCA56546.2023.10070953},
  doi          = {10.1109/HPCA56546.2023.10070953},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/AgrawalCYJYCVJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangDKOCJ23,
  author       = {Guowei Yang and
                  Cansu Demirkiran and
                  Zeynep Ece Kizilates and
                  Carlos A. R{\'{\i}}os Ocampo and
                  Ayse K. Coskun and
                  Ajay Joshi},
  title        = {Processing-in-Memory Using Optically-Addressed Phase Change Memory},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2023, Vienna, Austria, August 7-8, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISLPED58423.2023.10244409},
  doi          = {10.1109/ISLPED58423.2023.10244409},
  timestamp    = {Mon, 25 Sep 2023 14:10:09 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/YangDKOCJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/ShivdikarBASJMI23,
  author       = {Kaustubh Shivdikar and
                  Yuhui Bao and
                  Rashmi Agrawal and
                  Michael Tian Shen and
                  Gilbert Jonatan and
                  Evelio Mora and
                  Alexander Ingare and
                  Neal Livesay and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  Ajay Joshi and
                  David R. Kaeli},
  title        = {{GME:} GPU-based Microarchitectural Extensions to Accelerate Homomorphic
                  Encryption},
  booktitle    = {Proceedings of the 56th Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2023, Toronto, ON, Canada, 28 October
                  2023 - 1 November 2023},
  pages        = {670--684},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3613424.3614279},
  doi          = {10.1145/3613424.3614279},
  timestamp    = {Tue, 09 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/ShivdikarBASJMI23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/0001CJCVJ23,
  author       = {Rashmi Agrawal and
                  Leo de Castro and
                  Chiraag Juvekar and
                  Anantha P. Chandrakasan and
                  Vinod Vaikuntanathan and
                  Ajay Joshi},
  title        = {{MAD:} Memory-Aware Design Techniques for Accelerating Fully Homomorphic
                  Encryption},
  booktitle    = {Proceedings of the 56th Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2023, Toronto, ON, Canada, 28 October
                  2023 - 1 November 2023},
  pages        = {685--697},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3613424.3614302},
  doi          = {10.1145/3613424.3614302},
  timestamp    = {Sun, 31 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/0001CJCVJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2302-07104,
  author       = {Zahra Azad and
                  Guowei Yang and
                  Rashmi Agrawal and
                  Daniel Petrisko and
                  Michael B. Taylor and
                  Ajay Joshi},
  title        = {{RISE:} {RISC-V} SoC for En/decryption Acceleration on the Edge for
                  Homomorphic Encryption},
  journal      = {CoRR},
  volume       = {abs/2302.07104},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2302.07104},
  doi          = {10.48550/ARXIV.2302.07104},
  eprinttype    = {arXiv},
  eprint       = {2302.07104},
  timestamp    = {Mon, 20 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2302-07104.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2306-09481,
  author       = {Cansu Demirkiran and
                  Rashmi Agrawal and
                  Vijay Janapa Reddi and
                  Darius Bunandar and
                  Ajay Joshi},
  title        = {Leveraging Residue Number System for Designing High-Precision Analog
                  Deep Neural Network Accelerators},
  journal      = {CoRR},
  volume       = {abs/2306.09481},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2306.09481},
  doi          = {10.48550/ARXIV.2306.09481},
  eprinttype    = {arXiv},
  eprint       = {2306.09481},
  timestamp    = {Thu, 22 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2306-09481.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2309-10759,
  author       = {Cansu Demirkiran and
                  Lakshmi Nair and
                  Darius Bunandar and
                  Ajay Joshi},
  title        = {A Blueprint for Precise and Fault-Tolerant Analog Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2309.10759},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2309.10759},
  doi          = {10.48550/ARXIV.2309.10759},
  eprinttype    = {arXiv},
  eprint       = {2309.10759},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2309-10759.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2309-11001,
  author       = {Kaustubh Shivdikar and
                  Yuhui Bao and
                  Rashmi Agrawal and
                  Michael Tian Shen and
                  Gilbert Jonatan and
                  Evelio Mora and
                  Alexander Ingare and
                  Neal Livesay and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  Ajay Joshi and
                  David R. Kaeli},
  title        = {{GME:} GPU-based Microarchitectural Extensions to Accelerate Homomorphic
                  Encryption},
  journal      = {CoRR},
  volume       = {abs/2309.11001},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2309.11001},
  doi          = {10.48550/ARXIV.2309.11001},
  eprinttype    = {arXiv},
  eprint       = {2309.11001},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2309-11001.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-17323,
  author       = {Cansu Demirkiran and
                  Guowei Yang and
                  Darius Bunandar and
                  Ajay Joshi},
  title        = {Accelerating {DNN} Training With Photonics: {A} Residue Number System-Based
                  Design},
  journal      = {CoRR},
  volume       = {abs/2311.17323},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.17323},
  doi          = {10.48550/ARXIV.2311.17323},
  eprinttype    = {arXiv},
  eprint       = {2311.17323},
  timestamp    = {Tue, 05 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-17323.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-17801,
  author       = {Farbin Fayza and
                  Cansu Demirkiran and
                  Hanning Chen and
                  Che{-}Kai Liu and
                  Avi Mohan and
                  Hamza Errahmouni Barkam and
                  Sanggeon Yun and
                  Mohsen Imani and
                  David Zhang and
                  Darius Bunandar and
                  Ajay Joshi},
  title        = {Towards Efficient Hyperdimensional Computing Using Photonics},
  journal      = {CoRR},
  volume       = {abs/2311.17801},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.17801},
  doi          = {10.48550/ARXIV.2311.17801},
  eprinttype    = {arXiv},
  eprint       = {2311.17801},
  timestamp    = {Tue, 05 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-17801.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/NarayanTVCJ22,
  author       = {Aditya Narayan and
                  Yvain Thonnart and
                  Pascal Vivet and
                  Ayse K. Coskun and
                  Ajay Joshi},
  title        = {Architecting Optically Controlled Phase Change Memory},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {19},
  number       = {4},
  pages        = {48:1--48:26},
  year         = {2022},
  url          = {https://doi.org/10.1145/3533252},
  doi          = {10.1145/3533252},
  timestamp    = {Sun, 15 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/NarayanTVCJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/Bao0FSWABKJK22,
  author       = {Yuhui Bao and
                  Yifan Sun and
                  Zlatan Feric and
                  Michael Tian Shen and
                  Micah Weston and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Trinayan Baruah and
                  John Kim and
                  Ajay Joshi and
                  David R. Kaeli},
  editor       = {Andreas Kl{\"{o}}ckner and
                  Jos{\'{e}} Moreira},
  title        = {NaviSim: {A} Highly Accurate {GPU} Simulator for {AMD} {RDNA} GPUs},
  booktitle    = {Proceedings of the International Conference on Parallel Architectures
                  and Compilation Techniques, {PACT} 2022, Chicago, Illinois, October
                  8-12, 2022},
  pages        = {333--345},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3559009.3569666},
  doi          = {10.1145/3559009.3569666},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/Bao0FSWABKJK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asiaccs/CanakciMGJE22,
  author       = {Sadullah Canakci and
                  Nikolay Matyunin and
                  Kalman Graffi and
                  Ajay Joshi and
                  Manuel Egele},
  editor       = {Yuji Suga and
                  Kouichi Sakurai and
                  Xuhua Ding and
                  Kazue Sako},
  title        = {TargetFuzz: Using DARTs to Guide Directed Greybox Fuzzers},
  booktitle    = {{ASIA} {CCS} '22: {ACM} Asia Conference on Computer and Communications
                  Security, Nagasaki, Japan, 30 May 2022 - 3 June 2022},
  pages        = {561--573},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3488932.3501276},
  doi          = {10.1145/3488932.3501276},
  timestamp    = {Tue, 24 May 2022 13:40:31 +0200},
  biburl       = {https://dblp.org/rec/conf/asiaccs/CanakciMGJE22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DasJK22,
  author       = {Palash Das and
                  Ajay Joshi and
                  Hemangee K. Kapoor},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {Hydra: {A} near hybrid memory accelerator for {CNN} inference},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {1017--1022},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774636},
  doi          = {10.23919/DATE54114.2022.9774636},
  timestamp    = {Wed, 25 May 2022 22:56:19 +0200},
  biburl       = {https://dblp.org/rec/conf/date/DasJK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AzadYAPTJ22,
  author       = {Zahra Azad and
                  Guowei Yang and
                  Rashmi Agrawal and
                  Daniel Petrisko and
                  Michael B. Taylor and
                  Ajay Joshi},
  editor       = {Hai Helen Li and
                  Charles Augustine and
                  Ayse Kivilcim Coskun and
                  Swaroop Ghosh},
  title        = {{RACE:} {RISC-V} SoC for En/decryption Acceleration on the Edge for
                  Homomorphic Computation},
  booktitle    = {{ISLPED} '22: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, MA, USA, August 1 - 3, 2022},
  pages        = {13:1--13:6},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3531437.3539725},
  doi          = {10.1145/3531437.3539725},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AzadYAPTJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/seed/ShivdikarJMLAJA22,
  author       = {Kaustubh Shivdikar and
                  Gilbert Jonatan and
                  Evelio Mora and
                  Neal Livesay and
                  Rashmi Agrawal and
                  Ajay Joshi and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  David R. Kaeli},
  title        = {Accelerating Polynomial Multiplication for Homomorphic Encryption
                  on GPUs},
  booktitle    = {2022 {IEEE} International Symposium on Secure and Private Execution
                  Environment Design (SEED), Storrs, CT, USA, September 26-27, 2022},
  pages        = {61--72},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SEED55351.2022.00013},
  doi          = {10.1109/SEED55351.2022.00013},
  timestamp    = {Tue, 26 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/seed/ShivdikarJMLAJA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2201-12027,
  author       = {Furkan Eris and
                  Marcia S. Louis and
                  Kubra Eris and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Ajay Joshi},
  title        = {Puppeteer: {A} Random Forest-based Manager for Hardware Prefetchers
                  across the Memory Hierarchy},
  journal      = {CoRR},
  volume       = {abs/2201.12027},
  year         = {2022},
  url          = {https://arxiv.org/abs/2201.12027},
  eprinttype    = {arXiv},
  eprint       = {2201.12027},
  timestamp    = {Fri, 04 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2201-12027.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2207-11872,
  author       = {Rashmi Agrawal and
                  Leo de Castro and
                  Guowei Yang and
                  Chiraag Juvekar and
                  Rabia Tugce Yazicigil and
                  Anantha P. Chandrakasan and
                  Vinod Vaikuntanathan and
                  Ajay Joshi},
  title        = {{FAB:} An FPGA-based Accelerator for Bootstrappable Fully Homomorphic
                  Encryption},
  journal      = {CoRR},
  volume       = {abs/2207.11872},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2207.11872},
  doi          = {10.48550/ARXIV.2207.11872},
  eprinttype    = {arXiv},
  eprint       = {2207.11872},
  timestamp    = {Tue, 12 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2207-11872.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2209-01290,
  author       = {Kaustubh Shivdikar and
                  Gilbert Jonatan and
                  Evelio Mora and
                  Neal Livesay and
                  Rashmi Agrawal and
                  Ajay Joshi and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  David R. Kaeli},
  title        = {Accelerating Polynomial Multiplication for Homomorphic Encryption
                  on GPUs},
  journal      = {CoRR},
  volume       = {abs/2209.01290},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2209.01290},
  doi          = {10.48550/ARXIV.2209.01290},
  eprinttype    = {arXiv},
  eprint       = {2209.01290},
  timestamp    = {Thu, 08 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2209-01290.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2209-01789,
  author       = {Sadullah Canakci and
                  Chathura Rajapaksha and
                  Anoop Mysore Nataraja and
                  Leila Delshadtehrani and
                  Michael B. Taylor and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {ProcessorFuzz: Guiding Processor Fuzzing using Control and Status
                  Registers},
  journal      = {CoRR},
  volume       = {abs/2209.01789},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2209.01789},
  doi          = {10.48550/ARXIV.2209.01789},
  eprinttype    = {arXiv},
  eprint       = {2209.01789},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2209-01789.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ZhouGJEJ21,
  author       = {Boyou Zhou and
                  Anmol Gupta and
                  Rasoul Jahanshahi and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {A Cautionary Tale About Detecting Malware Using Hardware Performance
                  Counters and Machine Learning},
  journal      = {{IEEE} Des. Test},
  volume       = {38},
  number       = {3},
  pages        = {39--50},
  year         = {2021},
  url          = {https://doi.org/10.1109/MDAT.2021.3063338},
  doi          = {10.1109/MDAT.2021.3063338},
  timestamp    = {Tue, 01 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/ZhouGJEJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ppl/RamroachJ21,
  author       = {Sterling Ramroach and
                  Ajay Joshi},
  title        = {Accelerating Data-Parallel Neural Network Training with Weighted-Averaging
                  Reparameterisation},
  journal      = {Parallel Process. Lett.},
  volume       = {31},
  number       = {2},
  pages        = {2150009:1--2150009:12},
  year         = {2021},
  url          = {https://doi.org/10.1142/S0129626421500092},
  doi          = {10.1142/S0129626421500092},
  timestamp    = {Thu, 29 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ppl/RamroachJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ZhouAVATGUJ21,
  author       = {Boyou Zhou and
                  Aydan Aksoylar and
                  Kyle Vigil and
                  Ronen Adato and
                  Jian Tan and
                  Bennett B. Goldberg and
                  M. Selim {\"{U}}nl{\"{u}} and
                  Ajay Joshi},
  title        = {Hardware Trojan Detection Using Backside Optical Imaging},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {1},
  pages        = {24--37},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2020.2991680},
  doi          = {10.1109/TCAD.2020.2991680},
  timestamp    = {Tue, 23 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ZhouAVATGUJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/acsac/DelshadtehraniC21,
  author       = {Leila Delshadtehrani and
                  Sadullah Canakci and
                  William Blair and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {FlexFilt: Towards Flexible Instruction Filtering for Security},
  booktitle    = {{ACSAC} '21: Annual Computer Security Applications Conference, Virtual
                  Event, USA, December 6 - 10, 2021},
  pages        = {646--659},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3485832.3488019},
  doi          = {10.1145/3485832.3488019},
  timestamp    = {Tue, 07 Dec 2021 18:41:40 +0100},
  biburl       = {https://dblp.org/rec/conf/acsac/DelshadtehraniC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CanakciDETEJ21,
  author       = {Sadullah Canakci and
                  Leila Delshadtehrani and
                  Furkan Eris and
                  Michael Bedford Taylor and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {DirectFuzz: Automated Test Generation for {RTL} Designs using Directed
                  Graybox Fuzzing},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {529--534},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586289},
  doi          = {10.1109/DAC18074.2021.9586289},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/CanakciDETEJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MaDDAJ21,
  author       = {Yenai Ma and
                  Leila Delshadtehrani and
                  Cansu Demirkiran and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Ajay Joshi},
  title        = {{TAP-2.5D:} {A} Thermally-Aware Chiplet Placement Methodology for
                  2.5D Systems},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1246--1251},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474011},
  doi          = {10.23919/DATE51398.2021.9474011},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MaDDAJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DelshadtehraniC21,
  author       = {Leila Delshadtehrani and
                  Sadullah Canakci and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {SealPK: Sealable Protection Keys for {RISC-V}},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1278--1281},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473932},
  doi          = {10.23919/DATE51398.2021.9473932},
  timestamp    = {Wed, 21 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/DelshadtehraniC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/BaruahSDSMJAUJK21,
  author       = {Trinayan Baruah and
                  Kaustubh Shivdikar and
                  Shi Dong and
                  Yifan Sun and
                  Saiful A. Mojumder and
                  Kihoon Jung and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Yash Ukidave and
                  Ajay Joshi and
                  John Kim and
                  David R. Kaeli},
  title        = {GNNMark: {A} Benchmark Suite to Characterize Graph Neural Network
                  Training on GPUs},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021},
  pages        = {13--23},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISPASS51385.2021.00013},
  doi          = {10.1109/ISPASS51385.2021.00013},
  timestamp    = {Mon, 09 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispass/BaruahSDSMJAUJK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/BuchAJR21,
  author       = {Michael Buch and
                  Zahra Azad and
                  Ajay Joshi and
                  Vijay Janapa Reddi},
  title        = {{AI} Tax in Mobile SoCs: End-to-end Performance Analysis of Machine
                  Learning in Smartphones},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021},
  pages        = {96--106},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISPASS51385.2021.00027},
  doi          = {10.1109/ISPASS51385.2021.00027},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispass/BuchAJR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/AzadSPJ21,
  author       = {Zahra Azad and
                  Rathijit Sen and
                  Kwanghyun Park and
                  Ajay Joshi},
  title        = {Hardware Acceleration for {DBMS} Machine Learning Scoring: Is It Worth
                  the Overheads?},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021},
  pages        = {243--253},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISPASS51385.2021.00047},
  doi          = {10.1109/ISPASS51385.2021.00047},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispass/AzadSPJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/AhnKKJDSJK21,
  author       = {Jaeguk Ahn and
                  Jiho Kim and
                  Hans Kasan and
                  Zhixian Jin and
                  Leila Delshadtehrani and
                  WonJun Song and
                  Ajay Joshi and
                  John Kim},
  title        = {Network-on-Chip Microarchitecture-based Covert Channel in GPUs},
  booktitle    = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  Virtual Event, Greece, October 18-22, 2021},
  pages        = {565--577},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3466752.3480093},
  doi          = {10.1145/3466752.3480093},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/AhnKKJDSJK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2107-11516,
  author       = {Aditya Narayan and
                  Yvain Thonnart and
                  Pascal Vivet and
                  Ayse K. Coskun and
                  Ajay Joshi},
  title        = {Architecting Optically-Controlled Phase Change Memory},
  journal      = {CoRR},
  volume       = {abs/2107.11516},
  year         = {2021},
  url          = {https://arxiv.org/abs/2107.11516},
  eprinttype    = {arXiv},
  eprint       = {2107.11516},
  timestamp    = {Thu, 29 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2107-11516.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2109-01126,
  author       = {Cansu Demirkiran and
                  Furkan Eris and
                  Gongyu Wang and
                  Jonathan Elmhurst and
                  Nick Moore and
                  Nicholas C. Harris and
                  Ayon Basumallik and
                  Vijay Janapa Reddi and
                  Ajay Joshi and
                  Darius Bunandar},
  title        = {An Electro-Photonic System for Accelerating Deep Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2109.01126},
  year         = {2021},
  url          = {https://arxiv.org/abs/2109.01126},
  eprinttype    = {arXiv},
  eprint       = {2109.01126},
  timestamp    = {Mon, 20 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2109-01126.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2112-06396,
  author       = {Leo de Castro and
                  Rashmi Agrawal and
                  Rabia Tugce Yazicigil and
                  Anantha P. Chandrakasan and
                  Vinod Vaikuntanathan and
                  Chiraag Juvekar and
                  Ajay Joshi},
  title        = {Does Fully Homomorphic Encryption Need Compute Acceleration?},
  journal      = {CoRR},
  volume       = {abs/2112.06396},
  year         = {2021},
  url          = {https://arxiv.org/abs/2112.06396},
  eprinttype    = {arXiv},
  eprint       = {2112.06396},
  timestamp    = {Tue, 12 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2112-06396.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/CastroAYCVJJ21,
  author       = {Leo de Castro and
                  Rashmi Agrawal and
                  Rabia Tugce Yazicigil and
                  Anantha P. Chandrakasan and
                  Vinod Vaikuntanathan and
                  Chiraag Juvekar and
                  Ajay Joshi},
  title        = {Does Fully Homomorphic Encryption Need Compute Acceleration?},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1636},
  year         = {2021},
  url          = {https://eprint.iacr.org/2021/1636},
  timestamp    = {Tue, 12 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/CastroAYCVJJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/ZaraeeZVSJU20,
  author       = {Negin Zaraee and
                  Boyou Zhou and
                  Kyle Vigil and
                  Mohammad M. Shahjamali and
                  Ajay Joshi and
                  M. Selim {\"{U}}nl{\"{u}}},
  title        = {Gate-Level Validation of Integrated Circuits With Structured-Illumination
                  Read-Out of Embedded Optical Signatures},
  journal      = {{IEEE} Access},
  volume       = {8},
  pages        = {70900--70912},
  year         = {2020},
  url          = {https://doi.org/10.1109/ACCESS.2020.2987088},
  doi          = {10.1109/ACCESS.2020.2987088},
  timestamp    = {Tue, 16 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/ZaraeeZVSJU20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/PetriskoGWJDGZA20,
  author       = {Daniel Petrisko and
                  Farzam Gilani and
                  Mark Wyse and
                  Dai Cheol Jung and
                  Scott Davidson and
                  Paul Gao and
                  Chun Zhao and
                  Zahra Azad and
                  Sadullah Canakci and
                  Bandhav Veluri and
                  Tavio Guarino and
                  Ajay Joshi and
                  Mark Oskin and
                  Michael Bedford Taylor},
  title        = {BlackParrot: An Agile Open-Source {RISC-V} Multicore for Accelerator
                  SoCs},
  journal      = {{IEEE} Micro},
  volume       = {40},
  number       = {4},
  pages        = {93--102},
  year         = {2020},
  url          = {https://doi.org/10.1109/MM.2020.2996145},
  doi          = {10.1109/MM.2020.2996145},
  timestamp    = {Tue, 12 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/micro/PetriskoGWJDGZA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/CoskunEJKMNS20,
  author       = {Ayse K. Coskun and
                  Furkan Eris and
                  Ajay Joshi and
                  Andrew B. Kahng and
                  Yenai Ma and
                  Aditya Narayan and
                  Vaishnav Srinivas},
  title        = {Cross-Layer Co-Optimization of Network Design and Chiplet Placement
                  in 2.5-D Systems},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {12},
  pages        = {5183--5196},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2020.2970019},
  doi          = {10.1109/TCAD.2020.2970019},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/CoskunEJKMNS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/BaruahSMAUJRKK20,
  author       = {Trinayan Baruah and
                  Yifan Sun and
                  Saiful A. Mojumder and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Yash Ukidave and
                  Ajay Joshi and
                  Norman Rubin and
                  John Kim and
                  David R. Kaeli},
  editor       = {Vivek Sarkar and
                  Hyesoon Kim},
  title        = {Valkyrie: Leveraging Inter-TLB Locality to Enhance {GPU} Performance},
  booktitle    = {{PACT} '20: International Conference on Parallel Architectures and
                  Compilation Techniques, Virtual Event, GA, USA, October 3-7, 2020},
  pages        = {455--466},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3410463.3414639},
  doi          = {10.1145/3410463.3414639},
  timestamp    = {Tue, 23 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/BaruahSMAUJRKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/NarayanTVJC20,
  author       = {Aditya Narayan and
                  Yvain Thonnart and
                  Pascal Vivet and
                  Ajay Joshi and
                  Ayse K. Coskun},
  title        = {System-level Evaluation of Chip-Scale Silicon Photonic Networks for
                  Emerging Data-Intensive Applications},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1444--1449},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116496},
  doi          = {10.23919/DATE48585.2020.9116496},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/NarayanTVJC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dimva/CanakciDZJE20,
  author       = {Sadullah Canakci and
                  Leila Delshadtehrani and
                  Boyou Zhou and
                  Ajay Joshi and
                  Manuel Egele},
  editor       = {Cl{\'{e}}mentine Maurice and
                  Leyla Bilge and
                  Gianluca Stringhini and
                  Nuno Neves},
  title        = {Efficient Context-Sensitive {CFI} Enforcement Through a Hardware Monitor},
  booktitle    = {Detection of Intrusions and Malware, and Vulnerability Assessment
                  - 17th International Conference, {DIMVA} 2020, Lisbon, Portugal, June
                  24-26, 2020, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12223},
  pages        = {259--279},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-52683-2\_13},
  doi          = {10.1007/978-3-030-52683-2\_13},
  timestamp    = {Sun, 25 Jul 2021 11:49:24 +0200},
  biburl       = {https://dblp.org/rec/conf/dimva/CanakciDZJE20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/BaruahSDMAUJRKK20,
  author       = {Trinayan Baruah and
                  Yifan Sun and
                  Ali Tolga Din{\c{c}}er and
                  Saiful A. Mojumder and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Yash Ukidave and
                  Ajay Joshi and
                  Norman Rubin and
                  John Kim and
                  David R. Kaeli},
  title        = {Griffin: Hardware-Software Support for Efficient Page Migration in
                  Multi-GPU Systems},
  booktitle    = {{IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020},
  pages        = {596--609},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/HPCA47549.2020.00055},
  doi          = {10.1109/HPCA47549.2020.00055},
  timestamp    = {Tue, 23 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/BaruahSDMAUJRKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpec/NarayanJC20,
  author       = {Aditya Narayan and
                  Ajay Joshi and
                  Ayse K. Coskun},
  title        = {Bandwidth Allocation in Silicon-Photonic Networks Using Application
                  Instrumentation},
  booktitle    = {2020 {IEEE} High Performance Extreme Computing Conference, {HPEC}
                  2020, Waltham, MA, USA, September 22-24, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/HPEC43674.2020.9286151},
  doi          = {10.1109/HPEC43674.2020.9286151},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpec/NarayanJC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/uss/DelshadtehraniC20,
  author       = {Leila Delshadtehrani and
                  Sadullah Canakci and
                  Boyou Zhou and
                  Schuyler Eldridge and
                  Ajay Joshi and
                  Manuel Egele},
  editor       = {Srdjan Capkun and
                  Franziska Roesner},
  title        = {PHMon: {A} Programmable Hardware Monitor and Its Security Use Cases},
  booktitle    = {29th {USENIX} Security Symposium, {USENIX} Security 2020, August 12-14,
                  2020},
  pages        = {807--824},
  publisher    = {{USENIX} Association},
  year         = {2020},
  url          = {https://www.usenix.org/conference/usenixsecurity20/presentation/delshadtehrani},
  timestamp    = {Fri, 29 Jan 2021 22:08:55 +0100},
  biburl       = {https://dblp.org/rec/conf/uss/DelshadtehraniC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wacv/ChaudhrySGMBJ20,
  author       = {Ritwick Chaudhry and
                  Sumit Shekhar and
                  Utkarsh Gupta and
                  Pranav Maneriker and
                  Prann Bansal and
                  Ajay Joshi},
  title        = {{LEAF-QA:} Locate, Encode {\&} Attend for Figure Question Answering},
  booktitle    = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV}
                  2020, Snowmass Village, CO, USA, March 1-5, 2020},
  pages        = {3501--3510},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/WACV45572.2020.9093269},
  doi          = {10.1109/WACV45572.2020.9093269},
  timestamp    = {Mon, 18 May 2020 20:02:10 +0200},
  biburl       = {https://dblp.org/rec/conf/wacv/ChaudhrySGMBJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2007-04292,
  author       = {Saiful A. Mojumder and
                  Yifan Sun and
                  Leila Delshadtehrani and
                  Yenai Ma and
                  Trinayan Baruah and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  David R. Kaeli and
                  Ajay Joshi},
  title        = {{HALCONE} : {A} Hardware-Level Timestamp-based Cache Coherence Scheme
                  for Multi-GPU systems},
  journal      = {CoRR},
  volume       = {abs/2007.04292},
  year         = {2020},
  url          = {https://arxiv.org/abs/2007.04292},
  eprinttype    = {arXiv},
  eprint       = {2007.04292},
  timestamp    = {Tue, 23 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2007-04292.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2008-00176,
  author       = {Furkan Eris and
                  Sadullah Canakci and
                  Cansu Demirkiran and
                  Ajay Joshi},
  title        = {Custom Tailored Suite of Random Forests for Prefetcher Adaptation},
  journal      = {CoRR},
  volume       = {abs/2008.00176},
  year         = {2020},
  url          = {https://arxiv.org/abs/2008.00176},
  eprinttype    = {arXiv},
  eprint       = {2008.00176},
  timestamp    = {Fri, 07 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2008-00176.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2008-02300,
  author       = {Saiful A. Mojumder and
                  Yifan Sun and
                  Leila Delshadtehrani and
                  Yenai Ma and
                  Trinayan Baruah and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  David R. Kaeli and
                  Ajay Joshi},
  title        = {{MGPU-TSM:} {A} Multi-GPU System with Truly Shared Memory},
  journal      = {CoRR},
  volume       = {abs/2008.02300},
  year         = {2020},
  url          = {https://arxiv.org/abs/2008.02300},
  eprinttype    = {arXiv},
  eprint       = {2008.02300},
  timestamp    = {Tue, 23 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2008-02300.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2012-02715,
  author       = {Leila Delshadtehrani and
                  Sadullah Canakci and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {Efficient Sealable Protection Keys for {RISC-V}},
  journal      = {CoRR},
  volume       = {abs/2012.02715},
  year         = {2020},
  url          = {https://arxiv.org/abs/2012.02715},
  eprinttype    = {arXiv},
  eprint       = {2012.02715},
  timestamp    = {Wed, 09 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2012-02715.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AliotoAABBCCCCC19,
  author       = {Massimo Alioto and
                  Magdy S. Abadir and
                  Tughrul Arslan and
                  Chirn Chye Boon and
                  Andreas Burg and
                  Chip{-}Hong Chang and
                  Meng{-}Fan Chang and
                  Yao{-}Wen Chang and
                  Poki Chen and
                  Pasquale Corsonello and
                  Paolo Crovetti and
                  Shiro Dosho and
                  Rolf Drechsler and
                  Ibrahim Abe M. Elfadel and
                  Ruonan Han and
                  Masanori Hashimoto and
                  Chun{-}Huat Heng and
                  Deukhyoun Heo and
                  Tsung{-}Yi Ho and
                  Houman Homayoun and
                  Yuh{-}Shyan Hwang and
                  Ajay Joshi and
                  Rajiv V. Joshi and
                  Tanay Karnik and
                  Chulwoo Kim and
                  Tony Tae{-}Hyoung Kim and
                  Jaydeep Kulkarni and
                  Volkan Kursun and
                  Yoonmyung Lee and
                  Hai Helen Li and
                  Huawei Li and
                  Prabhat Mishra and
                  Baker Mohammad and
                  Mehran Mozaffari Kermani and
                  Makoto Nagata and
                  Koji Nii and
                  Partha Pratim Pande and
                  Bipul C. Paul and
                  Vasilis F. Pavlidis and
                  Jos{\'{e}} Pineda de Gyvez and
                  Ioannis Savidis and
                  Patrick Schaumont and
                  Fabio Sebastiano and
                  Anirban Sengupta and
                  Mingoo Seok and
                  Mircea R. Stan and
                  Mark M. Tehranipoor and
                  Aida Todri{-}Sanial and
                  Marian Verhelst and
                  Valerio Vignoli and
                  Xiaoqing Wen and
                  Jiang Xu and
                  Wei Zhang and
                  Zhengya Zhang and
                  Jun Zhou and
                  Mark Zwolinski and
                  Stacey Weber},
  title        = {Editorial {TVLSI} Positioning - Continuing and Accelerating an Upward
                  Trajectory},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {2},
  pages        = {253--280},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2018.2886389},
  doi          = {10.1109/TVLSI.2018.2886389},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AliotoAABBCCCCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icisdm/RamroachDCJ19,
  author       = {Sterling Ramroach and
                  Andrew Dhanoo and
                  Brian Cockburn and
                  Ajay Joshi},
  title        = {{CUDA} Optimized Neural Network Predicts Blood Glucose Control from
                  Quantified Joint Mobility and Anthropometrics},
  booktitle    = {Proceedings of the 3rd International Conference on Information System
                  and Data Mining, {ICISDM} 2019, Houston, TX, USA, April 6-8, 2019},
  pages        = {32--36},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3325917.3325940},
  doi          = {10.1145/3325917.3325940},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icisdm/RamroachDCJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/SunBMDGTBHMZBZC19,
  author       = {Yifan Sun and
                  Trinayan Baruah and
                  Saiful A. Mojumder and
                  Shi Dong and
                  Xiang Gong and
                  Shane Treadway and
                  Yuhui Bao and
                  Spencer Hance and
                  Carter McCardwell and
                  Vincent Zhao and
                  Harrison Barclay and
                  Amir Kavyan Ziabari and
                  Zhongliang Chen and
                  Rafael Ubal and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  Ajay Joshi and
                  David R. Kaeli},
  editor       = {Srilatha Bobbie Manne and
                  Hillery C. Hunter and
                  Erik R. Altman},
  title        = {MGPUSim: enabling multi-GPU performance modeling and optimization},
  booktitle    = {Proceedings of the 46th International Symposium on Computer Architecture,
                  {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019},
  pages        = {197--209},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3307650.3322230},
  doi          = {10.1145/3307650.3322230},
  timestamp    = {Mon, 09 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/SunBMDGTBHMZBZC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1907-12861,
  author       = {Ritwick Chaudhry and
                  Sumit Shekhar and
                  Utkarsh Gupta and
                  Pranav Maneriker and
                  Prann Bansal and
                  Ajay Joshi},
  title        = {{LEAF-QA:} Locate, Encode {\&} Attend for Figure Question Answering},
  journal      = {CoRR},
  volume       = {abs/1907.12861},
  year         = {2019},
  url          = {http://arxiv.org/abs/1907.12861},
  eprinttype    = {arXiv},
  eprint       = {1907.12861},
  timestamp    = {Fri, 02 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1907-12861.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1908-06817,
  author       = {Sterling Ramroach and
                  Melford John and
                  Ajay Joshi},
  title        = {The efficacy of various machine learning models for multi-class classification
                  of RNA-seq expression data},
  journal      = {CoRR},
  volume       = {abs/1908.06817},
  year         = {2019},
  url          = {http://arxiv.org/abs/1908.06817},
  eprinttype    = {arXiv},
  eprint       = {1908.06817},
  timestamp    = {Mon, 26 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1908-06817.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1908-07847,
  author       = {Sterling Ramroach and
                  Andrew Dhanoo and
                  Brian Cockburn and
                  Ajay Joshi},
  title        = {{CUDA} optimized Neural Network predicts blood glucose control from
                  quantified joint mobility and anthropometrics},
  journal      = {CoRR},
  volume       = {abs/1908.07847},
  year         = {2019},
  url          = {http://arxiv.org/abs/1908.07847},
  eprinttype    = {arXiv},
  eprint       = {1908.07847},
  timestamp    = {Mon, 26 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1908-07847.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/DelshadtehraniE18,
  author       = {Leila Delshadtehrani and
                  Schuyler Eldridge and
                  Sadullah Canakci and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {Nile: {A} Programmable Monitoring Coprocessor},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {17},
  number       = {1},
  pages        = {92--95},
  year         = {2018},
  url          = {https://doi.org/10.1109/LCA.2017.2784416},
  doi          = {10.1109/LCA.2017.2784416},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/DelshadtehraniE18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccs/ZhouGJEJ18,
  author       = {Boyou Zhou and
                  Anmol Gupta and
                  Rasoul Jahanshahi and
                  Manuel Egele and
                  Ajay Joshi},
  editor       = {Jong Kim and
                  Gail{-}Joon Ahn and
                  Seungjoo Kim and
                  Yongdae Kim and
                  Javier L{\'{o}}pez and
                  Taesoo Kim},
  title        = {Hardware Performance Counters Can Detect Malware: Myth or Fact?},
  booktitle    = {Proceedings of the 2018 on Asia Conference on Computer and Communications
                  Security, AsiaCCS 2018, Incheon, Republic of Korea, June 04-08, 2018},
  pages        = {457--468},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3196494.3196515},
  doi          = {10.1145/3196494.3196515},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ccs/ZhouGJEJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ErisJKMMZ18,
  author       = {Furkan Eris and
                  Ajay Joshi and
                  Andrew B. Kahng and
                  Yenai Ma and
                  Saiful A. Mojumder and
                  Tiansheng Zhang},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Leveraging thermally-aware chiplet organization in 2.5D systems to
                  reclaim dark silicon},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {1441--1446},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342238},
  doi          = {10.23919/DATE.2018.8342238},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ErisJKMMZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CoskunEJKMS18,
  author       = {Ayse K. Coskun and
                  Furkan Eris and
                  Ajay Joshi and
                  Andrew B. Kahng and
                  Yenai Ma and
                  Vaishnav Srinivas},
  editor       = {Iris Bahar},
  title        = {A cross-layer methodology for design and optimization of networks
                  in 2.5D systems},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018},
  pages        = {101},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3240765.3240768},
  doi          = {10.1145/3240765.3240768},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CoskunEJKMS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/MojumderLSZAKKJ18,
  author       = {Saiful A. Mojumder and
                  Marcia S. Louis and
                  Yifan Sun and
                  Amir Kavyan Ziabari and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  David R. Kaeli and
                  Ajay Joshi},
  title        = {Profiling {DNN} Workloads on a Volta-based {DGX-1} System},
  booktitle    = {2018 {IEEE} International Symposium on Workload Characterization,
                  {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018},
  pages        = {122--133},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/IISWC.2018.8573521},
  doi          = {10.1109/IISWC.2018.8573521},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/MojumderLSZAKKJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1811-02884,
  author       = {Yifan Sun and
                  Trinayan Baruah and
                  Saiful A. Mojumder and
                  Shi Dong and
                  Rafael Ubal and
                  Xiang Gong and
                  Shane Treadway and
                  Yuhui Bao and
                  Vincent Zhao and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  John Kim and
                  Ajay Joshi and
                  David R. Kaeli},
  title        = {MGSim + MGMark: {A} Framework for Multi-GPU System Research},
  journal      = {CoRR},
  volume       = {abs/1811.02884},
  year         = {2018},
  url          = {http://arxiv.org/abs/1811.02884},
  eprinttype    = {arXiv},
  eprint       = {1811.02884},
  timestamp    = {Mon, 09 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1811-02884.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AbellanCGJJKKMR17,
  author       = {Jos{\'{e}} L. Abell{\'{a}}n and
                  Ayse K. Coskun and
                  Anjun Gu and
                  Warren Jin and
                  Ajay Joshi and
                  Andrew B. Kahng and
                  Jonathan Klamkin and
                  Cristian Morales and
                  John Recchio and
                  Vaishnav Srinivas and
                  Tiansheng Zhang},
  title        = {Adaptive Tuning of Photonic Devices in a Photonic NoC Through Dynamic
                  Workload Allocation},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {5},
  pages        = {801--814},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2600238},
  doi          = {10.1109/TCAD.2016.2600238},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AbellanCGJJKKMR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aipr/LouisARL0KSSJL17,
  author       = {Marcia S. Louis and
                  Michael Alosco and
                  Benjamin Rowland and
                  HuiHun Liao and
                  Joseph Wang and
                  Inga Koerte and
                  Martha Shenton and
                  Robert Stern and
                  Ajay Joshi and
                  Alexander P. Lin},
  title        = {Using Machine Learning techniques for identification of Chronic Traumatic
                  Encephalopathy related Spectroscopic Biomarkers},
  booktitle    = {2017 {IEEE} Applied Imagery Pattern Recognition Workshop, {AIPR} 2017,
                  Washington, DC, USA, October 10-12, 2017},
  pages        = {1--5},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/AIPR.2017.8457949},
  doi          = {10.1109/AIPR.2017.8457949},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aipr/LouisARL0KSSJL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpec/ZhouEJ17,
  author       = {Boyou Zhou and
                  Manuel Egele and
                  Ajay Joshi},
  title        = {High-performance low-energy implementation of cryptographic algorithms
                  on a programmable SoC for IoT devices},
  booktitle    = {2017 {IEEE} High Performance Extreme Computing Conference, {HPEC}
                  2017, Waltham, MA, USA, September 12-14, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/HPEC.2017.8091062},
  doi          = {10.1109/HPEC.2017.8091062},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpec/ZhouEJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/TakhirovWLSJ17,
  author       = {Zafar Takhirov and
                  Joseph Wang and
                  Marcia S. Louis and
                  Venkatesh Saligrama and
                  Ajay Joshi},
  title        = {Field of Groves: An Energy-Efficient Random Forest},
  journal      = {CoRR},
  volume       = {abs/1704.02978},
  year         = {2017},
  url          = {http://arxiv.org/abs/1704.02978},
  eprinttype    = {arXiv},
  eprint       = {1704.02978},
  timestamp    = {Sun, 02 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/TakhirovWLSJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/AbellanCJ16,
  author       = {Jos{\'{e}} L. Abell{\'{a}}n and
                  Chao Chen and
                  Ajay Joshi},
  title        = {Electro-Photonic NoC Designs for Kilocore Systems},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {13},
  number       = {2},
  pages        = {24:1--24:25},
  year         = {2016},
  url          = {https://doi.org/10.1145/2967614},
  doi          = {10.1145/2967614},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/AbellanCJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/ZiabariSMSAUKJK16,
  author       = {Amir Kavyan Ziabari and
                  Yifan Sun and
                  Yenai Ma and
                  Dana Schaa and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Rafael Ubal and
                  John Kim and
                  Ajay Joshi and
                  David R. Kaeli},
  title        = {{UMH:} {A} Hardware-Based Unified Memory Hierarchy for Systems with
                  Multiple Discrete GPUs},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {13},
  number       = {4},
  pages        = {35:1--35:25},
  year         = {2016},
  url          = {https://doi.org/10.1145/2996190},
  doi          = {10.1145/2996190},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/ZiabariSMSAUKJK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZangenehJ16,
  author       = {Mahmoud Zangeneh and
                  Ajay Joshi},
  title        = {Designing Tunable Subthreshold Logic Circuits Using Adaptive Feedback
                  Equalization},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {3},
  pages        = {884--896},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2015.2421881},
  doi          = {10.1109/TVLSI.2015.2421881},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZangenehJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/CoskunGJJKKMRSZ16,
  author       = {Ayse K. Coskun and
                  Anjun Gu and
                  Warren Jin and
                  Ajay Joshi and
                  Andrew B. Kahng and
                  Jonathan Klamkin and
                  Yenai Ma and
                  John Recchio and
                  Vaishnav Srinivas and
                  Tiansheng Zhang},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {Cross-layer floorplan optimization for silicon photonic NoCs in many-core
                  systems},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {1309--1314},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459512/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/CoskunGJJKKMRSZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TakhirovWSJ16,
  author       = {Zafar Takhirov and
                  Joseph Wang and
                  Venkatesh Saligrama and
                  Ajay Joshi},
  title        = {Energy-Efficient Adaptive Classifier Design for Mobile Systems},
  booktitle    = {Proceedings of the 2016 International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August
                  08 - 10, 2016},
  pages        = {52--57},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2934583.2934615},
  doi          = {10.1145/2934583.2934615},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/TakhirovWSJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ccsecis/SooknananJ15,
  author       = {Daniel Jovan Sooknanan and
                  Ajay Joshi},
  title        = {Using {GUI} Design Theory to Develop an Open Source Touchscreen Smartphone
                  {GUI}},
  journal      = {Comput. Inf. Sci.},
  volume       = {8},
  number       = {2},
  pages        = {43--57},
  year         = {2015},
  url          = {https://doi.org/10.5539/cis.v8n2p43},
  doi          = {10.5539/CIS.V8N2P43},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ccsecis/SooknananJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChenAJ15,
  author       = {Chao Chen and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Ajay Joshi},
  title        = {Managing Laser Power in Silicon-Photonic NoC Through Cache and NoC
                  Reconfiguration},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {6},
  pages        = {972--985},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2015.2402172},
  doi          = {10.1109/TCAD.2015.2402172},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChenAJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/EldridgeWSAJ15,
  author       = {Schuyler Eldridge and
                  Amos Waterland and
                  Margo I. Seltzer and
                  Jonathan Appavoo and
                  Ajay Joshi},
  title        = {Towards General-Purpose Neural Network Computing},
  booktitle    = {2015 International Conference on Parallel Architectures and Compilation,
                  {PACT} 2015, San Francisco, CA, USA, October 18-21, 2015},
  pages        = {99--112},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/PACT.2015.21},
  doi          = {10.1109/PACT.2015.21},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/EldridgeWSAJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhouAZYUGUJ15,
  author       = {Boyou Zhou and
                  Ronen Adato and
                  Mahmoud Zangeneh and
                  Tianyu Yang and
                  Aydan Uyar and
                  Bennett B. Goldberg and
                  M. Selim {\"{U}}nl{\"{u}} and
                  Ajay Joshi},
  title        = {Detecting hardware trojans using backside optical imaging of embedded
                  watermarks},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {111:1--111:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744822},
  doi          = {10.1145/2744769.2744822},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhouAZYUGUJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/CilingirogluZUK15,
  author       = {T. Berkin Cilingiroglu and
                  Mahmoud Zangeneh and
                  Aydan Uyar and
                  W. Clem Karl and
                  Janusz Konrad and
                  Ajay Joshi and
                  Bennett B. Goldberg and
                  M. Selim {\"{U}}nl{\"{u}}},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Dictionary-based sparse representation for resolution improvement
                  in laser voltage imaging of {CMOS} integrated circuits},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {597--600},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755888},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/CilingirogluZUK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/ZiabariAUCJK15,
  author       = {Amir Kavyan Ziabari and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Rafael Ubal and
                  Chao Chen and
                  Ajay Joshi and
                  David R. Kaeli},
  editor       = {Laxmi N. Bhuyan and
                  Fred Chong and
                  Vivek Sarkar},
  title        = {Leveraging Silicon-Photonic NoC for Designing Scalable GPUs},
  booktitle    = {Proceedings of the 29th {ACM} on International Conference on Supercomputing,
                  ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015},
  pages        = {273--282},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2751205.2751229},
  doi          = {10.1145/2751205.2751229},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ics/ZiabariAUCJK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/ZiabariAMJK15,
  author       = {Amir Kavyan Ziabari and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Yenai Ma and
                  Ajay Joshi and
                  David R. Kaeli},
  editor       = {Andr{\'{e}} Ivanov and
                  Diana Marculescu and
                  Partha Pratim Pande and
                  Jos{\'{e}} Flich and
                  Karthik Pattabiraman},
  title        = {Asymmetric NoC Architectures for {GPU} Systems},
  booktitle    = {Proceedings of the 9th International Symposium on Networks-on-Chip,
                  {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015},
  pages        = {25:1--25:8},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2786572.2786596},
  doi          = {10.1145/2786572.2786596},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/ZiabariAMJK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/alr/RaudiesEJV14,
  author       = {Florian Raudies and
                  Schuyler Eldridge and
                  Ajay Joshi and
                  Massimiliano Versace},
  title        = {Learning to navigate in a virtual world using optic flow and stereo
                  disparity signals},
  journal      = {Artif. Life Robotics},
  volume       = {19},
  number       = {2},
  pages        = {157--169},
  year         = {2014},
  url          = {https://doi.org/10.1007/s10015-014-0153-1},
  doi          = {10.1007/S10015-014-0153-1},
  timestamp    = {Fri, 27 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/alr/RaudiesEJV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZangenehJ14,
  author       = {Mahmoud Zangeneh and
                  Ajay Joshi},
  title        = {Design and Optimization of Nonvolatile Multibit 1T1R Resistive {RAM}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {22},
  number       = {8},
  pages        = {1815--1828},
  year         = {2014},
  url          = {https://doi.org/10.1109/TVLSI.2013.2277715},
  doi          = {10.1109/TVLSI.2013.2277715},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZangenehJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZangenehJ14,
  author       = {Mahmoud Zangeneh and
                  Ajay Joshi},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Sub-threshold logic circuit design using feedback equalization},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.121},
  doi          = {10.7873/DATE.2014.121},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ZangenehJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhangAJC14,
  author       = {Tiansheng Zhang and
                  Jos{\'{e}} L. Abell{\'{a}}n and
                  Ajay Joshi and
                  Ayse K. Coskun},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Thermal management of manycore systems with silicon-photonic networks},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.320},
  doi          = {10.7873/DATE.2014.320},
  timestamp    = {Tue, 23 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ZhangAJC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/EldridgeRZJ14,
  author       = {Schuyler Eldridge and
                  Florian Raudies and
                  David Zou and
                  Ajay Joshi},
  editor       = {Joseph R. Cavallaro and
                  Tong Zhang and
                  Alex K. Jones and
                  Hai (Helen) Li},
  title        = {Neural network-based accelerators for transcendental function approximation},
  booktitle    = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX,
                  {USA} - May 21 - 23, 2014},
  pages        = {169--174},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2591513.2591534},
  doi          = {10.1145/2591513.2591534},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/EldridgeRZJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/ChenZCKCJ14,
  author       = {Chao Chen and
                  Tiansheng Zhang and
                  Pietro Contu and
                  Jonathan Klamkin and
                  Ayse K. Coskun and
                  Ajay Joshi},
  editor       = {Davide Bertozzi and
                  Luca Benini and
                  Sudhakar Yalamanchili and
                  J{\"{o}}rg Henkel},
  title        = {Sharing and placement of on-chip laser sources in silicon-photonic
                  NoCs},
  booktitle    = {Eighth {IEEE/ACM} International Symposium on Networks-on-Chip, NoCS
                  2014, Ferrara, Italy, September 17-19, 2014},
  pages        = {88--95},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/NOCS.2014.7008766},
  doi          = {10.1109/NOCS.2014.7008766},
  timestamp    = {Wed, 16 Oct 2019 14:14:48 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/ChenZCKCJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TakhirovNJ13,
  author       = {Zafar Takhirov and
                  Bobak Nazer and
                  Ajay Joshi},
  editor       = {Pai H. Chou and
                  Ru Huang and
                  Yuan Xie and
                  Tanay Karnik},
  title        = {Energy-efficient pass-transistor-logic using decision feedback equalization},
  booktitle    = {International Symposium on Low Power Electronics and Design (ISLPED),
                  Beijing, China, September 4-6, 2013},
  pages        = {335--340},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISLPED.2013.6629319},
  doi          = {10.1109/ISLPED.2013.6629319},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/TakhirovNJ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/BattenJSA12,
  author       = {Christopher Batten and
                  Ajay Joshi and
                  Vladimir Stojanovic and
                  Krste Asanovic},
  title        = {Designing Chip-Level Nanophotonic Interconnection Networks},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {2},
  number       = {2},
  pages        = {137--153},
  year         = {2012},
  url          = {https://doi.org/10.1109/JETCAS.2012.2193932},
  doi          = {10.1109/JETCAS.2012.2193932},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/BattenJSA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangKJ12,
  author       = {Zhen Wang and
                  Mark G. Karpovsky and
                  Ajay Joshi},
  title        = {Secure Multipliers Resilient to Strong Fault-Injection Attacks Using
                  Multilinear Arithmetic Codes},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {6},
  pages        = {1036--1048},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2147340},
  doi          = {10.1109/TVLSI.2011.2147340},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangKJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangKJ12a,
  author       = {Zhen Wang and
                  Mark G. Karpovsky and
                  Ajay Joshi},
  title        = {Nonlinear Multi-Error Correction Codes for Reliable {MLC} nand Flash
                  Memories},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {7},
  pages        = {1221--1234},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2157183},
  doi          = {10.1109/TVLSI.2011.2157183},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangKJ12a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ZangenehJ12,
  author       = {Mahmoud Zangeneh and
                  Ajay Joshi},
  editor       = {Erik Brunvard and
                  Ken Stevens and
                  Joseph R. Cavallaro and
                  Tong Zhang},
  title        = {Performance and energy models for memristor-based 1T1R {RRAM} cell},
  booktitle    = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City,
                  UT, USA, May 3-4, 2012},
  pages        = {9--14},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2206781.2206786},
  doi          = {10.1145/2206781.2206786},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ZangenehJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/green/JoshiCTN12,
  author       = {Ajay Joshi and
                  Chao Chen and
                  Zafar Takhirov and
                  Bobak Nazer},
  title        = {A multi-layer approach to green computing: Designing energy-efficient
                  digital circuits and manycore architectures},
  booktitle    = {2012 International Green Computing Conference, {IGCC} 2012, San Jose,
                  CA, USA, June 4-8, 2012},
  pages        = {1--3},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/IGCC.2012.6322276},
  doi          = {10.1109/IGCC.2012.6322276},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/green/JoshiCTN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/TakhirovNJ12,
  author       = {Zafar Takhirov and
                  Bobak Nazer and
                  Ajay Joshi},
  editor       = {Keith A. Bowman and
                  Kamesh V. Gadepally and
                  Pallab Chatterjee and
                  Mark M. Budnik and
                  Lalitha Immaneni},
  title        = {Error mitigation in digital logic using a feedback equalization with
                  schmitt trigger {(FEST)} circuit},
  booktitle    = {Thirteenth International Symposium on Quality Electronic Design, {ISQED}
                  2012, Santa Clara, CA, USA, March 19-21, 2012},
  pages        = {312--319},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISQED.2012.6187511},
  doi          = {10.1109/ISQED.2012.6187511},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/TakhirovNJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/Joshi12,
  author       = {Ajay Joshi},
  editor       = {Vishwani D. Agrawal and
                  Srimat T. Chakradhar},
  title        = {Tutorial {T8A:} Designing Silicon-Photonic Communication Networks
                  for Manycore Systems},
  booktitle    = {25th International Conference on {VLSI} Design, Hyderabad, India,
                  January 7-11, 2012},
  pages        = {28},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/VLSID.2012.36},
  doi          = {10.1109/VLSID.2012.36},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/Joshi12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/allerton/TakhirovNJ11,
  author       = {Zafar Takhirov and
                  Bobak Nazer and
                  Ajay Joshi},
  title        = {A preliminary look at error avoidance in digital logic via feedback
                  equalization},
  booktitle    = {49th Annual Allerton Conference on Communication, Control, and Computing,
                  Allerton 2011, Allerton Park {\&} Retreat Center, Monticello,
                  IL, USA, 28-30 September, 2011},
  pages        = {1390--1391},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/Allerton.2011.6120329},
  doi          = {10.1109/ALLERTON.2011.6120329},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/allerton/TakhirovNJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MengCCJ11,
  author       = {Jie Meng and
                  Chao Chen and
                  Ayse Kivilcim Coskun and
                  Ajay Joshi},
  editor       = {David Atienza and
                  Yuan Xie and
                  Jos{\'{e}} L. Ayala and
                  Ken S. Stevens},
  title        = {Run-time energy management of manycore systems through reconfigurable
                  interconnects},
  booktitle    = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010,
                  Lausanne, Switzerland, May 2-6, 2011},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1973009.1973019},
  doi          = {10.1145/1973009.1973019},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MengCCJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/WangKJ11,
  author       = {Zhen Wang and
                  Mark G. Karpovsky and
                  Ajay Joshi},
  editor       = {David Atienza and
                  Yuan Xie and
                  Jos{\'{e}} L. Ayala and
                  Ken S. Stevens},
  title        = {Influence of metallic tubes on the reliability of {CNTFET} SRAMs:
                  error mechanisms and countermeasures},
  booktitle    = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010,
                  Lausanne, Switzerland, May 2-6, 2011},
  pages        = {359--362},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1973009.1973082},
  doi          = {10.1145/1973009.1973082},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/WangKJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/ChenMCJ11,
  author       = {Chao Chen and
                  Jie Meng and
                  Ayse K. Coskun and
                  Ajay Joshi},
  title        = {Express Virtual Channels with Taps {(EVC-T):} {A} Flow Control Technique
                  for Network-on-Chip (NoC) in Manycore Systems},
  booktitle    = {{IEEE} 19th Annual Symposium on High Performance Interconnects, {HOTI}
                  2011, Santa Clara, CA, USA, August 24-26, 2011},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/HOTI.2011.11},
  doi          = {10.1109/HOTI.2011.11},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/ChenMCJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/WangKJ10,
  author       = {Zhen Wang and
                  Mark G. Karpovsky and
                  Ajay Joshi},
  title        = {Reliable {MLC} {NAND} flash memories based on nonlinear t-error-correcting
                  codes},
  booktitle    = {Proceedings of the 2010 {IEEE/IFIP} International Conference on Dependable
                  Systems and Networks, {DSN} 2010, Chicago, IL, USA, June 28 - July
                  1 2010},
  pages        = {41--50},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DSN.2010.5545014},
  doi          = {10.1109/DSN.2010.5545014},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsn/WangKJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/BeamerSKJBSA10,
  author       = {Scott Beamer and
                  Chen Sun and
                  Yong{-}Jin Kwon and
                  Ajay Joshi and
                  Christopher Batten and
                  Vladimir Stojanovic and
                  Krste Asanovic},
  editor       = {Andr{\'{e}} Seznec and
                  Uri C. Weiser and
                  Ronny Ronen},
  title        = {Re-architecting {DRAM} memory systems with monolithically integrated
                  silicon photonics},
  booktitle    = {37th International Symposium on Computer Architecture {(ISCA} 2010),
                  June 19-23, 2010, Saint-Malo, France},
  pages        = {129--140},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1815961.1815978},
  doi          = {10.1145/1815961.1815978},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/BeamerSKJBSA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/BattenJOKMHPLSHKRSA09,
  author       = {Christopher Batten and
                  Ajay Joshi and
                  Jason Orcutt and
                  Anatol Khilo and
                  Benjamin Moss and
                  Charles Holzwarth and
                  Milos A. Popovic and
                  Hanqing Li and
                  Henry I. Smith and
                  Judy L. Hoyt and
                  Franz X. K{\"{a}}rtner and
                  Rajeev J. Ram and
                  Vladimir Stojanovic and
                  Krste Asanovic},
  title        = {Building Many-Core Processor-to-DRAM Networks with Monolithic {CMOS}
                  Silicon Photonics},
  journal      = {{IEEE} Micro},
  volume       = {29},
  number       = {4},
  pages        = {8--21},
  year         = {2009},
  url          = {https://doi.org/10.1109/MM.2009.60},
  doi          = {10.1109/MM.2009.60},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/BattenJOKMHPLSHKRSA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/JoshiKS09,
  author       = {Ajay Joshi and
                  Byungsub Kim and
                  Vladimir Stojanovic},
  editor       = {Keren Bergman and
                  Ron Brightwell and
                  Fabrizio Petrini and
                  Head Bubba},
  title        = {Designing Energy-Efficient Low-Diameter On-Chip Networks with Equalized
                  Interconnects},
  booktitle    = {17th {IEEE} Symposium on High Performance Interconnects, {HOTI} 2009,
                  New York, New York, USA, August 25-27, 2009},
  pages        = {3--12},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/HOTI.2009.13},
  doi          = {10.1109/HOTI.2009.13},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/JoshiKS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icics/WangKSJ09,
  author       = {Zhen Wang and
                  Mark G. Karpovsky and
                  Berk Sunar and
                  Ajay Joshi},
  editor       = {Sihan Qing and
                  Chris J. Mitchell and
                  Guilin Wang},
  title        = {Design of Reliable and Secure Multipliers by Multilinear Arithmetic
                  Codes},
  booktitle    = {Information and Communications Security, 11th International Conference,
                  {ICICS} 2009, Beijing, China, December 14-17, 2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5927},
  pages        = {47--62},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-11145-7\_6},
  doi          = {10.1007/978-3-642-11145-7\_6},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icics/WangKSJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/BeamerABJS09,
  author       = {Scott Beamer and
                  Krste Asanovic and
                  Christopher Batten and
                  Ajay Joshi and
                  Vladimir Stojanovic},
  editor       = {Michael Gschwind and
                  Alexandru Nicolau and
                  Valentina Salapura and
                  Jos{\'{e}} E. Moreira},
  title        = {Designing multi-socket systems using silicon photonics},
  booktitle    = {Proceedings of the 23rd international conference on Supercomputing,
                  2009, Yorktown Heights, NY, USA, June 8-12, 2009},
  pages        = {521--522},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1542275.1542360},
  doi          = {10.1145/1542275.1542360},
  timestamp    = {Tue, 06 Nov 2018 11:07:03 +0100},
  biburl       = {https://dblp.org/rec/conf/ics/BeamerABJS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/JoshiCS09,
  author       = {Ajay Joshi and
                  Fred Chen and
                  Vladimir Stojanovic},
  title        = {A Modeling and exploration framework for interconnect network design
                  in the nanometer era},
  booktitle    = {Third International Symposium on Networks-on-Chips, {NOCS} 2009, May
                  10-13 2009, La Jolla, CA, {USA.} Proceedings},
  pages        = {91},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/NOCS.2009.5071454},
  doi          = {10.1109/NOCS.2009.5071454},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/JoshiCS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/JoshiBKBSAS09,
  author       = {Ajay Joshi and
                  Christopher Batten and
                  Yong{-}Jin Kwon and
                  Scott Beamer and
                  Imran Shamim and
                  Krste Asanovic and
                  Vladimir Stojanovic},
  title        = {Silicon-photonic clos networks for global on-chip communication},
  booktitle    = {Third International Symposium on Networks-on-Chips, {NOCS} 2009, May
                  10-13 2009, La Jolla, CA, {USA.} Proceedings},
  pages        = {124--133},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/NOCS.2009.5071460},
  doi          = {10.1109/NOCS.2009.5071460},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/JoshiBKBSAS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/datamine/ChawlaCHJ08,
  author       = {Nitesh V. Chawla and
                  David A. Cieslak and
                  Lawrence O. Hall and
                  Ajay Joshi},
  title        = {Automatically countering imbalance and its empirical relationship
                  to cost},
  journal      = {Data Min. Knowl. Discov.},
  volume       = {17},
  number       = {2},
  pages        = {225--252},
  year         = {2008},
  url          = {https://doi.org/10.1007/s10618-008-0087-0},
  doi          = {10.1007/S10618-008-0087-0},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/datamine/ChawlaCHJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijhpcn/LuoJPJG08,
  author       = {Yue Luo and
                  Ajay Joshi and
                  Aashish Phansalkar and
                  Lizy Kurian John and
                  Joydeep Ghosh},
  title        = {Analysing and improving clustering based sampling for microprocessor
                  simulation},
  journal      = {Int. J. High Perform. Comput. Netw.},
  volume       = {5},
  number       = {4},
  pages        = {200--214},
  year         = {2008},
  url          = {https://doi.org/10.1504/IJHPCN.2008.022297},
  doi          = {10.1504/IJHPCN.2008.022297},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijhpcn/LuoJPJG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/JoshiEBJ08,
  author       = {Ajay Joshi and
                  Lieven Eeckhout and
                  Robert H. Bell Jr. and
                  Lizy Kurian John},
  title        = {Distilling the essence of proprietary workloads into miniature benchmarks},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {5},
  number       = {2},
  pages        = {10:1--10:33},
  year         = {2008},
  url          = {https://doi.org/10.1145/1400112.1400115},
  doi          = {10.1145/1400112.1400115},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/JoshiEBJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/BattenJOKMHPLSHKRSA08,
  author       = {Christopher Batten and
                  Ajay Joshi and
                  Jason Orcutt and
                  Anatoly Khilo and
                  Benjamin Moss and
                  Charles Holzwarth and
                  Milos A. Popovic and
                  Hanqing Li and
                  Henry I. Smith and
                  Judy L. Hoyt and
                  Franz X. K{\"{a}}rtner and
                  Rajeev J. Ram and
                  Vladimir Stojanovic and
                  Krste Asanovic},
  title        = {Building Manycore Processor-to-DRAM Networks with Monolithic Silicon
                  Photonics},
  booktitle    = {16th Annual {IEEE} Symposium on High Performance Interconnects {(HOTI}
                  2008), 26-28 August 2008, Stanford, CA, {USA}},
  pages        = {21--30},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/HOTI.2008.11},
  doi          = {10.1109/HOTI.2008.11},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/BattenJOKMHPLSHKRSA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigarch/PhansalkarJJ07,
  author       = {Aashish Phansalkar and
                  Ajay Joshi and
                  Lizy K. John},
  title        = {Subsetting the {SPEC} {CPU2006} benchmark suite},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {35},
  number       = {1},
  pages        = {69--76},
  year         = {2007},
  url          = {https://doi.org/10.1145/1241601.1241616},
  doi          = {10.1145/1241601.1241616},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigarch/PhansalkarJJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/JoshiLJ07,
  author       = {Ajay Joshi and
                  Yue Luo and
                  Lizy K. John},
  title        = {Applying Statistical Sampling for Fast and Efficient Simulation of
                  Commercial Workloads},
  journal      = {{IEEE} Trans. Computers},
  volume       = {56},
  number       = {11},
  pages        = {1520--1533},
  year         = {2007},
  url          = {https://doi.org/10.1109/TC.2007.70748},
  doi          = {10.1109/TC.2007.70748},
  timestamp    = {Mon, 13 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/JoshiLJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JoshiLD07,
  author       = {Ajay Joshi and
                  Gerald G. Lopez and
                  Jeffrey A. Davis},
  title        = {Design and Optimization of On-Chip Interconnects Using Wave-Pipelined
                  Multiplexed Routing},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {15},
  number       = {9},
  pages        = {990--1002},
  year         = {2007},
  url          = {https://doi.org/10.1109/TVLSI.2007.902209},
  doi          = {10.1109/TVLSI.2007.902209},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JoshiLD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/PhansalkarJJ07,
  author       = {Aashish Phansalkar and
                  Ajay Joshi and
                  Lizy Kurian John},
  editor       = {Dean M. Tullsen and
                  Brad Calder},
  title        = {Analysis of redundancy and application balance in the {SPEC} {CPU2006}
                  benchmark suite},
  booktitle    = {34th International Symposium on Computer Architecture {(ISCA} 2007),
                  June 9-13, 2007, San Diego, California, {USA}},
  pages        = {412--423},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1250662.1250713},
  doi          = {10.1145/1250662.1250713},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/PhansalkarJJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanonet/ChenJSC07,
  author       = {Fred Chen and
                  Ajay Joshi and
                  Vladimir Stojanovic and
                  Anantha P. Chandrakasan},
  editor       = {Salvatore Coffa},
  title        = {Scaling and evaluation of carbon nanotube interconnects for {VLSI}
                  applications},
  booktitle    = {2nd Internationa {ICST} Conference on Nano-Networks, Nano-Net 2007,
                  Catania, Italy, September 24-26, 2007},
  pages        = {24},
  publisher    = {{ICST/ACM}},
  year         = {2007},
  url          = {https://doi.org/10.4108/ICST.NANONET2007.2041},
  doi          = {10.4108/ICST.NANONET2007.2041},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nanonet/ChenJSC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/JoshiPEJ06,
  author       = {Ajay Joshi and
                  Aashish Phansalkar and
                  Lieven Eeckhout and
                  Lizy Kurian John},
  title        = {Measuring Benchmark Similarity Using Inherent Program Characteristics},
  journal      = {{IEEE} Trans. Computers},
  volume       = {55},
  number       = {6},
  pages        = {769--782},
  year         = {2006},
  url          = {https://doi.org/10.1109/TC.2006.85},
  doi          = {10.1109/TC.2006.85},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/JoshiPEJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/YiSEJLJ06,
  author       = {Joshua J. Yi and
                  Resit Sendag and
                  Lieven Eeckhout and
                  Ajay Joshi and
                  David J. Lilja and
                  Lizy Kurian John},
  title        = {Evaluating Benchmark Subsetting Approaches},
  booktitle    = {Proceedings of the 2006 {IEEE} International Symposium on Workload
                  Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California,
                  {USA}},
  pages        = {93--104},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/IISWC.2006.302733},
  doi          = {10.1109/IISWC.2006.302733},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/YiSEJLJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/JoshiEBJ06,
  author       = {Ajay Joshi and
                  Lieven Eeckhout and
                  Robert H. Bell Jr. and
                  Lizy Kurian John},
  title        = {Performance Cloning: {A} Technique for Disseminating Proprietary Applications
                  as Benchmarks},
  booktitle    = {Proceedings of the 2006 {IEEE} International Symposium on Workload
                  Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California,
                  {USA}},
  pages        = {105--115},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/IISWC.2006.302734},
  doi          = {10.1109/IISWC.2006.302734},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/JoshiEBJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/JoshiYBEJL06,
  author       = {Ajay Joshi and
                  Joshua J. Yi and
                  Robert H. Bell Jr. and
                  Lieven Eeckhout and
                  Lizy Kurian John and
                  David J. Lilja},
  title        = {Evaluating the efficacy of statistical simulation for design space
                  exploration},
  booktitle    = {2006 {IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA,
                  Proceedings},
  pages        = {70--79},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISPASS.2006.1620791},
  doi          = {10.1109/ISPASS.2006.1620791},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispass/JoshiYBEJL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/JoshiDD06,
  author       = {Ajay Joshi and
                  Vinita V. Deodhar and
                  Jeffrey A. Davis},
  title        = {Low Power Multilevel Interconnect Networks Using Wave-Pipelined Multiplexed
                  {(WPM)} Routing},
  booktitle    = {19th International Conference on {VLSI} Design {(VLSI} Design 2006),
                  3-7 January 2006, Hyderabad, India},
  pages        = {773--776},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VLSID.2006.112},
  doi          = {10.1109/VLSID.2006.112},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/JoshiDD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JoshiD05,
  author       = {Ajay Joshi and
                  Jeffrey A. Davis},
  title        = {Wave-pipelined multiplexed {(WPM)} routing for gigascale integration
                  {(GSI)}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {13},
  number       = {8},
  pages        = {899--910},
  year         = {2005},
  url          = {https://doi.org/10.1109/TVLSI.2005.853611},
  doi          = {10.1109/TVLSI.2005.853611},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JoshiD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/JoshiD05,
  author       = {Ajay Joshi and
                  Jeffrey A. Davis},
  editor       = {John C. Lach and
                  Gang Qu and
                  Yehea I. Ismail},
  title        = {Wave-pipelined 2-slot time division multiplexed {(WP/2-TDM)} routing},
  booktitle    = {Proceedings of the 15th {ACM} Great Lakes Symposium on {VLSI} 2005,
                  Chicago, Illinois, USA, April 17-19, 2005},
  pages        = {446--451},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1057661.1057768},
  doi          = {10.1145/1057661.1057768},
  timestamp    = {Wed, 15 Dec 2021 17:59:57 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/JoshiD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/PhansalkarJEJ05,
  author       = {Aashish Phansalkar and
                  Ajay Joshi and
                  Lieven Eeckhout and
                  Lizy Kurian John},
  title        = {Measuring Program Similarity: Experiments with {SPEC} {CPU} Benchmark
                  Suites},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA,
                  Proceedings},
  pages        = {10--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISPASS.2005.1430555},
  doi          = {10.1109/ISPASS.2005.1430555},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispass/PhansalkarJEJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbac-pad/LuoJPJG05,
  author       = {Yue Luo and
                  Ajay Joshi and
                  Aashish Phansalkar and
                  Lizy Kurian John and
                  Joydeep Ghosh},
  title        = {Analyzing and Improving Clustering Based Sampling for Microprocessor
                  Simulation},
  booktitle    = {17th Symposium on Computer Architecture and High Performance Computing
                  {(SBAC-PAD} 2005), 24-27 October 2005, Rio de Janeiro, Brazil},
  pages        = {193--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/CAHPC.2005.11},
  doi          = {10.1109/CAHPC.2005.11},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbac-pad/LuoJPJG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/JoshiD05,
  author       = {Ajay Joshi and
                  Jeffrey A. Davis},
  title        = {Gigascale ASIC/SoC design using wave-pipelined multiplexed {(WPM)}
                  routing},
  booktitle    = {Proceedings 2005 {IEEE} International {SOC} Conference, September
                  25-28, 2005, Washington Dulles Airport, Herndon, VA, {USA}},
  pages        = {137--142},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/SOCC.2005.1554481},
  doi          = {10.1109/SOCC.2005.1554481},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/JoshiD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/JoshiD04,
  author       = {Ajay Joshi and
                  Jeffrey A. Davis},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {A 2-slot time-division multiplexing {(TDM)} interconnect network for
                  gigascale integration {(GSI)}},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {64--68},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966761},
  doi          = {10.1145/966747.966761},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/JoshiD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics