Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Rohit Kapur
@article{DBLP:journals/iet-cdt/ShantagiriKS21, author = {Pralhadrao V. Shantagiri and Rohit Kapur and Chandrasekar Shastry}, title = {New scan compression approach to reduce the test data volume}, journal = {{IET} Comput. Digit. Tech.}, volume = {15}, number = {4}, pages = {251--262}, year = {2021}, url = {https://doi.org/10.1049/cdt2.12020}, doi = {10.1049/CDT2.12020}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/ShantagiriKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KarmakarCK20, author = {Rajit Karmakar and Santanu Chattopadhyay and Rohit Kapur}, title = {A Scan Obfuscation Guided Design-for-Security Approach for Sequential Circuits}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {3}, pages = {546--550}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2915606}, doi = {10.1109/TCSII.2019.2915606}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KarmakarCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/ShantagiriK18, author = {Pralhadrao V. Shantagiri and Rohit Kapur}, title = {Handling Unknown with Blend of Scan and Scan Compression}, journal = {J. Electron. Test.}, volume = {34}, number = {2}, pages = {135--146}, year = {2018}, url = {https://doi.org/10.1007/s10836-018-5717-x}, doi = {10.1007/S10836-018-5717-X}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/ShantagiriK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-04961, author = {Rajit Karmakar and Santanu Chattopadhyay and Rohit Kapur}, title = {Encrypt Flip-Flop: {A} Novel Logic Encryption Technique For Sequential Circuits}, journal = {CoRR}, volume = {abs/1801.04961}, year = {2018}, url = {http://arxiv.org/abs/1801.04961}, eprinttype = {arXiv}, eprint = {1801.04961}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-04961.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KunduKKK17, author = {Subhadip Kundu and Kuldip Kumar and Rishi Kumar and Rohit Kapur}, title = {Diagnosing multiple faulty chains with low pin convolution compressor using compressed production test set}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242048}, doi = {10.1109/TEST.2017.8242048}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/KunduKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc-asia/KarmakarCK17, author = {Rajit Karmakar and Santanu Chattopadhyay and Rohit Kapur}, title = {Enhancing security of logic encryption using embedded key generation unit}, booktitle = {International Test Conference in Asia, ITC-Asia 2017, Taipei, Taiwan, September 13-15, 2017}, pages = {131--136}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ITC-ASIA.2017.8097127}, doi = {10.1109/ITC-ASIA.2017.8097127}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/itc-asia/KarmakarCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdat/BasuKKK17, author = {Kanad Basu and Rishi Kumar and Santosh Kulkarni and Rohit Kapur}, editor = {Brajesh Kumar Kaushik and Sudeb Dasgupta and Virendra Singh}, title = {Deterministic Shift Power Reduction in Test Compression}, booktitle = {{VLSI} Design and Test - 21st International Symposium, {VDAT} 2017, Roorkee, India, June 29 - July 2, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {711}, pages = {155--167}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-7470-7\_17}, doi = {10.1007/978-981-10-7470-7\_17}, timestamp = {Sun, 07 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vdat/BasuKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KarmakarPCK017, author = {Rajit Karmakar and N. Prasad and Santanu Chattopadhyay and Rohit Kapur and Indranil Sengupta}, title = {A New Logic Encryption Strategy Ensuring Key Interdependency}, booktitle = {30th International Conference on {VLSI} Design and 16th International Conference on Embedded Systems, {VLSID} 2017, Hyderabad, India, January 7-11, 2017}, pages = {429--434}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/VLSID.2017.29}, doi = {10.1109/VLSID.2017.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KarmakarPCK017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/BharCSK16, author = {Anupam Bhar and Santanu Chattopadhyay and Indranil Sengupta and Rohit Kapur}, title = {Small Test Set Generation with High Diagnosability}, journal = {J. Circuits Syst. Comput.}, volume = {25}, number = {4}, pages = {1650024:1--1650024:18}, year = {2016}, url = {https://doi.org/10.1142/S0218126616500249}, doi = {10.1142/S0218126616500249}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/BharCSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KunduBK16, author = {Subhadip Kundu and Parthajit Bhattacharya and Rohit Kapur}, title = {Handling wrong mapping: {A} new direction towards better diagnosis with low pin convolution compressors}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805822}, doi = {10.1109/TEST.2016.7805822}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/KunduBK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KunduCSK15, author = {Subhadip Kundu and Santanu Chattopadhyay and Indranil Sengupta and Rohit Kapur}, title = {Scan Chain Masking for Diagnosis of Multiple Chain Failures in a Space Compaction Environment}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {7}, pages = {1185--1195}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2333691}, doi = {10.1109/TVLSI.2014.2333691}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KunduCSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KunduBK15, author = {Subhadip Kundu and Parthajit Bhattacharya and Rohit Kapur}, editor = {Wolfgang Nebel and David Atienza}, title = {Fault diagnosis in designs with extreme low pin test data compressors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1285--1288}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757111}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KunduBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChebiyamCK15, author = {Subramanian Chebiyam and Anshuman Chandra and Rohit Kapur}, title = {Designing effective scan compression solutions for industrial circuits}, booktitle = {Sixteenth International Symposium on Quality Electronic Design, {ISQED} 2015, Santa Clara, CA, USA, March 2-4, 2015}, pages = {167--172}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISQED.2015.7085418}, doi = {10.1109/ISQED.2015.7085418}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ChebiyamCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdat/BharCSK15, author = {Anupam Bhar and Santanu Chattopadhyay and Indranil Sengupta and Rohit Kapur}, title = {{GA} based diagnostic test pattern generation for transition faults}, booktitle = {19th International Symposium on {VLSI} Design and Test, {VDAT} 2015, Ahmedabad, India, June 26-29, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVDAT.2015.7208122}, doi = {10.1109/ISVDAT.2015.7208122}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vdat/BharCSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdat/ChandraKCK15, author = {Anshuman Chandra and Santosh Kulkarni and Subramanian Chebiyam and Rohit Kapur}, title = {Designing efficient combinational compression architecture for testing industrial circuits}, booktitle = {19th International Symposium on {VLSI} Design and Test, {VDAT} 2015, Ahmedabad, India, June 26-29, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVDAT.2015.7208149}, doi = {10.1109/ISVDAT.2015.7208149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vdat/ChandraKCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KunduJCSK14, author = {Subhadip Kundu and Aniket Jha and Santanu Chattopadhyay and Indranil Sengupta and Rohit Kapur}, title = {Framework for Multiple-Fault Diagnosis Based on Multiple Fault Simulation Using Particle Swarm Optimization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {3}, pages = {696--700}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2249542}, doi = {10.1109/TVLSI.2013.2249542}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KunduJCSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChandraCK14, author = {Anshuman Chandra and Subramanian Chebiyam and Rohit Kapur}, title = {A Case Study on Implementing Compressed {DFT} Architecture}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {336--341}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.68}, doi = {10.1109/ATS.2014.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChandraCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BahlRKKCTNR14, author = {Swapnil Bahl and Shreyans Rungta and Shray Khullar and Rohit Kapur and Anshuman Chandra and Salvatore Talluto and Pramod Notiyath and Ajay Rajagopalan}, title = {Unifying scan compression}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {191--196}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962079}, doi = {10.1109/DFT.2014.6962079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BahlRKKCTNR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/KapurP14, author = {Rohit Kapur and Irith Pomeranz}, title = {Innovative practices session 10C: Advances in {DFT} and compression}, booktitle = {32nd {IEEE} {VLSI} Test Symposium, {VTS} 2014, Napa, CA, USA, April 13-17, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/VTS.2014.6818795}, doi = {10.1109/VTS.2014.6818795}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/KapurP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KunduPCSK13, author = {Subhadip Kundu and Sankhadeep Pal and Santanu Chattopadhyay and Indranil Sengupta and Rohit Kapur}, title = {A Metric for Test Set Characterization and Customization Toward Fault Diagnosis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {11}, pages = {1824--1828}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2013.2272538}, doi = {10.1109/TCAD.2013.2272538}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KunduPCSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KunduCSK13, author = {Subhadip Kundu and Santanu Chattopadhyay and Indranil Sengupta and Rohit Kapur}, title = {An {ATE} assisted {DFD} technique for volume diagnosis of scan chains}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {31:1--31:6}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488772}, doi = {10.1145/2463209.2488772}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KunduCSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KunduCSK13, author = {Subhadip Kundu and Santanu Chattopadhyay and Indranil Sengupta and Rohit Kapur}, title = {Aggresive scan chain masking for improved diagnosis of multiple scan chain failures}, booktitle = {18th {IEEE} European Test Symposium, {ETS} 2013, Avignon, France, May 27-30, 2013}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ETS.2013.6569383}, doi = {10.1109/ETS.2013.6569383}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/KunduCSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KunduCSK12, author = {Subhadip Kundu and Santanu Chattopadhyay and Indranil Sengupta and Rohit Kapur}, editor = {Vishwani D. Agrawal and Srimat T. Chakradhar}, title = {A Diagnosability Metric for Test Set Selection Targeting Better Fault Detection}, booktitle = {25th International Conference on {VLSI} Design, Hyderabad, India, January 7-11, 2012}, pages = {436--441}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/VLSID.2012.110}, doi = {10.1109/VLSID.2012.110}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KunduCSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/SyedCCK11, author = {Uzair Shah Syed and Krishnendu Chakrabarty and Anshuman Chandra and Rohit Kapur}, editor = {Mitsumasa Koyanagi and Morihiro Kada}, title = {3D-Scalable Adaptive Scan {(3D-SAS)}}, booktitle = {2011 {IEEE} International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31 - February 2, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/3DIC.2012.6263043}, doi = {10.1109/3DIC.2012.6263043}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dic/SyedCCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SaikiaNKAUFBK11, author = {Jyotirmoy Saikia and Pramod Notiyath and Santosh Kulkarni and Ashok Anbalan and Rajesh Uppuluri and Tammy Fernandes and Parthajit Bhattacharya and Rohit Kapur}, title = {Predicting Scan Compression {IP} Configurations for Better QoR}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {261--266}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.30}, doi = {10.1109/ATS.2011.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SaikiaNKAUFBK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChandraSK11, author = {Anshuman Chandra and Jyotirmoy Saikia and Rohit Kapur}, title = {Breaking the Test Application Time Barriers in Compression: Adaptive Scan-Cyclical {(AS-C)}}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {432--437}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.70}, doi = {10.1109/ATS.2011.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChandraSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KunduCSK11, author = {Subhadip Kundu and Santanu Chattopadhyay and Indranil Sengupta and Rohit Kapur}, title = {Multiple Fault Diagnosis Based on Multiple Fault Simulation Using Particle Swarm Optimization}, booktitle = {{VLSI} Design 2011: 24th International Conference on {VLSI} Design, {IIT} Madras, Chennai, India, 2-7 January 2011}, pages = {364--369}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/VLSID.2011.34}, doi = {10.1109/VLSID.2011.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KunduCSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/WenTKBMW11, author = {Xiaoqing Wen and Mohammad Tehranipoor and Rohit Kapur and Anand Bhat and Amitava Majumdar and LeRoy Winemberg}, title = {Special session 5B: Panel How much toggle activity should we be testing with?}, booktitle = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana Point, California, {USA}}, pages = {114}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/VTS.2011.5783768}, doi = {10.1109/VTS.2011.5783768}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/WenTKBMW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Kapur10, author = {Rohit Kapur}, title = {Conference Reports}, journal = {{IEEE} Des. Test Comput.}, volume = {27}, number = {2}, pages = {75}, year = {2010}, url = {https://doi.org/10.1109/MDT.2010.41}, doi = {10.1109/MDT.2010.41}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Kapur10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Kapur10a, author = {Rohit Kapur}, title = {Conference Reports}, journal = {{IEEE} Des. Test Comput.}, volume = {27}, number = {3}, pages = {75}, year = {2010}, url = {https://doi.org/10.1109/MDT.2010.64}, doi = {10.1109/MDT.2010.64}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Kapur10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Kapur10b, author = {Rohit Kapur}, title = {Conference Reports}, journal = {{IEEE} Des. Test Comput.}, volume = {27}, number = {4}, pages = {77}, year = {2010}, url = {https://doi.org/10.1109/MDT.2010.82}, doi = {10.1109/MDT.2010.82}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Kapur10b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KapurRBK09, author = {Rohit Kapur and Paul Reuter and Sandeep Bhatia and Brion L. Keller}, title = {{CTL} and Its Usage in the {EDA} Industry}, journal = {{IEEE} Des. Test Comput.}, volume = {26}, number = {1}, pages = {36--43}, year = {2009}, url = {https://doi.org/10.1109/MDT.2009.8}, doi = {10.1109/MDT.2009.8}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KapurRBK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChandraKK09, author = {Anshuman Chandra and Rohit Kapur and Yasunari Kanzawa}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Scalable Adaptive Scan {(SAS)}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1476--1481}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090896}, doi = {10.1109/DATE.2009.5090896}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/ChandraKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChandraKK09, author = {Anshuman Chandra and Yasunari Kanzawa and Rohit Kapur}, title = {Proactive management of X's in scan chains for compression}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {260--265}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810304}, doi = {10.1109/ISQED.2009.4810304}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ChandraKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KapurMW08, author = {Rohit Kapur and Subhasish Mitra and Thomas W. Williams}, title = {Historical Perspective on Scan Compression}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {2}, pages = {114--120}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.40}, doi = {10.1109/MDT.2008.40}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KapurMW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChandraK08, author = {Anshuman Chandra and Rohit Kapur}, title = {Not All Xs are Bad for Scan Compression}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.37}, doi = {10.1109/ATS.2008.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChandraK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AlampallyAPKW08, author = {Srinivasulu Alampally and Jais Abraham and Rubin A. Parekhji and Rohit Kapur and Thomas W. Williams}, title = {Evaluation of Entropy Driven Compression Bounds on Industrial Designs}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.89}, doi = {10.1109/ATS.2008.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AlampallyAPKW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChandraNK08, author = {Anshuman Chandra and Felix Ng and Rohit Kapur}, editor = {Donatella Sciuto}, title = {Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {462--467}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484724}, doi = {10.1109/DATE.2008.4484724}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChandraNK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChandraK08, author = {Anshuman Chandra and Rohit Kapur}, title = {Interval Based X-Masking for Scan Compression Architectures}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {821--826}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479844}, doi = {10.1109/ISQED.2008.4479844}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ChandraK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ChandraK08, author = {Anshuman Chandra and Rohit Kapur}, title = {Bounded Adjacent Fill for Low Capture Power Scan Testing}, booktitle = {26th {IEEE} {VLSI} Test Symposium {(VTS} 2008), April 27 - May 1, 2008, San Diego, California, {USA}}, pages = {131--138}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VTS.2008.47}, doi = {10.1109/VTS.2008.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/ChandraK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/KapurFNCRWWAKFNU07, author = {Rohit Kapur and T. Finklea and Felix Ng and Anshuman Chandra and Sanjay Ramnath and Peter Wohl and Thomas W. Williams and Ashok Anbalan and Sandeep S. Kulkarni and Tammy Fernandes and Pramod Notiyath and Rajesh Uppuluri}, title = {{DFT} {MAX} and Power}, journal = {J. Low Power Electron.}, volume = {3}, number = {2}, pages = {199--205}, year = {2007}, url = {https://doi.org/10.1166/jolpe.2007.125}, doi = {10.1166/JOLPE.2007.125}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/KapurFNCRWWAKFNU07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GalivancheKR07, author = {Rajesh Galivanche and Rohit Kapur and Antonio Rubio}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Testing in the year 2020}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {960--965}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364417}, doi = {10.1109/DATE.2007.364417}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GalivancheKR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/GkatzianiKSMMTHTW07, author = {Maria Gkatziani and Rohit Kapur and Qing Su and Ben Mathew and Roberto Mattiuzzo and Laura Tarantini and Cy Hay and Salvatore Talluto and Thomas W. Williams}, editor = {Patrick Girard and Andrzej Krasniewski and Elena Gramatov{\'{a}} and Adam Pawlak and Tomasz Garbolino}, title = {Accurately Determining Bridging Defects from Layout}, booktitle = {Proceedings of the 10th {IEEE} Workshop on Design {\&} Diagnostics of Electronic Circuits {\&} Systems {(DDECS} 2007), Krak{\'{o}}w, Poland, April 11-13, 2007}, pages = {87--90}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DDECS.2007.4295259}, doi = {10.1109/DDECS.2007.4295259}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/GkatzianiKSMMTHTW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KapurZW07, author = {Rohit Kapur and Jindrich Zejda and Thomas W. Williams}, editor = {Jill Sibert and Janusz Rajski}, title = {Fundamentals of timing information for test: How simple can we get?}, booktitle = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara, California, USA, October 21-26, 2007}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/TEST.2007.4437609}, doi = {10.1109/TEST.2007.4437609}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KapurZW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/WohlWKRGWJ07, author = {Peter Wohl and John A. Waicukauski and Rohit Kapur and Sanjay Ramnath and Emil Gizdarski and Thomas W. Williams and P. Jaini}, title = {Minimizing the Impact of Scan Compression}, booktitle = {25th {IEEE} {VLSI} Test Symposium {(VTS} 2007), 6-10 May 2007, Berkeley, California, {USA}}, pages = {67--74}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VTS.2007.38}, doi = {10.1109/VTS.2007.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/WohlWKRGWJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ChandraYK07, author = {Anshuman Chandra and Haihua Yan and Rohit Kapur}, title = {Multimode Illinois Scan Architecture for Test Application Time and Test Data Volume Reduction}, booktitle = {25th {IEEE} {VLSI} Test Symposium {(VTS} 2007), 6-10 May 2007, Berkeley, California, {USA}}, pages = {84--92}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VTS.2007.39}, doi = {10.1109/VTS.2007.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/ChandraYK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CoryKTKKKBON06, author = {Bruce Cory and Rohit Kapur and Mick Tegethoff and Mark Kassab and Brion L. Keller and Kee Sup Kim and Dwayne Burek and Steven F. Oakland and Benoit Nadeau{-}Dostie}, editor = {Scott Davidson and Anne Gattiker}, title = {{OCI:} Open Compression Interface}, booktitle = {2006 {IEEE} International Test Conference, {ITC} 2006, Santa Clara, CA, USA, October 22-27, 2006}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/TEST.2006.297746}, doi = {10.1109/TEST.2006.297746}, timestamp = {Tue, 12 Dec 2023 09:46:27 +0100}, biburl = {https://dblp.org/rec/conf/itc/CoryKTKKKBON06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Kapur05, author = {Rohit Kapur}, title = {Test the test experts: do we know what we are doing?}, booktitle = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005, Austin, TX, USA, November 8-10, 2005}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/TEST.2005.1584136}, doi = {10.1109/TEST.2005.1584136}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Kapur05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WohlWPDWK05, author = {Peter Wohl and John A. Waicukauski and Sanjay Patel and Francisco DaSilva and Thomas W. Williams and Rohit Kapur}, title = {Efficient compression of deterministic patterns into multiple {PRPG} seeds}, booktitle = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005, Austin, TX, USA, November 8-10, 2005}, pages = {10}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/TEST.2005.1584057}, doi = {10.1109/TEST.2005.1584057}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WohlWPDWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Kapur04, author = {Rohit Kapur}, title = {Security vs. Test Quality: Are they mutually exclusive?}, booktitle = {Proceedings 2004 International Test Conference {(ITC} 2004), October 26-28, 2004, Charlotte, NC, {USA}}, pages = {1414}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/TEST.2004.1387422}, doi = {10.1109/TEST.2004.1387422}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Kapur04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/SitchinavaSKGNW04, author = {Nodari Sitchinava and Samitha Samaranayake and Rohit Kapur and Emil Gizdarski and Frederic Neuveux and Thomas W. Williams}, title = {Changing the Scan Enable during Shift}, booktitle = {22nd {IEEE} {VLSI} Test Symposium {(VTS} 2004), 25-29 April 2004, Napa Valley, CA, {USA}}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/VTEST.2004.1299228}, doi = {10.1109/VTEST.2004.1299228}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/SitchinavaSKGNW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CoryKU03, author = {Bruce Cory and Rohit Kapur and Bill Underwood}, title = {Speed Binning with Path Delay Test in 150-nm Technology}, journal = {{IEEE} Des. Test Comput.}, volume = {20}, number = {5}, pages = {41--45}, year = {2003}, url = {https://doi.org/10.1109/MDT.2003.1232255}, doi = {10.1109/MDT.2003.1232255}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CoryKU03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OhKWS03, author = {Nahmsuk Oh and Rohit Kapur and Thomas W. Williams and Jim Sproch}, title = {Test Pattern Compression Using Prelude Vectors in Fan-Out Scan Chain with Feedback Architecture}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {10110--10115}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10128}, doi = {10.1109/DATE.2003.10128}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OhKWS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DaSilvaZWAK03, author = {Francisco DaSilva and Yervant Zorian and Lee Whetsel and Karim Arabi and Rohit Kapur}, title = {Overview of the {IEEE} {P1500} Standard}, booktitle = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, {USA}}, pages = {988--997}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/TEST.2003.1271086}, doi = {10.1109/TEST.2003.1271086}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DaSilvaZWAK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/SamaranayakeGSNKW03, author = {Samitha Samaranayake and Emil Gizdarski and Nodari Sitchinava and Frederic Neuveux and Rohit Kapur and Thomas W. Williams}, title = {A Reconfigurable Shared Scan-in Architecture}, booktitle = {21st {IEEE} {VLSI} Test Symposium {(VTS} 2003), 27 April - 1 May 2003, Napa Valley, CA, {USA}}, pages = {9--14}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/VTEST.2003.1197627}, doi = {10.1109/VTEST.2003.1197627}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/SamaranayakeGSNKW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/SamaranayakeSKAW02, author = {Samitha Samaranayake and Nodari Sitchinava and Rohit Kapur and Minesh B. Amin and Thomas W. Williams}, title = {Dynamic Scan: Driving Down the Cost of Test}, journal = {Computer}, volume = {35}, number = {10}, pages = {63--68}, year = {2002}, url = {https://doi.org/10.1109/MC.2002.1039519}, doi = {10.1109/MC.2002.1039519}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/SamaranayakeSKAW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/MarinissenKLMRZ02, author = {Erik Jan Marinissen and Rohit Kapur and Maurice Lousberg and Teresa L. McLaurin and Mike Ricchetti and Yervant Zorian}, title = {On {IEEE} P1500's Standard for Embedded Core Test}, journal = {J. Electron. Test.}, volume = {18}, number = {4-5}, pages = {365--383}, year = {2002}, url = {https://doi.org/10.1023/A:1016585206097}, doi = {10.1023/A:1016585206097}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/et/MarinissenKLMRZ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KapurW02, author = {Rohit Kapur and Thomas W. Williams}, title = {Manufacturing Test of SoCs}, booktitle = {11th Asian Test Symposium {(ATS} 2002), 18-20 November 2002, Guam, {USA}}, pages = {317--319}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ATS.2002.1181730}, doi = {10.1109/ATS.2002.1181730}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KapurW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiouWCDMKW02, author = {Jing{-}Jia Liou and Li{-}C. Wang and Kwang{-}Ting Cheng and Jennifer Dworak and M. Ray Mercer and Rohit Kapur and Thomas W. Williams}, title = {Enhancing test efficiency for delay fault testing using multiple-clocked schemes}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {371--374}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514013}, doi = {10.1145/513918.514013}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiouWCDMKW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KapurWM02, author = {Rohit Kapur and Thomas W. Williams and M. Ray Mercer}, title = {Directed-Binary Search in Logic {BIST} Diagnostics}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {1121}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998477}, doi = {10.1109/DATE.2002.998477}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KapurWM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OhKW02, author = {Nahmsuk Oh and Rohit Kapur and Thomas W. Williams}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Fast seed computation for reseeding shift register in test pattern compression}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {76--81}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774583}, doi = {10.1145/774572.774583}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OhKW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiouWCDMKW02, author = {Jing{-}Jia Liou and Li{-}C. Wang and Kwang{-}Ting Cheng and Jennifer Dworak and M. Ray Mercer and Rohit Kapur and Thomas W. Williams}, title = {Analysis of Delay Test Effectiveness with a Multiple-Clock Scheme}, booktitle = {Proceedings {IEEE} International Test Conference 2002, Baltimore, MD, USA, October 7-10, 2002}, pages = {407--416}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/TEST.2002.1041786}, doi = {10.1109/TEST.2002.1041786}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiouWCDMKW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GuillerNDCK02, author = {Lo{\"{\i}}s Guiller and Frederic Neuveux and S. Duggirala and R. Chandramouli and Rohit Kapur}, title = {Integrating {DFT} in the Physical Synthesis Flow}, booktitle = {Proceedings {IEEE} International Test Conference 2002, Baltimore, MD, USA, October 7-10, 2002}, pages = {788--795}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/TEST.2002.1041832}, doi = {10.1109/TEST.2002.1041832}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GuillerNDCK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KapurCW01, author = {Rohit Kapur and R. Chandramouli and Thomas W. Williams}, title = {Strategies for Low-Cost Test}, journal = {{IEEE} Des. Test Comput.}, volume = {18}, number = {6}, pages = {47--54}, year = {2001}, url = {https://doi.org/10.1109/54.970423}, doi = {10.1109/54.970423}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KapurCW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KapurLTKRK01, author = {Rohit Kapur and Maurice Lousberg and Tony Taylor and Brion L. Keller and Paul Reuter and Douglas Kay}, title = {{CTL} the language for describing core-based test}, booktitle = {Proceedings {IEEE} International Test Conference 2001, Baltimore, MD, USA, 30 October - 1 November 2001}, pages = {131--139}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/TEST.2001.966626}, doi = {10.1109/TEST.2001.966626}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KapurLTKRK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KapurW01, author = {Rohit Kapur and Thomas W. Williams}, title = {Tester retargetable patterns}, booktitle = {Proceedings {IEEE} International Test Conference 2001, Baltimore, MD, USA, 30 October - 1 November 2001}, pages = {721--727}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/TEST.2001.966693}, doi = {10.1109/TEST.2001.966693}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KapurW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KhocheKAWTR01, author = {Ajay Khoche and Rohit Kapur and David Armstrong and Thomas W. Williams and Mick Tegethoff and Jochen Rivoir}, title = {A new methodology for improved tester utilization}, booktitle = {Proceedings {IEEE} International Test Conference 2001, Baltimore, MD, USA, 30 October - 1 November 2001}, pages = {916--923}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/TEST.2001.966715}, doi = {10.1109/TEST.2001.966715}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KhocheKAWTR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/BurekDKLMR01, author = {Dwayne Burek and Garen Darbinyan and Rohit Kapur and Maurice Lousberg and Teresa L. McLaurin and Mike Ricchetti}, title = {{IP} and Automation to Support {IEEE} {P1500}}, booktitle = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, {USA}}, pages = {411--412}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10016}, doi = {10.1109/VTS.2001.10016}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/BurekDKLMR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KapurHW00, author = {Rohit Kapur and Cy Hay and Thomas W. Williams}, title = {The Mutating Metric for Benchmarking Test}, journal = {{IEEE} Des. Test Comput.}, volume = {17}, number = {3}, pages = {18--21}, year = {2000}, url = {https://doi.org/10.1109/54.867890}, doi = {10.1109/54.867890}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KapurHW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HayatWKH00, author = {Farhad Hayat and Thomas W. Williams and Rohit Kapur and D. Hsu}, title = {{DFT} closure}, booktitle = {9th Asian Test Symposium {(ATS} 2000), 4-6 December 2000, Taipei, Taiwan}, pages = {8--9}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ATS.2000.893592}, doi = {10.1109/ATS.2000.893592}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HayatWKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/WilliamsK00, author = {Thomas W. Williams and Rohit Kapur}, title = {Design for Testability in Nanometer Technologies; Searching for Quality}, booktitle = {1st International Symposium on Quality of Electronic Design {(ISQED} 2000), 20-22 March 2000, San Jose, CA, {USA}}, pages = {167--172}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISQED.2000.838870}, doi = {10.1109/ISQED.2000.838870}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/WilliamsK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZorianMK00, author = {Yervant Zorian and Erik Jan Marinissen and Rohit Kapur}, title = {On using {IEEE} {P1500} {SECT} for test plug-n-play}, booktitle = {Proceedings {IEEE} International Test Conference 2000, Atlantic City, NJ, USA, October 2000}, pages = {770--777}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/TEST.2000.894273}, doi = {10.1109/TEST.2000.894273}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZorianMK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/KapurW99, author = {Rohit Kapur and Thomas W. Williams}, title = {Tough Challenges as Design and Test Go Nanometer - Guest Editors' Introduction}, journal = {Computer}, volume = {32}, number = {11}, pages = {42--45}, year = {1999}, url = {https://doi.org/10.1109/2.803639}, doi = {10.1109/2.803639}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/KapurW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZorianMKTW99, author = {Yervant Zorian and Erik Jan Marinissen and Rohit Kapur and Tony Taylor and Lee Whetsel}, title = {Towards a standard for embedded core test: an example}, booktitle = {Proceedings {IEEE} International Test Conference 1999, Atlantic City, NJ, USA, 27-30 September 1999}, pages = {616--627}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/TEST.1999.805786}, doi = {10.1109/TEST.1999.805786}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZorianMKTW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Kapur99, author = {Rohit Kapur}, title = {High level {ATPG} is important and is on its way!}, booktitle = {Proceedings {IEEE} International Test Conference 1999, Atlantic City, NJ, USA, 27-30 September 1999}, pages = {1115--1116}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/TEST.1999.805850}, doi = {10.1109/TEST.1999.805850}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Kapur99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AbadirK97, author = {Magdy S. Abadir and Rohit Kapur}, title = {Cost-Driven Ranking of Memory Elements for Partial Intrusion}, journal = {{IEEE} Des. Test Comput.}, volume = {14}, number = {3}, pages = {45--50}, year = {1997}, url = {https://doi.org/10.1109/54.605994}, doi = {10.1109/54.605994}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AbadirK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/KapurM96, author = {Rohit Kapur and Edward F. Miller}, title = {System Test and Reliability: Techniques for Avoiding Failure (Guest Editors' Introduction)}, journal = {Computer}, volume = {29}, number = {11}, pages = {28--30}, year = {1996}, url = {http://doi.ieeecomputersociety.org/10.1109/MC.1996.10125}, doi = {10.1109/MC.1996.10125}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/KapurM96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KapurPSW96, author = {Rohit Kapur and Srinivas Patil and Thomas J. Snethen and Thomas W. Williams}, title = {A weighted random pattern test generation system}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {8}, pages = {1020--1025}, year = {1996}, url = {https://doi.org/10.1109/43.511581}, doi = {10.1109/43.511581}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KapurPSW96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WilliamsKMDM96, author = {Thomas W. Williams and Rohit Kapur and M. Ray Mercer and Robert H. Dennard and Wojciech Maly}, title = {Iddq Testing for High Performance {CMOS} - The Next Ten Years}, booktitle = {1996 European Design and Test Conference, ED{\&}TC 1996, Paris, France, March 11-14, 1996}, pages = {578--583}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/EDTC.1996.494359}, doi = {10.1109/EDTC.1996.494359}, timestamp = {Fri, 20 May 2022 15:52:30 +0200}, biburl = {https://dblp.org/rec/conf/date/WilliamsKMDM96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WilliamsDKMM96, author = {Thomas W. Williams and Robert H. Dennard and Rohit Kapur and M. Ray Mercer and Wojciech Maly}, title = {I\({}_{\mbox{DDQ}}\) Test: Sensitivity Analysis of Scaling}, booktitle = {Proceedings {IEEE} International Test Conference 1996, Test and Design Validity, Washington, DC, USA, October 20-25, 1996}, pages = {786--792}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/TEST.1996.557138}, doi = {10.1109/TEST.1996.557138}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WilliamsDKMM96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KapurPSW94, author = {Rohit Kapur and Srinivas Patil and Thomas J. Snethen and Thomas W. Williams}, title = {Design of an Efficient Weighted-Random-Pattern Generation System}, booktitle = {Proceedings {IEEE} International Test Conference 1994, {TEST:} The Next 25 Years, Washington, DC, USA, October 2-6, 1994}, pages = {491--500}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/TEST.1994.527991}, doi = {10.1109/TEST.1994.527991}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KapurPSW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ParkNKMW94, author = {Jaehong Park and Mark Naivar and Rohit Kapur and M. Ray Mercer and Thomas W. Williams}, title = {Limitations in predicting defect level based on stuck-at fault coverage}, booktitle = {12th {IEEE} {VLSI} Test Symposium (VTS'94), April 25-28, 1994, Cherry Hill, New Jersey, {USA}}, pages = {186--191}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/VTEST.1994.292315}, doi = {10.1109/VTEST.1994.292315}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/ParkNKMW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KapurM92, author = {Rohit Kapur and M. Ray Mercer}, title = {Bounding Signal Probabilities for Testability Measurement Using Conditional Syndromes}, journal = {{IEEE} Trans. Computers}, volume = {41}, number = {12}, pages = {1580--1588}, year = {1992}, url = {https://doi.org/10.1109/12.214666}, doi = {10.1109/12.214666}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KapurM92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MercerKR92, author = {M. Ray Mercer and Rohit Kapur and Don E. Ross}, editor = {Daniel G. Schweikert}, title = {Functional Approaches to Generating Orderings for Efficient Symbolic Representations}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {624--627}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149646}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MercerKR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KapurPM92, author = {Rohit Kapur and Jaehong Park and M. Ray Mercer}, title = {All Tests for a Fault Are Not Equally Valuable for Defect Detection}, booktitle = {Proceedings {IEEE} International Test Conference 1992, Discover the New World of Test and Design, Baltimore, Maryland, USA, September 20-24, 1992}, pages = {762--769}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/TEST.1992.527898}, doi = {10.1109/TEST.1992.527898}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KapurPM92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ButlerKMR92, author = {Kenneth M. Butler and Rohit Kapur and M. Ray Mercer and Don E. Ross}, title = {The roles of controllability and observability in design for test}, booktitle = {10th {IEEE} {VLSI} Test Symposium (VTS'92), 7-9 Apr 1992, Atlantic City, NJ, {USA}}, pages = {211--216}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/VTEST.1992.232754}, doi = {10.1109/VTEST.1992.232754}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/ButlerKMR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ButlerRKM91, author = {Kenneth M. Butler and Don E. Ross and Rohit Kapur and M. Ray Mercer}, editor = {A. Richard Newton}, title = {Heuristics to Compute Variable Orderings for Efficient Manipulation of Ordered Binary Decision Diagrams}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {417--420}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/127601.127705}, doi = {10.1145/127601.127705}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ButlerRKM91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/RossBKM91, author = {Don E. Ross and Kenneth M. Butler and Rohit Kapur and M. Ray Mercer}, editor = {Tony Ambler and Jochen A. G. Jess and Hugo De Man}, title = {Fast functional evaluation of candidate {OBDD} variable orderings}, booktitle = {Proceedings of the conference on European design automation, EURO-DAC'91, Amsterdam, The Netherlands, 1991}, pages = {4--10}, publisher = {{EEE} Computer Society}, year = {1991}, url = {http://dl.acm.org/citation.cfm?id=951515}, timestamp = {Tue, 17 Nov 2015 16:02:17 +0100}, biburl = {https://dblp.org/rec/conf/eurodac/RossBKM91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.