BibTeX records: Hayden Kwok-Hay So

download as .bib file

@inproceedings{DBLP:conf/fpga/GaoZDS24,
  author       = {Yizhao Gao and
                  Baoheng Zhang and
                  Yuhao Ding and
                  Hayden Kwok{-}Hay So},
  editor       = {Zhiru Zhang and
                  Andrew Putnam},
  title        = {A Composable Dynamic Sparse Dataflow Architecture for Efficient Event-based
                  Vision Processing on {FPGA}},
  booktitle    = {Proceedings of the 2024 {ACM/SIGDA} International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2024, Monterey, CA, USA, March 3-5,
                  2024},
  pages        = {246--257},
  publisher    = {{ACM}},
  year         = {2024},
  url          = {https://doi.org/10.1145/3626202.3637558},
  doi          = {10.1145/3626202.3637558},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/GaoZDS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2401-05626,
  author       = {Yizhao Gao and
                  Baoheng Zhang and
                  Yuhao Ding and
                  Hayden Kwok{-}Hay So},
  title        = {A Composable Dynamic Sparse Dataflow Architecture for Efficient Event-based
                  Vision Processing on {FPGA}},
  journal      = {CoRR},
  volume       = {abs/2401.05626},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2401.05626},
  doi          = {10.48550/ARXIV.2401.05626},
  eprinttype    = {arXiv},
  eprint       = {2401.05626},
  timestamp    = {Thu, 25 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2401-05626.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/GaoWS23,
  author       = {Yizhao Gao and
                  Song Wang and
                  Hayden Kwok{-}Hay So},
  title        = {A Reconfigurable Architecture for Real-time Event-based Multi-Object
                  Tracking},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {16},
  number       = {4},
  pages        = {58:1--58:26},
  year         = {2023},
  url          = {https://doi.org/10.1145/3593587},
  doi          = {10.1145/3593587},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/trets/GaoWS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/GaoZQS23,
  author       = {Yizhao Gao and
                  Baoheng Zhang and
                  Xiaojuan Qi and
                  Hayden Kwok{-}Hay So},
  editor       = {Tor M. Aamodt and
                  Natalie D. Enright Jerger and
                  Michael M. Swift},
  title        = {{DPACS:} Hardware Accelerated Dynamic Neural Network Pruning through
                  Algorithm-Architecture Co-design},
  booktitle    = {Proceedings of the 28th {ACM} International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Volume 2,
                  {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023},
  pages        = {237--251},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3575693.3575728},
  doi          = {10.1145/3575693.3575728},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asplos/GaoZQS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/Ding0GWS23,
  author       = {Yuhao Ding and
                  Jiajun Wu and
                  Yizhao Gao and
                  Maolin Wang and
                  Hayden Kwok{-}Hay So},
  title        = {Model-Platform Optimized Deep Neural Network Accelerator Generation
                  through Mixed-Integer Geometric Programming},
  booktitle    = {31st {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2023, Marina Del Rey, CA, USA, May 8-11,
                  2023},
  pages        = {83--93},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/FCCM57271.2023.00018},
  doi          = {10.1109/FCCM57271.2023.00018},
  timestamp    = {Sat, 30 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/Ding0GWS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/0007ZGDWS23,
  author       = {Jiajun Wu and
                  Jiajun Zhou and
                  Yizhao Gao and
                  Yuhao Ding and
                  Ngai Wong and
                  Hayden Kwok{-}Hay So},
  title        = {{MSD:} Mixing Signed Digit Representations for Hardware-efficient
                  {DNN} Acceleration on {FPGA} with Heterogeneous Resources},
  booktitle    = {31st {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2023, Marina Del Rey, CA, USA, May 8-11,
                  2023},
  pages        = {94--104},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/FCCM57271.2023.00019},
  doi          = {10.1109/FCCM57271.2023.00019},
  timestamp    = {Tue, 18 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fccm/0007ZGDWS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/SongWDS23,
  author       = {Mo Song and
                  Jiajun Wu and
                  Yuhao Ding and
                  Hayden Kwok{-}Hay So},
  title        = {SqueezeBlock: {A} Transparent Weight Compression Scheme for Deep Neural
                  Networks},
  booktitle    = {International Conference on Field Programmable Technology, {ICFPT}
                  2023, Yokohama, Japan, December 12-14, 2023},
  pages        = {238--243},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICFPT59805.2023.00032},
  doi          = {10.1109/ICFPT59805.2023.00032},
  timestamp    = {Sat, 24 Feb 2024 20:42:47 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/SongWDS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WuSS23,
  author       = {Zhenyu Wu and
                  Mo Song and
                  Hayden Kwok{-}Hay So},
  title        = {Towards Asynchronously Triggered Spiking Neural Network on {FPGA}
                  for Event-based Vision},
  booktitle    = {International Conference on Field Programmable Technology, {ICFPT}
                  2023, Yokohama, Japan, December 12-14, 2023},
  pages        = {292--293},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICFPT59805.2023.00051},
  doi          = {10.1109/ICFPT59805.2023.00051},
  timestamp    = {Sat, 24 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/WuSS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/WangMSBS23,
  author       = {Maolin Wang and
                  Ian McInerney and
                  Bartolomeo Stellato and
                  Stephen P. Boyd and
                  Hayden Kwok{-}Hay So},
  editor       = {Yan Solihin and
                  Mark A. Heinrich},
  title        = {{RSQP:} Problem-specific Architectural Customization for Accelerated
                  Convex Quadratic Optimization},
  booktitle    = {Proceedings of the 50th Annual International Symposium on Computer
                  Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023},
  pages        = {73:1--73:12},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3579371.3589108},
  doi          = {10.1145/3579371.3589108},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/WangMSBS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2302-12510,
  author       = {Jiajun Zhou and
                  Jiajun Wu and
                  Yizhao Gao and
                  Yuhao Ding and
                  Chaofan Tao and
                  Boyu Li and
                  Fengbin Tu and
                  Kwang{-}Ting Cheng and
                  Hayden Kwok{-}Hay So and
                  Ngai Wong},
  title        = {DyBit: Dynamic Bit-Precision Numbers for Efficient Quantized Neural
                  Network Inference},
  journal      = {CoRR},
  volume       = {abs/2302.12510},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2302.12510},
  doi          = {10.48550/ARXIV.2302.12510},
  eprinttype    = {arXiv},
  eprint       = {2302.12510},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2302-12510.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2309-16987,
  author       = {Song Wang and
                  Zhu Wang and
                  Can Li and
                  Xiaojuan Qi and
                  Hayden Kwok{-}Hay So},
  title        = {SpikeMOT: Event-based Multi-Object Tracking with Sparse Motion Features},
  journal      = {CoRR},
  volume       = {abs/2309.16987},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2309.16987},
  doi          = {10.48550/ARXIV.2309.16987},
  eprinttype    = {arXiv},
  eprint       = {2309.16987},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2309-16987.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2312-09262,
  author       = {Shaocong Wang and
                  Yizhao Gao and
                  Yi Li and
                  Woyu Zhang and
                  Yifei Yu and
                  Bo Wang and
                  Ning Lin and
                  Hegan Chen and
                  Yue Zhang and
                  Yang Jiang and
                  Dingchen Wang and
                  Jia Chen and
                  Peng Dai and
                  Hao Jiang and
                  Peng Lin and
                  Xumeng Zhang and
                  Xiaojuan Qi and
                  Xiaoxin Xu and
                  Hayden K. H. So and
                  Zhongrui Wang and
                  Dashan Shang and
                  Qi Liu and
                  Kwang{-}Ting Cheng and
                  Ming Liu},
  title        = {Random resistive memory-based deep extreme point learning machine
                  for unified visual processing},
  journal      = {CoRR},
  volume       = {abs/2312.09262},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2312.09262},
  doi          = {10.48550/ARXIV.2312.09262},
  eprinttype    = {arXiv},
  eprint       = {2312.09262},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2312-09262.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tnn/WangLCBNWSTS22,
  author       = {Maolin Wang and
                  Kelvin C. M. Lee and
                  Bob M. F. Chung and
                  Sharatchandra Varma Bogaraju and
                  Ho{-}Cheung Ng and
                  Justin S. J. Wong and
                  Ho Cheung Shum and
                  Kevin K. Tsia and
                  Hayden Kwok{-}Hay So},
  title        = {Low-Latency In Situ Image Analytics With FPGA-Based Quantized Convolutional
                  Neural Network},
  journal      = {{IEEE} Trans. Neural Networks Learn. Syst.},
  volume       = {33},
  number       = {7},
  pages        = {2853--2866},
  year         = {2022},
  url          = {https://doi.org/10.1109/TNNLS.2020.3046452},
  doi          = {10.1109/TNNLS.2020.3046452},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tnn/WangLCBNWSTS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/WangRLS22,
  author       = {Maolin Wang and
                  Seyedramin Rasoulinezhad and
                  Philip H. W. Leong and
                  Hayden Kwok{-}Hay So},
  title        = {{NITI:} Training Integer Neural Networks Using Integer-Only Arithmetic},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {33},
  number       = {11},
  pages        = {3249--3261},
  year         = {2022},
  url          = {https://doi.org/10.1109/TPDS.2022.3149787},
  doi          = {10.1109/TPDS.2022.3149787},
  timestamp    = {Mon, 13 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/WangRLS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/GaoWS22,
  author       = {Yizhao Gao and
                  Song Wang and
                  Hayden Kwok{-}Hay So},
  editor       = {Michael Adler and
                  Paolo Ienne},
  title        = {{REMOT:} {A} Hardware-Software Architecture for Attention-Guided Multi-Object
                  Tracking with Dynamic Vision Sensors on FPGAs},
  booktitle    = {{FPGA} '22: The 2022 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, Virtual Event, USA, 27 February 2022 - 1 March 2022},
  pages        = {158--168},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3490422.3502365},
  doi          = {10.1145/3490422.3502365},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/GaoWS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pami/MengSSL21,
  author       = {Nan Meng and
                  Hayden K. H. So and
                  Xing Sun and
                  Edmund Y. Lam},
  title        = {High-Dimensional Dense Residual Convolutional Neural Network for Light
                  Field Reconstruction},
  journal      = {{IEEE} Trans. Pattern Anal. Mach. Intell.},
  volume       = {43},
  number       = {3},
  pages        = {873--886},
  year         = {2021},
  url          = {https://doi.org/10.1109/TPAMI.2019.2945027},
  doi          = {10.1109/TPAMI.2019.2945027},
  timestamp    = {Tue, 01 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pami/MengSSL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/DongG0WSK21,
  author       = {Zhen Dong and
                  Yizhao Gao and
                  Qijing Huang and
                  John Wawrzynek and
                  Hayden K. H. So and
                  Kurt Keutzer},
  title        = {{HAO:} Hardware-aware Neural Architecture Optimization for Efficient
                  Inference},
  booktitle    = {29th {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2021, Orlando, FL, USA, May 9-12, 2021},
  pages        = {50--59},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/FCCM51124.2021.00014},
  doi          = {10.1109/FCCM51124.2021.00014},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fccm/DongG0WSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ChangLSSSQWL21,
  author       = {Sung{-}En Chang and
                  Yanyu Li and
                  Mengshu Sun and
                  Runbin Shi and
                  Hayden K. H. So and
                  Xuehai Qian and
                  Yanzhi Wang and
                  Xue Lin},
  title        = {Mix and Match: {A} Novel FPGA-Centric Deep Neural Network Quantization
                  Framework},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021},
  pages        = {208--220},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/HPCA51647.2021.00027},
  doi          = {10.1109/HPCA51647.2021.00027},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/ChangLSSSQWL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2104-12766,
  author       = {Zhen Dong and
                  Yizhao Gao and
                  Qijing Huang and
                  John Wawrzynek and
                  Hayden K. H. So and
                  Kurt Keutzer},
  title        = {{HAO:} Hardware-aware neural Architecture Optimization for Efficient
                  Inference},
  journal      = {CoRR},
  volume       = {abs/2104.12766},
  year         = {2021},
  url          = {https://arxiv.org/abs/2104.12766},
  eprinttype    = {arXiv},
  eprint       = {2104.12766},
  timestamp    = {Mon, 03 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2104-12766.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2105-04218,
  author       = {Jie Ran and
                  Rui Lin and
                  Hayden K. H. So and
                  Graziano Chesi and
                  Ngai Wong},
  title        = {Exploiting Elasticity in Tensor Ranks for Compressing Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2105.04218},
  year         = {2021},
  url          = {https://arxiv.org/abs/2105.04218},
  eprinttype    = {arXiv},
  eprint       = {2105.04218},
  timestamp    = {Fri, 14 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2105-04218.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/bioinformatics/StassenSLHST20,
  author       = {Shobana V. Stassen and
                  Dickson M. D. Siu and
                  Kelvin C. M. Lee and
                  Joshua W. K. Ho and
                  Hayden K. H. So and
                  Kevin K. Tsia},
  title        = {{PARC:} ultrafast and accurate clustering of phenotypic data of millions
                  of single cells},
  journal      = {Bioinform.},
  volume       = {36},
  number       = {9},
  pages        = {2778--2786},
  year         = {2020},
  url          = {https://doi.org/10.1093/bioinformatics/btaa042},
  doi          = {10.1093/BIOINFORMATICS/BTAA042},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/bioinformatics/StassenSLHST20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShiDWLLSD20,
  author       = {Runbin Shi and
                  Yuhao Ding and
                  Xuechao Wei and
                  He Li and
                  Hang Liu and
                  Hayden Kwok{-}Hay So and
                  Caiwen Ding},
  title        = {{FTDL:} {A} Tailored FPGA-Overlay for Deep Learning with High Scalability},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218581},
  doi          = {10.1109/DAC18072.2020.9218581},
  timestamp    = {Thu, 10 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShiDWLLSD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/ShiDWLSD20,
  author       = {Runbin Shi and
                  Yuhao Ding and
                  Xuechao Wei and
                  Hang Liu and
                  Hayden Kwok{-}Hay So and
                  Caiwen Ding},
  editor       = {Stephen Neuendorffer and
                  Lesley Shannon},
  title        = {{FTDL:} An FPGA-tailored Architecture for Deep Learning Systems},
  booktitle    = {{FPGA} '20: The 2020 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, Seaside, CA, USA, February 23-25, 2020},
  pages        = {320},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3373087.3375384},
  doi          = {10.1145/3373087.3375384},
  timestamp    = {Fri, 21 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/ShiDWLSD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iclr/LiuXSCS20,
  author       = {Junjie Liu and
                  Zhe Xu and
                  Runbin Shi and
                  Ray C. C. Cheung and
                  Hayden Kwok{-}Hay So},
  title        = {Dynamic Sparse Training: Find Efficient Sparse Network From Scratch
                  With Trainable Masked Layers},
  booktitle    = {8th International Conference on Learning Representations, {ICLR} 2020,
                  Addis Ababa, Ethiopia, April 26-30, 2020},
  publisher    = {OpenReview.net},
  year         = {2020},
  url          = {https://openreview.net/forum?id=SJlbGJrtDB},
  timestamp    = {Thu, 31 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iclr/LiuXSCS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpr/RanLSCW20,
  author       = {Jie Ran and
                  Rui Lin and
                  Hayden K. H. So and
                  Graziano Chesi and
                  Ngai Wong},
  title        = {Exploiting Elasticity in Tensor Ranks for Compressing Neural Networks},
  booktitle    = {25th International Conference on Pattern Recognition, {ICPR} 2020,
                  Virtual Event / Milan, Italy, January 10-15, 2021},
  pages        = {9866--9873},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICPR48806.2021.9412765},
  doi          = {10.1109/ICPR48806.2021.9412765},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icpr/RanLSCW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/ShiDGDMSHLW20,
  author       = {Runbin Shi and
                  Peiyan Dong and
                  Tong Geng and
                  Yuhao Ding and
                  Xiaolong Ma and
                  Hayden Kwok{-}Hay So and
                  Martin C. Herbordt and
                  Ang Li and
                  Yanzhi Wang},
  editor       = {Eduard Ayguad{\'{e}} and
                  Wen{-}mei W. Hwu and
                  Rosa M. Badia and
                  H. Peter Hofstee},
  title        = {{CSB-RNN:} a faster-than-realtime {RNN} acceleration framework with
                  compressed structured blocks},
  booktitle    = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona
                  Spain, June, 2020},
  pages        = {24:1--24:12},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3392717.3392749},
  doi          = {10.1145/3392717.3392749},
  timestamp    = {Tue, 29 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ics/ShiDGDMSHLW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChanLS20,
  author       = {Cyrus Wing{-}Hei Chan and
                  Philip H. W. Leong and
                  Hayden Kwok{-}Hay So},
  title        = {Vision Guided Crop Detection in Field Robots using FPGA-Based Reconfigurable
                  Computers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9181302},
  doi          = {10.1109/ISCAS45731.2020.9181302},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChanLS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2020,
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8},
  doi          = {10.1007/978-3-030-44534-8},
  isbn         = {978-3-030-44533-1},
  timestamp    = {Thu, 26 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2005-05758,
  author       = {Runbin Shi and
                  Peiyan Dong and
                  Tong Geng and
                  Yuhao Ding and
                  Xiaolong Ma and
                  Hayden Kwok{-}Hay So and
                  Martin C. Herbordt and
                  Ang Li and
                  Yanzhi Wang},
  title        = {{CSB-RNN:} {A} Faster-than-Realtime {RNN} Acceleration Framework with
                  Compressed Structured Blocks},
  journal      = {CoRR},
  volume       = {abs/2005.05758},
  year         = {2020},
  url          = {https://arxiv.org/abs/2005.05758},
  eprinttype    = {arXiv},
  eprint       = {2005.05758},
  timestamp    = {Sun, 18 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2005-05758.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2005-06870,
  author       = {Junjie Liu and
                  Zhe Xu and
                  Runbin Shi and
                  Ray C. C. Cheung and
                  Hayden Kwok{-}Hay So},
  title        = {Dynamic Sparse Training: Find Efficient Sparse Network From Scratch
                  With Trainable Masked Layers},
  journal      = {CoRR},
  volume       = {abs/2005.06870},
  year         = {2020},
  url          = {https://arxiv.org/abs/2005.06870},
  eprinttype    = {arXiv},
  eprint       = {2005.06870},
  timestamp    = {Thu, 31 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2005-06870.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2009-13108,
  author       = {Maolin Wang and
                  Seyedramin Rasoulinezhad and
                  Philip H. W. Leong and
                  Hayden Kwok{-}Hay So},
  title        = {{NITI:} Training Integer Neural Networks Using Integer-only Arithmetic},
  journal      = {CoRR},
  volume       = {abs/2009.13108},
  year         = {2020},
  url          = {https://arxiv.org/abs/2009.13108},
  eprinttype    = {arXiv},
  eprint       = {2009.13108},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2009-13108.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2012-04240,
  author       = {Sung{-}En Chang and
                  Yanyu Li and
                  Mengshu Sun and
                  Runbin Shi and
                  Hayden Kwok{-}Hay So and
                  Xuehai Qian and
                  Yanzhi Wang and
                  Xue Lin},
  title        = {Mix and Match: {A} Novel FPGA-Centric Deep Neural Network Quantization
                  Framework},
  journal      = {CoRR},
  volume       = {abs/2012.04240},
  year         = {2020},
  url          = {https://arxiv.org/abs/2012.04240},
  eprinttype    = {arXiv},
  eprint       = {2012.04240},
  timestamp    = {Wed, 09 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2012-04240.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/MengSSL19,
  author       = {Nan Meng and
                  Xing Sun and
                  Hayden Kwok{-}Hay So and
                  Edmund Y. Lam},
  title        = {Computational Light Field Generation Using Deep Nonparametric Bayesian
                  Learning},
  journal      = {{IEEE} Access},
  volume       = {7},
  pages        = {24990--25000},
  year         = {2019},
  url          = {https://doi.org/10.1109/ACCESS.2019.2900153},
  doi          = {10.1109/ACCESS.2019.2900153},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/MengSSL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/JaiswalS19,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  title        = {PACoGen: {A} Hardware Posit Arithmetic Core Generator},
  journal      = {{IEEE} Access},
  volume       = {7},
  pages        = {74586--74601},
  year         = {2019},
  url          = {https://doi.org/10.1109/ACCESS.2019.2920936},
  doi          = {10.1109/ACCESS.2019.2920936},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/JaiswalS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/JaiswalS19,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  title        = {Design of quadruple precision multiplier architectures with {SIMD}
                  single and double precision support},
  journal      = {Integr.},
  volume       = {65},
  pages        = {163--174},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2018.12.002},
  doi          = {10.1016/J.VLSI.2018.12.002},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/JaiswalS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jimaging/ShiWS19,
  author       = {Runbin Shi and
                  Justin S. J. Wong and
                  Hayden Kwok{-}Hay So},
  title        = {High-Throughput Line Buffer Microarchitecture for Arbitrary Sized
                  Streaming Image Processing},
  journal      = {J. Imaging},
  volume       = {5},
  number       = {3},
  pages        = {34},
  year         = {2019},
  url          = {https://doi.org/10.3390/jimaging5030034},
  doi          = {10.3390/JIMAGING5030034},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jimaging/ShiWS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/ShiWLTS19,
  author       = {Runbin Shi and
                  Justin S. J. Wong and
                  Edmund Y. Lam and
                  Kevin K. Tsia and
                  Hayden Kwok{-}Hay So},
  title        = {A Real-Time Coprime Line Scan Super-Resolution System for Ultra-Fast
                  Microscopy},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {13},
  number       = {4},
  pages        = {781--792},
  year         = {2019},
  url          = {https://doi.org/10.1109/TBCAS.2019.2914946},
  doi          = {10.1109/TBCAS.2019.2914946},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tbcas/ShiWLTS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tii/RenSL19,
  author       = {Zhenbo Ren and
                  Hayden Kwok{-}Hay So and
                  Edmund Y. Lam},
  title        = {Fringe Pattern Improvement and Super-Resolution Using Deep Learning
                  in Digital Holography},
  journal      = {{IEEE} Trans. Ind. Informatics},
  volume       = {15},
  number       = {11},
  pages        = {6179--6186},
  year         = {2019},
  url          = {https://doi.org/10.1109/TII.2019.2913853},
  doi          = {10.1109/TII.2019.2913853},
  timestamp    = {Thu, 21 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tii/RenSL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/titb/MengLTS19,
  author       = {Nan Meng and
                  Edmund Y. Lam and
                  Kevin K. Tsia and
                  Hayden Kwok{-}Hay So},
  title        = {Large-Scale Multi-Class Image-Based Cell Classification With Deep
                  Learning},
  journal      = {{IEEE} J. Biomed. Health Informatics},
  volume       = {23},
  number       = {5},
  pages        = {2091--2098},
  year         = {2019},
  url          = {https://doi.org/10.1109/JBHI.2018.2878878},
  doi          = {10.1109/JBHI.2018.2878878},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/titb/MengLTS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/EngelhardtS19,
  author       = {Nina Engelhardt and
                  Hayden Kwok{-}Hay So},
  title        = {GraVF-M: Graph Processing System Generation for Multi-FPGA Platforms},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {12},
  number       = {4},
  pages        = {21:1--21:28},
  year         = {2019},
  url          = {https://doi.org/10.1145/3357596},
  doi          = {10.1145/3357596},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/trets/EngelhardtS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShiLSWL19,
  author       = {Runbin Shi and
                  Junjie Liu and
                  Hayden Kwok{-}Hay So and
                  Shuo Wang and
                  Yun Liang},
  title        = {{E-LSTM:} Efficient Inference of Sparse {LSTM} on Embedded Heterogeneous
                  System},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {182},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317813},
  doi          = {10.1145/3316781.3317813},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ShiLSWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1910-01426,
  author       = {Nan Meng and
                  Hayden Kwok{-}Hay So and
                  Xing Sun and
                  Edmund Y. Lam},
  title        = {High-dimensional Dense Residual Convolutional Neural Network for Light
                  Field Reconstruction},
  journal      = {CoRR},
  volume       = {abs/1910.01426},
  year         = {2019},
  url          = {http://arxiv.org/abs/1910.01426},
  eprinttype    = {arXiv},
  eprint       = {1910.01426},
  timestamp    = {Fri, 04 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1910-01426.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1910-07408,
  author       = {Nina Engelhardt and
                  Hayden Kwok{-}Hay So},
  title        = {GraVF-M: Graph Processing System Generation for Multi-FPGA Platforms},
  journal      = {CoRR},
  volume       = {abs/1910.07408},
  year         = {2019},
  url          = {http://arxiv.org/abs/1910.07408},
  eprinttype    = {arXiv},
  eprint       = {1910.07408},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1910-07408.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/ZhaoLCS18,
  author       = {Wei Zhao and
                  Jian{-}Qiang Lin and
                  S. C. Chan and
                  Hayden Kwok{-}Hay So},
  title        = {A Division-Free and Variable-Regularized LMS-Based Generalized Sidelobe
                  Canceller for Adaptive Beamforming and Its Efficient Hardware Realization},
  journal      = {{IEEE} Access},
  volume       = {6},
  pages        = {64470--64485},
  year         = {2018},
  url          = {https://doi.org/10.1109/ACCESS.2018.2875409},
  doi          = {10.1109/ACCESS.2018.2875409},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/ZhaoLCS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cssp/JaiswalS18,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  title        = {An Unified Architecture for Single, Double, Double-Extended, and Quadruple
                  Precision Division},
  journal      = {Circuits Syst. Signal Process.},
  volume       = {37},
  number       = {1},
  pages        = {383--407},
  year         = {2018},
  url          = {https://doi.org/10.1007/s00034-017-0559-9},
  doi          = {10.1007/S00034-017-0559-9},
  timestamp    = {Thu, 25 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cssp/JaiswalS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/SoG18,
  author       = {Hayden Kwok{-}Hay So and
                  Warren J. Gross},
  title        = {Introduction to the Special Issue on Application-Specific Systems,
                  Architectures and Processors},
  journal      = {J. Signal Process. Syst.},
  volume       = {90},
  number       = {1},
  pages        = {1--2},
  year         = {2018},
  url          = {https://doi.org/10.1007/s11265-017-1247-5},
  doi          = {10.1007/S11265-017-1247-5},
  timestamp    = {Thu, 12 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsisp/SoG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JaiswalS18,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Universal number posit arithmetic generator on {FPGA}},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {1159--1162},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342187},
  doi          = {10.23919/DATE.2018.8342187},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/JaiswalS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/EngelhardtHS18,
  author       = {Nina Engelhardt and
                  C.{-}H. Dominic Hung and
                  Hayden Kwok{-}Hay So},
  title        = {Performance-Driven System Generation for Distributed Vertex-Centric
                  Graph Processing on Multi-FPGA Systems},
  booktitle    = {28th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2018, Dublin, Ireland, August 27-31, 2018},
  pages        = {215--218},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/FPL.2018.00043},
  doi          = {10.1109/FPL.2018.00043},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/EngelhardtHS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JaiswalS18,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  title        = {Architecture Generator for Type-3 Unum Posit Adder/Subtractor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8351142},
  doi          = {10.1109/ISCAS.2018.8351142},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JaiswalS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/tale/TamCSKKR18,
  author       = {Vincent W. L. Tam and
                  Chunkit Chui and
                  Hayden Kwok{-}Hay So and
                  Nyein Thwe Khaing and
                  Andy Chung To Kong and
                  Subhayan Roy},
  title        = {Urban Farming in Myanmar: An Experiential Learning Project for Engineering
                  and Science Students from Hong Kong and Myanmar},
  booktitle    = {{IEEE} International Conference on Teaching, Assessment, and Learning
                  for Engineering, {TALE} 2018, Wollongong, Australia, December 4-7,
                  2018},
  pages        = {1185--1188},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/TALE.2018.8615341},
  doi          = {10.1109/TALE.2018.8615341},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/tale/TamCSKKR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@misc{DBLP:data/10/MengTS18,
  author       = {Nan Meng and
                  Kevin K. Tsia and
                  Hayden Kwok{-}Hay So},
  title        = {Human somatic label-free bright-field cell images},
  publisher    = {{IEEE} DataPort},
  year         = {2018},
  month        = nov,
  howpublished = {\url{https://doi.org/10.21227/H2QW97}},
  note         = {Accessed on YYYY-MM-DD.},
  url          = {https://doi.org/10.21227/H2QW97},
  doi          = {10.21227/H2QW97},
  timestamp    = {Wed, 15 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/data/10/MengTS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/JaiswalS17,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  title        = {Area-Efficient Architecture for Dual-Mode Double Precision Floating
                  Point Division},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {64-I},
  number       = {2},
  pages        = {386--398},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCSI.2016.2607227},
  doi          = {10.1109/TCSI.2016.2607227},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/JaiswalS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tgrs/SunYLS17,
  author       = {Xing Sun and
                  Nelson H. C. Yung and
                  Edmund Y. Lam and
                  Hayden Kwok{-}Hay So},
  title        = {Computationally Efficient Hyperspectral Data Learning Based on the
                  Doubly Stochastic Dirichlet Process},
  journal      = {{IEEE} Trans. Geosci. Remote. Sens.},
  volume       = {55},
  number       = {1},
  pages        = {363--374},
  year         = {2017},
  url          = {https://doi.org/10.1109/TGRS.2016.2606575},
  doi          = {10.1109/TGRS.2016.2606575},
  timestamp    = {Tue, 12 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tgrs/SunYLS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/LeongAABCDGHLLL17,
  author       = {Philip Heng Wai Leong and
                  Hideharu Amano and
                  Jason Helge Anderson and
                  Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Oliver Diessel and
                  Guy Gogniat and
                  Mike Hutton and
                  JunKyu Lee and
                  Wayne Luk and
                  Patrick Lysaght and
                  Marco Platzner and
                  Viktor K. Prasanna and
                  Tero Rissa and
                  Cristina Silvano and
                  Hayden Kwok{-}Hay So and
                  Yu Wang},
  title        = {The First 25 Years of the {FPL} Conference: Significant Papers},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {10},
  number       = {2},
  pages        = {15:1--15:17},
  year         = {2017},
  url          = {https://doi.org/10.1145/2996468},
  doi          = {10.1145/2996468},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/LeongAABCDGHLLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/HoHNWS17,
  author       = {Sam M. H. Ho and
                  C.{-}H. Dominic Hung and
                  Ho{-}Cheung Ng and
                  Maolin Wang and
                  Hayden Kwok{-}Hay So},
  title        = {A Parameterizable Activation Function Generator for FPGA-Based Neural
                  Network Applications},
  booktitle    = {25th {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2017, Napa, CA, USA, April 30 - May 2,
                  2017},
  pages        = {84},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/FCCM.2017.40},
  doi          = {10.1109/FCCM.2017.40},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/HoHNWS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/SoW17,
  author       = {Hayden Kwok{-}Hay So and
                  John Wawrzynek},
  editor       = {Jonathan W. Greene and
                  Jason Helge Anderson},
  title        = {OLAF'17: Third International Workshop on Overlay Architectures for
                  FPGAs},
  booktitle    = {Proceedings of the 2017 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, {FPGA} 2017, Monterey, CA, USA, February 22-24, 2017},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {http://dl.acm.org/citation.cfm?id=3030012},
  timestamp    = {Tue, 06 Nov 2018 16:58:22 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/SoW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WongSWS17,
  author       = {Justin S. J. Wong and
                  Runbin Shi and
                  Maolin Wang and
                  Hayden Kwok{-}Hay So},
  title        = {Ultra-low latency continuous block-parallel stream windowing using
                  {FPGA} on-chip memory},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {56--63},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280121},
  doi          = {10.1109/FPT.2017.8280121},
  timestamp    = {Mon, 17 Feb 2020 13:32:07 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/WongSWS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HoS17,
  author       = {Sam M. H. Ho and
                  Hayden Kwok{-}Hay So},
  title        = {NnCore: {A} parameterized non-linear function generator for machine
                  learning applications in FPGAs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {160--167},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280134},
  doi          = {10.1109/FPT.2017.8280134},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HoS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/heart/EngelhardtS17,
  author       = {Nina Engelhardt and
                  Hayden Kwok{-}Hay So},
  editor       = {Diana G{\"{o}}hringer and
                  Michael H{\"{u}}bner},
  title        = {Towards Flexible Automatic Generation of Graph Processing Gateware},
  booktitle    = {Proceedings of the 8th International Symposium on Highly Efficient
                  Accelerators and Reconfigurable Technologies, {HEART} 2017, Bochum,
                  Germany, June 7-9, 2017},
  pages        = {5:1--5:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3120895.3120896},
  doi          = {10.1145/3120895.3120896},
  timestamp    = {Wed, 28 Apr 2021 16:06:55 +0200},
  biburl       = {https://dblp.org/rec/conf/heart/EngelhardtS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mva/MengSL17,
  author       = {Nan Meng and
                  Hayden Kwok{-}Hay So and
                  Edmund Y. Lam},
  title        = {Computational single-cell classification using deep learning on bright-field
                  and phase images},
  booktitle    = {Fifteenth {IAPR} International Conference on Machine Vision Applications,
                  {MVA} 2017, Nagoya, Japan, May 8-12, 2017},
  pages        = {190--193},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/MVA.2017.7986833},
  doi          = {10.23919/MVA.2017.7986833},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/mva/MengSL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/SoW17,
  author       = {Hayden Kwok{-}Hay So and
                  John Wawrzynek},
  title        = {Proceedings of the 3rd International Workshop on Overlay Architectures
                  for FPGAs {(OLAF} 2017)},
  journal      = {CoRR},
  volume       = {abs/1704.08802},
  year         = {2017},
  url          = {http://arxiv.org/abs/1704.08802},
  eprinttype    = {arXiv},
  eprint       = {1704.08802},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/SoW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigarch/LinJFSY16,
  author       = {Colin Yu Lin and
                  Zhenghong Jiang and
                  Cheng Fu and
                  Hayden Kwok{-}Hay So and
                  Haigang Yang},
  title        = {{FPGA} High-level Synthesis versus Overlay: Comparisons on Computation
                  Kernels},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {44},
  number       = {4},
  pages        = {92--97},
  year         = {2016},
  url          = {https://doi.org/10.1145/3039902.3039919},
  doi          = {10.1145/3039902.3039919},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigarch/LinJFSY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/JaiswalS16,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  title        = {Architecture for quadruple precision floating point division with
                  multi-precision support},
  booktitle    = {27th {IEEE} International Conference on Application-specific Systems,
                  Architectures and Processors, {ASAP} 2016, London, United Kingdom,
                  July 6-8, 2016},
  pages        = {239--240},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASAP.2016.7760807},
  doi          = {10.1109/ASAP.2016.7760807},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/JaiswalS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ei-ipmva/SunYLS16,
  author       = {Xing Sun and
                  Nelson H. C. Yung and
                  Edmund Y. Lam and
                  Hayden K. H. So},
  editor       = {Edmund Y. Lam and
                  Henry Y. T. Ngan and
                  Kurt S. Niel},
  title        = {Unsupervised tracking with a low computational cost using the doubly
                  stochastic Dirichlet process mixture model},
  booktitle    = {Image Processing: Machine Vision Applications IX, San Francisco, CA,
                  USA, February 14-18, 2016},
  pages        = {1--8},
  publisher    = {Society for Imaging Science and Technology},
  year         = {2016},
  url          = {https://doi.org/10.2352/ISSN.2470-1173.2016.14.IPMVA-381},
  doi          = {10.2352/ISSN.2470-1173.2016.14.IPMVA-381},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ei-ipmva/SunYLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/EngelhardtS16,
  author       = {Nina Engelhardt and
                  Hayden Kwok{-}Hay So},
  title        = {Vertex-Centric Graph Processing on {FPGA}},
  booktitle    = {24th {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2016, Washington, DC, USA, May 1-3, 2016},
  pages        = {92},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/FCCM.2016.31},
  doi          = {10.1109/FCCM.2016.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/EngelhardtS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/SoW16,
  author       = {Hayden Kwok{-}Hay So and
                  John Wawrzynek},
  editor       = {Deming Chen and
                  Jonathan W. Greene},
  title        = {OLAF'16: Second International Workshop on Overlay Architectures for
                  FPGAs},
  booktitle    = {Proceedings of the 2016 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, Monterey, CA, USA, February 21-23, 2016},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2847263.2847345},
  doi          = {10.1145/2847263.2847345},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/SoW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/EngelhardtS16,
  author       = {Nina Engelhardt and
                  Hayden Kwok{-}Hay So},
  editor       = {Paolo Ienne and
                  Walid A. Najjar and
                  Jason Helge Anderson and
                  Philip Brisk and
                  Walter Stechele},
  title        = {GraVF: {A} vertex-centric distributed graph processing framework on
                  FPGAs},
  booktitle    = {26th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2016, Lausanne, Switzerland, August 29 - September 2, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/FPL.2016.7577360},
  doi          = {10.1109/FPL.2016.7577360},
  timestamp    = {Fri, 17 Jan 2020 17:11:15 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/EngelhardtS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WangNCVJTSS16,
  author       = {Maolin Wang and
                  Ho{-}Cheung Ng and
                  Bob M. F. Chung and
                  B. Sharat Chandra Varma and
                  Manish Kumar Jaiswal and
                  Kevin K. Tsia and
                  Ho Cheung Shum and
                  Hayden Kwok{-}Hay So},
  editor       = {Yuchen Song and
                  Shaojun Wang and
                  Brent Nelson and
                  Junbao Li and
                  Yu Peng},
  title        = {Real-time object detection and classification for high-speed asymmetric-detection
                  time-stretch optical microscopy on {FPGA}},
  booktitle    = {2016 International Conference on Field-Programmable Technology, {FPT}
                  2016, Xi'an, China, December 7-9, 2016},
  pages        = {261--264},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/FPT.2016.7929548},
  doi          = {10.1109/FPT.2016.7929548},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/WangNCVJTSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/SunXMLS16,
  author       = {Xing Sun and
                  Zhimin Xu and
                  Nan Meng and
                  Edmund Y. Lam and
                  Hayden Kwok{-}Hay So},
  title        = {Data-driven light field depth estimation using deep Convolutional
                  Neural Networks},
  booktitle    = {2016 International Joint Conference on Neural Networks, {IJCNN} 2016,
                  Vancouver, BC, Canada, July 24-29, 2016},
  pages        = {367--374},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/IJCNN.2016.7727222},
  doi          = {10.1109/IJCNN.2016.7727222},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/SunXMLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/SunMXLS16,
  author       = {Xing Sun and
                  Nan Meng and
                  Zhimin Xu and
                  Edmund Y. Lam and
                  Hayden Kwok{-}Hay So},
  title        = {Sparse Hierarchical Nonparametric Bayesian learning for light field
                  representation and denoising},
  booktitle    = {2016 International Joint Conference on Neural Networks, {IJCNN} 2016,
                  Vancouver, BC, Canada, July 24-29, 2016},
  pages        = {3272--3279},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/IJCNN.2016.7727617},
  doi          = {10.1109/IJCNN.2016.7727617},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/SunMXLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/JaiswalS16,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  title        = {Taylor Series Based Architecture for Quadruple Precision Floating
                  Point Division},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {518--523},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.10},
  doi          = {10.1109/ISVLSI.2016.10},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/JaiswalS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/JaiswalS16,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  title        = {Dual-mode double precision division architecture},
  booktitle    = {{IEEE} 59th International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2016, Abu Dhabi, United Arab Emirates, October 16-19, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/MWSCAS.2016.7869984},
  doi          = {10.1109/MWSCAS.2016.7869984},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/JaiswalS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/HoWNS16,
  author       = {Sam M. H. Ho and
                  Maolin Wang and
                  Ho{-}Cheung Ng and
                  Hayden Kwok{-}Hay So},
  editor       = {Peter M. Athanas and
                  Ren{\'{e}} Cumplido and
                  Claudia Feregrino and
                  Ron Sass},
  title        = {Towards FPGA-assisted spark: An {SVM} training acceleration case study},
  booktitle    = {International Conference on ReConFigurable Computing and FPGAs, ReConFig
                  2016, Cancun, Mexico, November 30 - Dec. 2, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ReConFig.2016.7857194},
  doi          = {10.1109/RECONFIG.2016.7857194},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/HoWNS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/NgWCVJHTSS16,
  author       = {Ho{-}Cheung Ng and
                  Maolin Wang and
                  Bob M. F. Chung and
                  B. Sharat Chandra Varma and
                  Manish Kumar Jaiswal and
                  Sam M. H. Ho and
                  Kevin K. Tsia and
                  Ho Cheung Shum and
                  Hayden Kwok{-}Hay So},
  editor       = {Peter M. Athanas and
                  Ren{\'{e}} Cumplido and
                  Claudia Feregrino and
                  Ron Sass},
  title        = {High-throughput cellular imaging with high-speed asymmetric-detection
                  time-stretch optical microscopy under {FPGA} platform},
  booktitle    = {International Conference on ReConFigurable Computing and FPGAs, ReConFig
                  2016, Cancun, Mexico, November 30 - Dec. 2, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ReConFig.2016.7857175},
  doi          = {10.1109/RECONFIG.2016.7857175},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/NgWCVJHTSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/daglib/p/SoL16,
  author       = {Hayden Kwok{-}Hay So and
                  Cheng Liu},
  editor       = {Dirk Koch and
                  Frank Hannig and
                  Daniel Ziener},
  title        = {{FPGA} Overlays},
  booktitle    = {FPGAs for Software Programmers},
  pages        = {285--305},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-26408-0\_16},
  doi          = {10.1007/978-3-319-26408-0\_16},
  timestamp    = {Thu, 14 Oct 2021 08:45:49 +0200},
  biburl       = {https://dblp.org/rec/books/daglib/p/SoL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/SunYLS16,
  author       = {Xing Sun and
                  Nelson H. C. Yung and
                  Edmund Y. Lam and
                  Hayden Kwok{-}Hay So},
  title        = {Consistency Analysis for the Doubly Stochastic Dirichlet Process},
  journal      = {CoRR},
  volume       = {abs/1605.07358},
  year         = {2016},
  url          = {http://arxiv.org/abs/1605.07358},
  eprinttype    = {arXiv},
  eprint       = {1605.07358},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/SunYLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/SoW16,
  author       = {Hayden Kwok{-}Hay So and
                  John Wawrzynek},
  title        = {Proceedings of the 2nd International Workshop on Overlay Architectures
                  for FPGAs {(OLAF} 2016)},
  journal      = {CoRR},
  volume       = {abs/1605.08149},
  year         = {2016},
  url          = {http://arxiv.org/abs/1605.08149},
  eprinttype    = {arXiv},
  eprint       = {1605.08149},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/SoW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/NgLS16,
  author       = {Ho{-}Cheung Ng and
                  Cheng Liu and
                  Hayden Kwok{-}Hay So},
  title        = {A Soft Processor Overlay with Tightly-coupled {FPGA} Accelerator},
  journal      = {CoRR},
  volume       = {abs/1606.06483},
  year         = {2016},
  url          = {http://arxiv.org/abs/1606.06483},
  eprinttype    = {arXiv},
  eprint       = {1606.06483},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/NgLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/JaiswalVSBPC15,
  author       = {Manish Kumar Jaiswal and
                  B. Sharat Chandra Varma and
                  Hayden Kwok{-}Hay So and
                  M. Balakrishnan and
                  Kolin Paul and
                  Ray C. C. Cheung},
  title        = {Configurable Architectures for Multi-Mode Floating Point Adders},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {62-I},
  number       = {8},
  pages        = {2079--2090},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCSI.2015.2452351},
  doi          = {10.1109/TCSI.2015.2452351},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/JaiswalVSBPC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LiuS15,
  author       = {Cheng Liu and
                  Hayden Kwok{-}Hay So},
  title        = {Automatic Soft {CGRA} Overlay Customization for High-Productivity
                  Nested Loop Acceleration on FPGAs},
  booktitle    = {23rd {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2015, Vancouver, BC, Canada, May 2-6, 2015},
  pages        = {101},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/FCCM.2015.57},
  doi          = {10.1109/FCCM.2015.57},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LiuS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LeongAABCDGHLLL15,
  author       = {Philip Heng Wai Leong and
                  Hideharu Amano and
                  Jason Helge Anderson and
                  Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Oliver Diessel and
                  Guy Gogniat and
                  Mike Hutton and
                  JunKyu Lee and
                  Wayne Luk and
                  Patrick Lysaght and
                  Marco Platzner and
                  Viktor K. Prasanna and
                  Tero Rissa and
                  Cristina Silvano and
                  Hayden Kwok{-}Hay So and
                  Yu Wang},
  title        = {Significant papers from the first 25 years of the {FPL} conference},
  booktitle    = {25th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2015, London, United Kingdom, September 2-4, 2015},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/FPL.2015.7293747},
  doi          = {10.1109/FPL.2015.7293747},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/LeongAABCDGHLLL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/XieNLTS15,
  author       = {Junyi Xie and
                  Xinyu Niu and
                  Andy K. S. Lau and
                  Kevin K. Tsia and
                  Hayden Kwok{-}Hay So},
  title        = {Accelerated cell imaging and classification on FPGAs for quantitative-phase
                  asymmetric-detection time-stretch optical microscopy},
  booktitle    = {2015 International Conference on Field Programmable Technology, {FPT}
                  2015, Queenstown, New Zealand, December 7-9, 2015},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/FPT.2015.7393123},
  doi          = {10.1109/FPT.2015.7393123},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/XieNLTS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LiuNS15,
  author       = {Cheng Liu and
                  Ho{-}Cheung Ng and
                  Hayden Kwok{-}Hay So},
  title        = {QuickDough: {A} rapid {FPGA} loop accelerator design framework using
                  soft {CGRA} overlay},
  booktitle    = {2015 International Conference on Field Programmable Technology, {FPT}
                  2015, Queenstown, New Zealand, December 7-9, 2015},
  pages        = {56--63},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/FPT.2015.7393130},
  doi          = {10.1109/FPT.2015.7393130},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/LiuNS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/JaiswalVS15,
  author       = {Manish Kumar Jaiswal and
                  B. Sharat Chandra Varma and
                  Hayden Kwok{-}Hay So},
  title        = {Architecture for Dual-Mode Quadruple Precision Floating Point Adder},
  booktitle    = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015,
                  Montpellier, France, July 8-10, 2015},
  pages        = {249--254},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISVLSI.2015.70},
  doi          = {10.1109/ISVLSI.2015.70},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/JaiswalVS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/JaiswalS15,
  author       = {Manish Kumar Jaiswal and
                  Hayden Kwok{-}Hay So},
  title        = {Dual-mode double precision / two-parallel single precision floating
                  point multiplier architecture},
  booktitle    = {2015 {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015},
  pages        = {213--218},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSI-SoC.2015.7314418},
  doi          = {10.1109/VLSI-SOC.2015.7314418},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/JaiswalS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/LiuNS15,
  author       = {Cheng Liu and
                  Ho{-}Cheung Ng and
                  Hayden Kwok{-}Hay So},
  title        = {Automatic Nested Loop Acceleration on FPGAs Using Soft {CGRA} Overlay},
  journal      = {CoRR},
  volume       = {abs/1509.00042},
  year         = {2015},
  url          = {http://arxiv.org/abs/1509.00042},
  eprinttype    = {arXiv},
  eprint       = {1509.00042},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/LiuNS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/ChoiS14,
  author       = {Yuk{-}Ming Choi and
                  Hayden Kwok{-}Hay So},
  title        = {Map-reduce processing of k-means algorithm with FPGA-accelerated computer
                  cluster},
  booktitle    = {{IEEE} 25th International Conference on Application-Specific Systems,
                  Architectures and Processors, {ASAP} 2014, Zurich, Switzerland, June
                  18-20, 2014},
  pages        = {9--16},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASAP.2014.6868624},
  doi          = {10.1109/ASAP.2014.6868624},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/ChoiS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/HamiltonIS14,
  author       = {Brandon Kyle Hamilton and
                  Michael Inggs and
                  Hayden Kwok{-}Hay So},
  title        = {Scheduling Mixed-Architecture Processes in Tightly Coupled {FPGA-CPU}
                  Reconfigurable Computers},
  booktitle    = {22nd {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2014, Boston, MA, USA, May 11-13, 2014},
  pages        = {240},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/FCCM.2014.75},
  doi          = {10.1109/FCCM.2014.75},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/HamiltonIS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/HamiltonIS14,
  author       = {Brandon Kyle Hamilton and
                  Michael Inggs and
                  Hayden Kwok{-}Hay So},
  title        = {Mixed-architecture process scheduling on tightly coupled reconfigurable
                  computers},
  booktitle    = {24th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2014, Munich, Germany, 2-4 September, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/FPL.2014.6927421},
  doi          = {10.1109/FPL.2014.6927421},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/HamiltonIS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/fpt/2014,
  editor       = {Jialin Chen and
                  Wenbo Yin and
                  Yuichiro Shibata and
                  Lingli Wang and
                  Hayden Kwok{-}Hay So and
                  Yuchun Ma},
  title        = {2014 International Conference on Field-Programmable Technology, {FPT}
                  2014, Shanghai, China, December 10-12, 2014},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7063887/proceeding},
  isbn         = {978-1-4799-6245-7},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijcta/LinWS13,
  author       = {Colin Yu Lin and
                  Ngai Wong and
                  Hayden Kwok{-}Hay So},
  title        = {Design space exploration for sparse matrix-matrix multiplication on
                  FPGAs},
  journal      = {Int. J. Circuit Theory Appl.},
  volume       = {41},
  number       = {2},
  pages        = {205--219},
  year         = {2013},
  url          = {https://doi.org/10.1002/cta.796},
  doi          = {10.1002/CTA.796},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijcta/LinWS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LiuLS13,
  author       = {Cheng Liu and
                  Colin Yu Lin and
                  Hayden Kwok{-}Hay So},
  title        = {A Soft Coarse-Grained Reconfigurable Array Based High-level Synthesis
                  Methodology: Promoting Design Productivity and Exploring Extreme {FPGA}
                  Frequency},
  booktitle    = {21st {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2013, Seattle, WA, USA, April 28-30, 2013},
  pages        = {228},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/FCCM.2013.21},
  doi          = {10.1109/FCCM.2013.21},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LiuLS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/NgCS13,
  author       = {Ho{-}Cheung Ng and
                  Yuk{-}Ming Choi and
                  Hayden Kwok{-}Hay So},
  title        = {Direct virtual memory access from {FPGA} for high-productivity heterogeneous
                  computing},
  booktitle    = {2013 International Conference on Field-Programmable Technology, {FPT}
                  2013, Kyoto, Japan, December 9-11, 2013},
  pages        = {458--461},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/FPT.2013.6718414},
  doi          = {10.1109/FPT.2013.6718414},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/NgCS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigarch/LinS12,
  author       = {Colin Yu Lin and
                  Hayden Kwok{-}Hay So},
  title        = {Energy-efficient dataflow computations on FPGAs using application-specific
                  coarse-grain architecture synthesis},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {40},
  number       = {5},
  pages        = {58--63},
  year         = {2012},
  url          = {https://doi.org/10.1145/2460216.2460227},
  doi          = {10.1145/2460216.2460227},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigarch/LinS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/LinWS12,
  author       = {Colin Yu Lin and
                  Ngai Wong and
                  Hayden Kwok{-}Hay So},
  editor       = {Katherine Compton and
                  Brad L. Hutchings},
  title        = {Operation scheduling and architecture co-synthesis for energy-efficient
                  dataflow computations on FPGAs (abstract only)},
  booktitle    = {Proceedings of the {ACM/SIGDA} 20th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2012, Monterey, California, USA,
                  February 22-24, 2012},
  pages        = {270},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2145694.2145757},
  doi          = {10.1145/2145694.2145757},
  timestamp    = {Tue, 06 Nov 2018 16:58:22 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/LinWS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/XunWWZS12,
  author       = {Changqing Xun and
                  Mei Wen and
                  Nan Wu and
                  Chunyuan Zhang and
                  Hayden Kwok{-}Hay So},
  editor       = {Dirk Koch and
                  Satnam Singh and
                  Jim T{\o}rresen},
  title        = {Extending {BORPH} for shared memory reconfigurable computers},
  booktitle    = {22nd International Conference on Field Programmable Logic and Applications
                  (FPL), Oslo, Norway, August 29-31, 2012},
  pages        = {563--566},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/FPL.2012.6339371},
  doi          = {10.1109/FPL.2012.6339371},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/XunWWZS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ChenYS12,
  author       = {Junying Chen and
                  Alfred C. H. Yu and
                  Hayden Kwok{-}Hay So},
  title        = {Design considerations of real-time adaptive beamformer for medical
                  ultrasound research using {FPGA} and {GPU}},
  booktitle    = {2012 International Conference on Field-Programmable Technology, {FPT}
                  2012, Seoul, Korea (South), December 10-12, 2012},
  pages        = {198--205},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/FPT.2012.6412134},
  doi          = {10.1109/FPT.2012.6412134},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/ChenYS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijcta/NgWSN11,
  author       = {Chiu{-}Wah Ng and
                  Ngai Wong and
                  Hayden Kwok{-}Hay So and
                  Tung{-}Sang Ng},
  title        = {On IIR-based bit-stream multipliers},
  journal      = {Int. J. Circuit Theory Appl.},
  volume       = {39},
  number       = {2},
  pages        = {149--158},
  year         = {2011},
  url          = {https://doi.org/10.1002/cta.623},
  doi          = {10.1002/CTA.623},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijcta/NgWSN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/SoCYY11,
  author       = {Hayden Kwok{-}Hay So and
                  Junying Chen and
                  Billy Y. S. Yiu and
                  Alfred C. H. Yu},
  title        = {Medical Ultrasound Imaging: To {GPU} or Not to GPU?},
  journal      = {{IEEE} Micro},
  volume       = {31},
  number       = {5},
  pages        = {54--65},
  year         = {2011},
  url          = {https://doi.org/10.1109/MM.2011.65},
  doi          = {10.1109/MM.2011.65},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/micro/SoCYY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigarch/ChenYHYS11,
  author       = {Junying Chen and
                  Billy Y. S. Yiu and
                  Brandon Kyle Hamilton and
                  Alfred C. H. Yu and
                  Hayden Kwok{-}Hay So},
  title        = {Design space exploration of adaptive beamforming acceleration for
                  bedside and portable medical ultrasound imaging},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {39},
  number       = {4},
  pages        = {20--25},
  year         = {2011},
  url          = {https://doi.org/10.1145/2082156.2082162},
  doi          = {10.1145/2082156.2082162},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigarch/ChenYHYS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LinSL11,
  author       = {Colin Yu Lin and
                  Hayden Kwok{-}Hay So and
                  Philip Heng Wai Leong},
  editor       = {Paul Chow and
                  Michael J. Wirthlin},
  title        = {A Model for Peak Matrix Performance on FPGAs},
  booktitle    = {{IEEE} 19th Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2011, Salt Lake City, Utah, USA, 1-3 May
                  2011},
  pages        = {251},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/FCCM.2011.51},
  doi          = {10.1109/FCCM.2011.51},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LinSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LinSL11,
  author       = {Colin Yu Lin and
                  Hayden Kwok{-}Hay So and
                  Philip Heng Wai Leong},
  title        = {A Model for Matrix Multiplication Performance on FPGAs},
  booktitle    = {International Conference on Field Programmable Logic and Applications,
                  {FPL} 2011, September 5-7, Chania, Crete, Greece},
  pages        = {305--310},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/FPL.2011.62},
  doi          = {10.1109/FPL.2011.62},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/LinSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigarch/TsangS10,
  author       = {Chi Chiu Tsang and
                  Hayden Kwok{-}Hay So},
  title        = {Dynamic power reduction of FPGA-based reconfigurable computers using
                  precomputation},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {38},
  number       = {4},
  pages        = {87--92},
  year         = {2010},
  url          = {https://doi.org/10.1145/1926367.1926382},
  doi          = {10.1145/1926367.1926382},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigarch/TsangS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tce/KwokSLL10,
  author       = {Sammy H. M. Kwok and
                  Hayden Kwok{-}Hay So and
                  Edmund Y. Lam and
                  King{-}Shan Lui},
  title        = {Zero-configuration identity-based {IP} network encryptor},
  journal      = {{IEEE} Trans. Consumer Electron.},
  volume       = {56},
  number       = {2},
  pages        = {540--546},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCE.2010.5505967},
  doi          = {10.1109/TCE.2010.5505967},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tce/KwokSLL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LinZWS10,
  author       = {Colin Yu Lin and
                  Zheng Zhang and
                  Ngai Wong and
                  Hayden Kwok{-}Hay So},
  editor       = {Jinian Bian and
                  Qiang Zhou and
                  Peter Athanas and
                  Yajun Ha and
                  Kang Zhao},
  title        = {Design space exploration for sparse matrix-matrix multiplication on
                  FPGAs},
  booktitle    = {Proceedings of the International Conference on Field-Programmable
                  Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing,
                  China},
  pages        = {369--372},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/FPT.2010.5681425},
  doi          = {10.1109/FPT.2010.5681425},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/LinZWS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LinWS09,
  author       = {Colin Yu Lin and
                  Ngai Wong and
                  Hayden Kwok{-}Hay So},
  editor       = {Martin Danek and
                  Jiri Kadlec and
                  Brent E. Nelson},
  title        = {Operation scheduling for FPGA-based reconfigurable computers},
  booktitle    = {19th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2009, August 31 - September 2, 2009, Prague, Czech Republic},
  pages        = {481--484},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/FPL.2009.5272497},
  doi          = {10.1109/FPL.2009.5272497},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/LinWS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/SoB08,
  author       = {Hayden Kwok{-}Hay So and
                  Robert W. Brodersen},
  title        = {A unified hardware/software runtime environment for FPGA-based reconfigurable
                  computers using {BORPH}},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {7},
  number       = {2},
  pages        = {14:1--14:28},
  year         = {2008},
  url          = {https://doi.org/10.1145/1331331.1331338},
  doi          = {10.1145/1331331.1331338},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/SoB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/SoB08,
  author       = {Hayden Kwok{-}Hay So and
                  Robert W. Brodersen},
  editor       = {Kenneth L. Pocek and
                  Duncan A. Buell},
  title        = {Runtime Filesystem Support for Reconfigurable {FPGA} Hardware Processes
                  in {BORPH}},
  booktitle    = {16th {IEEE} International Symposium on Field-Programmable Custom Computing
                  Machines, {FCCM} 2008, 14-15 April 2008, Stanford, Palo Alto, California,
                  {USA}},
  pages        = {285--286},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/FCCM.2008.7},
  doi          = {10.1109/FCCM.2008.7},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/SoB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/NgWSN08,
  author       = {Chiu{-}Wah Ng and
                  Ngai Wong and
                  Hayden Kwok{-}Hay So and
                  Tung{-}Sang Ng},
  title        = {Direct sigma-delta modulated signal processing in {FPGA}},
  booktitle    = {{FPL} 2008, International Conference on Field Programmable Logic and
                  Applications, Heidelberg, Germany, 8-10 September 2008},
  pages        = {475--478},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPL.2008.4629987},
  doi          = {10.1109/FPL.2008.4629987},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/NgWSN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/SoB08,
  author       = {Hayden Kwok{-}Hay So and
                  Robert W. Brodersen},
  title        = {File system access from reconfigurable {FPGA} hardware processes in
                  {BORPH}},
  booktitle    = {{FPL} 2008, International Conference on Field Programmable Logic and
                  Applications, Heidelberg, Germany, 8-10 September 2008},
  pages        = {567--570},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPL.2008.4630010},
  doi          = {10.1109/FPL.2008.4630010},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/SoB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/NgWSN08,
  author       = {Chiu{-}Wah Ng and
                  Ngai Wong and
                  Hayden Kwok{-}Hay So and
                  Tung{-}Sang Ng},
  editor       = {Tarek A. El{-}Ghazawi and
                  Yao{-}Wen Chang and
                  Juinn{-}Dar Huang and
                  Proshanta Saha},
  title        = {Quad-level bit-stream signal processing on FPGAs},
  booktitle    = {2008 International Conference on Field-Programmable Technology, {FPT}
                  2008, Taipei, Taiwan, December 7-10, 2008},
  pages        = {309--312},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPT.2008.4762405},
  doi          = {10.1109/FPT.2008.4762405},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/NgWSN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/MarkovicCRSNB07,
  author       = {Dejan Markovic and
                  Chen Chang and
                  Brian C. Richards and
                  Hayden Kwok{-}Hay So and
                  Borivoje Nikolic and
                  Robert W. Brodersen},
  title        = {{ASIC} Design and Verification in an {FPGA} Environment},
  booktitle    = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference,
                  {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September
                  16-19, 2007},
  pages        = {737--740},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/CICC.2007.4405836},
  doi          = {10.1109/CICC.2007.4405836},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/MarkovicCRSNB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/SoTB06,
  author       = {Hayden Kwok{-}Hay So and
                  Artem Tkachenko and
                  Robert W. Brodersen},
  editor       = {Reinaldo A. Bergamaschi and
                  Kiyoung Choi},
  title        = {A unified hardware/software runtime environment for FPGA-based reconfigurable
                  computers using {BORPH}},
  booktitle    = {Proceedings of the 4th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {259--264},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176254.1176316},
  doi          = {10.1145/1176254.1176316},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/SoTB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/SoB06,
  author       = {Hayden Kwok{-}Hay So and
                  Robert W. Brodersen},
  title        = {Improving Usability of FPGA-Based Reconfigurable Computers Through
                  Operating System Support},
  booktitle    = {Proceedings of the 2006 International Conference on Field Programmable
                  Logic and Applications (FPL), Madrid, Spain, August 28-30, 2006},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/FPL.2006.311236},
  doi          = {10.1109/FPL.2006.311236},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/SoB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aadebug/CameraSB05,
  author       = {Kevin Camera and
                  Hayden Kwok{-}Hay So and
                  Robert W. Brodersen},
  editor       = {Clinton Jeffery and
                  Jong{-}Deok Choi and
                  Raimondas Lencevicius},
  title        = {An integrated debugging environment for reprogrammble hardware systems},
  booktitle    = {Proceedings of the Sixth International Workshop on Automated Debugging,
                  {AADEBUG} 2005, Monterey, California, USA, September 19-21, 2005},
  pages        = {111--116},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1085130.1085145},
  doi          = {10.1145/1085130.1085145},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aadebug/CameraSB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics