BibTeX records: Akhilesh Tyagi

download as .bib file

@article{DBLP:journals/cryptography/SelvamT24,
  author       = {Ravikumar Selvam and
                  Akhilesh Tyagi},
  title        = {Residue Number System {(RNS)} and Power Distribution Network Topology-Based
                  Mitigation of Power Side-Channel Attacks},
  journal      = {Cryptogr.},
  volume       = {8},
  number       = {1},
  pages        = {1},
  year         = {2024},
  url          = {https://doi.org/10.3390/cryptography8010001},
  doi          = {10.3390/CRYPTOGRAPHY8010001},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cryptography/SelvamT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/LiT23,
  author       = {Xinyao Li and
                  Akhilesh Tyagi},
  title        = {Block-Active {ADMM} to Minimize {NMF} with Bregman Divergences},
  journal      = {Sensors},
  volume       = {23},
  number       = {16},
  pages        = {7229},
  year         = {2023},
  url          = {https://doi.org/10.3390/s23167229},
  doi          = {10.3390/S23167229},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sensors/LiT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sncs/SelvamT23,
  author       = {Ravikumar Selvam and
                  Akhilesh Tyagi},
  title        = {A Side-Channel Evaluation of On-chip Vdd Distribution Network with
                  Decoupling Capacitance},
  journal      = {{SN} Comput. Sci.},
  volume       = {4},
  number       = {1},
  pages        = {77},
  year         = {2023},
  url          = {https://doi.org/10.1007/s42979-022-01491-5},
  doi          = {10.1007/S42979-022-01491-5},
  timestamp    = {Sun, 25 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sncs/SelvamT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ises/BiswasT23,
  author       = {Ananda Biswas and
                  Akhilesh Tyagi},
  title        = {Huffman Cache Trails},
  booktitle    = {{IEEE} International Symposium on Smart Electronic Systems, iSES 2023,
                  Ahmedabad, India, December 18-20, 2023},
  pages        = {277--282},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/iSES58672.2023.00063},
  doi          = {10.1109/ISES58672.2023.00063},
  timestamp    = {Tue, 02 Apr 2024 12:53:25 +0200},
  biburl       = {https://dblp.org/rec/conf/ises/BiswasT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cryptography/DeeRT22,
  author       = {Timothy Dee and
                  Ian Richardson and
                  Akhilesh Tyagi},
  title        = {Continuous Nonintrusive Mobile Device Soft Keyboard Biometric Authentication},
  journal      = {Cryptogr.},
  volume       = {6},
  number       = {2},
  pages        = {14},
  year         = {2022},
  url          = {https://doi.org/10.3390/cryptography6020014},
  doi          = {10.3390/CRYPTOGRAPHY6020014},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cryptography/DeeRT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/SelvamT22,
  author       = {Ravikumar Selvam and
                  Akhilesh Tyagi},
  title        = {An Evaluation of Power Side-Channel Resistance for {RNS} Secure Logic},
  journal      = {Sensors},
  volume       = {22},
  number       = {6},
  pages        = {2242},
  year         = {2022},
  url          = {https://doi.org/10.3390/s22062242},
  doi          = {10.3390/S22062242},
  timestamp    = {Wed, 18 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sensors/SelvamT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/LiT22,
  author       = {Xinyao Li and
                  Akhilesh Tyagi},
  title        = {Cross-World Covert Channel on {ARM} Trustzone through {PMU}},
  journal      = {Sensors},
  volume       = {22},
  number       = {19},
  pages        = {7354},
  year         = {2022},
  url          = {https://doi.org/10.3390/s22197354},
  doi          = {10.3390/S22197354},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sensors/LiT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sncs/BiswasLT22,
  author       = {Ananda Biswas and
                  Zelong Li and
                  Akhilesh Tyagi},
  title        = {Performance Counters and {DWT} Enabled Control Flow Integrity},
  journal      = {{SN} Comput. Sci.},
  volume       = {3},
  number       = {1},
  pages        = {48},
  year         = {2022},
  url          = {https://doi.org/10.1007/s42979-021-00915-y},
  doi          = {10.1007/S42979-021-00915-Y},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sncs/BiswasLT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/secrypt/LiT22,
  author       = {Zelong Li and
                  Akhilesh Tyagi},
  editor       = {Sabrina De Capitani di Vimercati and
                  Pierangela Samarati},
  title        = {Transient State Signaling for Spectre/Meltdown Transient Cache Side-channel
                  Prevention},
  booktitle    = {Proceedings of the 19th International Conference on Security and Cryptography,
                  {SECRYPT} 2022, Lisbon, Portugal, July 11-13, 2022},
  pages        = {655--660},
  publisher    = {{SCITEPRESS}},
  year         = {2022},
  url          = {https://doi.org/10.5220/0011307500003283},
  doi          = {10.5220/0011307500003283},
  timestamp    = {Tue, 06 Jun 2023 14:58:01 +0200},
  biburl       = {https://dblp.org/rec/conf/secrypt/LiT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cem/DeeT21,
  author       = {Timothy Dee and
                  Akhilesh Tyagi},
  title        = {Message Integrity and Authenticity in Secure {CAN}},
  journal      = {{IEEE} Consumer Electron. Mag.},
  volume       = {10},
  number       = {5},
  pages        = {33--40},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCE.2020.3035908},
  doi          = {10.1109/MCE.2020.3035908},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cem/DeeT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sncs/HamadehT21,
  author       = {Hala Hamadeh and
                  Akhilesh Tyagi},
  title        = {An {FPGA} Implementation of Privacy Preserving Data Provenance Model
                  Based on {PUF} for Secure Internet of Things},
  journal      = {{SN} Comput. Sci.},
  volume       = {2},
  number       = {1},
  pages        = {65},
  year         = {2021},
  url          = {https://doi.org/10.1007/s42979-020-00428-0},
  doi          = {10.1007/S42979-020-00428-0},
  timestamp    = {Thu, 11 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sncs/HamadehT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ises/SelvamT21,
  author       = {Ravikumar Selvam and
                  Akhilesh Tyagi},
  title        = {Power Distribution Network Capacitive Decoupling for Side-Channel
                  Resistance},
  booktitle    = {{IEEE} International Symposium on Smart Electronic Systems, iSES 2021,
                  Jaipur, India, December 18-22, 2021},
  pages        = {183--188},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/iSES52644.2021.00051},
  doi          = {10.1109/ISES52644.2021.00051},
  timestamp    = {Mon, 14 Feb 2022 08:36:44 +0100},
  biburl       = {https://dblp.org/rec/conf/ises/SelvamT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wf-iot/BiswasDGLT21,
  author       = {Ananda Biswas and
                  Timothy Dee and
                  Yunxi Guo and
                  Zelong Li and
                  Akhilesh Tyagi},
  title        = {Multi-Granularity Control Flow Anomaly Detection with Hardware Counters},
  booktitle    = {7th {IEEE} World Forum on Internet of Things, WF-IoT 2021, New Orleans,
                  LA, USA, June 14 - July 31, 2021},
  pages        = {449--454},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/WF-IoT51360.2021.9595920},
  doi          = {10.1109/WF-IOT51360.2021.9595920},
  timestamp    = {Tue, 16 Nov 2021 08:53:09 +0100},
  biburl       = {https://dblp.org/rec/conf/wf-iot/BiswasDGLT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/DeeSMT20,
  author       = {Timothy Dee and
                  Ryan A. Scheel and
                  Nicholas Montelibano and
                  Akhilesh Tyagi},
  title        = {User-Silicon Entangled Mobile Identity Authentication},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {4},
  number       = {3},
  pages        = {208--229},
  year         = {2020},
  url          = {https://doi.org/10.1007/s41635-020-00098-7},
  doi          = {10.1007/S41635-020-00098-7},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/DeeSMT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esocc/HamadehAT20,
  author       = {Hala Hamadeh and
                  Abdallah M. Almomani and
                  Akhilesh Tyagi},
  editor       = {Antonio Brogi and
                  Wolf Zimmermann and
                  Kyriakos Kritikos},
  title        = {Probabilistic Verification of Outsourced Computation Based on Novel
                  Reversible PUFs},
  booktitle    = {Service-Oriented and Cloud Computing - 8th {IFIP} {WG} 2.14 European
                  Conference, {ESOCC} 2020, Heraklion, Crete, Greece, September 28-30,
                  2020, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12054},
  pages        = {30--37},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44769-4\_3},
  doi          = {10.1007/978-3-030-44769-4\_3},
  timestamp    = {Thu, 28 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esocc/HamadehAT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ises/BiswasLT20,
  author       = {Ananda Biswas and
                  Zelong Li and
                  Akhilesh Tyagi},
  title        = {Control Flow Integrity in IoT Devices with Performance Counters and
                  {DWT}},
  booktitle    = {{IEEE} International Symposium on Smart Electronic Systems, iSES 2020
                  (Formerly iNiS), Chennai, India, December 14-16, 2020},
  pages        = {171--176},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/iSES50453.2020.00046},
  doi          = {10.1109/ISES50453.2020.00046},
  timestamp    = {Mon, 17 May 2021 14:36:31 +0200},
  biburl       = {https://dblp.org/rec/conf/ises/BiswasLT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/spml/VaidyanT20,
  author       = {V. M. Vaidyan and
                  Akhilesh Tyagi},
  title        = {Instruction Level Disassembly through Electromagnetic Side-Chanel:
                  Machine Learning Classification Approach with Reduced Combinatorial
                  Complexity},
  booktitle    = {{SPML} 2020: 3rd International Conference on Signal Processing and
                  Machine Learning, Beijing, China, October, 2020},
  pages        = {124--130},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3432291.3432300},
  doi          = {10.1145/3432291.3432300},
  timestamp    = {Sun, 31 Oct 2021 10:45:20 +0100},
  biburl       = {https://dblp.org/rec/conf/spml/VaidyanT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wf-iot/DeeT20,
  author       = {Timothy Dee and
                  Akhilesh Tyagi},
  title        = {Secure {CAN} for Connected Vehicles},
  booktitle    = {6th {IEEE} World Forum on Internet of Things, WF-IoT 2020, New Orleans,
                  LA, USA, June 2-16, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/WF-IoT48130.2020.9221400},
  doi          = {10.1109/WF-IOT48130.2020.9221400},
  timestamp    = {Mon, 19 Oct 2020 12:52:29 +0200},
  biburl       = {https://dblp.org/rec/conf/wf-iot/DeeT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ises/HamadehT19,
  author       = {Hala Hamadeh and
                  Akhilesh Tyagi},
  title        = {Physical Unclonable Functions (PUFs) Entangled Trusted Computing Base},
  booktitle    = {{IEEE} International Symposium on Smart Electronic Systems, iSES 2019
                  (Formerly iNiS), Rourkela, India, December 16-18, 2019},
  pages        = {177--180},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/iSES47678.2019.00047},
  doi          = {10.1109/ISES47678.2019.00047},
  timestamp    = {Sun, 26 Apr 2020 17:41:57 +0200},
  biburl       = {https://dblp.org/rec/conf/ises/HamadehT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ises/HamadehT19a,
  author       = {Hala Hamadeh and
                  Akhilesh Tyagi},
  title        = {Privacy Preserving Data Provenance Model Based on {PUF} for Secure
                  Internet of Things},
  booktitle    = {{IEEE} International Symposium on Smart Electronic Systems, iSES 2019
                  (Formerly iNiS), Rourkela, India, December 16-18, 2019},
  pages        = {189--194},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/iSES47678.2019.00050},
  doi          = {10.1109/ISES47678.2019.00050},
  timestamp    = {Sun, 26 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ises/HamadehT19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DeeRT19,
  author       = {Timothy Dee and
                  Ian Richardson and
                  Akhilesh Tyagi},
  title        = {Continuous Transparent Mobile Device Touchscreen Soft Keyboard Biometric
                  Authentication},
  booktitle    = {32nd International Conference on {VLSI} Design and 18th International
                  Conference on Embedded Systems, {VLSID} 2019, Delhi, India, January
                  5-9, 2019},
  pages        = {539--540},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VLSID.2019.00125},
  doi          = {10.1109/VLSID.2019.00125},
  timestamp    = {Mon, 14 Nov 2022 15:28:06 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DeeRT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cryptography/GuoDT18,
  author       = {Yunxi Guo and
                  Timothy Dee and
                  Akhilesh Tyagi},
  title        = {Barrel Shifter Physical Unclonable Function Based Encryption},
  journal      = {Cryptogr.},
  volume       = {2},
  number       = {3},
  pages        = {22},
  year         = {2018},
  url          = {https://doi.org/10.3390/cryptography2030022},
  doi          = {10.3390/CRYPTOGRAPHY2030022},
  timestamp    = {Fri, 03 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cryptography/GuoDT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/GuoDT18,
  author       = {Yunxi Guo and
                  Timothy Dee and
                  Akhilesh Tyagi},
  title        = {Multi-block {APUF} with 2-Level Voltage Supply},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {327--332},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00067},
  doi          = {10.1109/ISVLSI.2018.00067},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/GuoDT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/GuoDT18,
  author       = {Yunxi Guo and
                  Timothy Dee and
                  Akhilesh Tyagi},
  title        = {Variation Enhancement of Arbiter PUFs with Asymmetric Layout},
  booktitle    = {{IEEE} 61st International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2018, Windsor, ON, Canada, August 5-8, 2018},
  pages        = {841--844},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MWSCAS.2018.8624035},
  doi          = {10.1109/MWSCAS.2018.8624035},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/GuoDT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/SelvamT18,
  author       = {Ravikumar Selvam and
                  Akhilesh Tyagi},
  title        = {Power Side Channel Resistance of {RNS} Secure Logic},
  booktitle    = {31st International Conference on {VLSI} Design and 17th International
                  Conference on Embedded Systems, {VLSID} 2018, Pune, India, January
                  6-10, 2018},
  pages        = {143--148},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/VLSID.2018.52},
  doi          = {10.1109/VLSID.2018.52},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/SelvamT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cem/ParkT17,
  author       = {Jungmin Park and
                  Akhilesh Tyagi},
  title        = {Using Power Clues to Hack IoT Devices: The power side channel provides
                  for instruction-level disassembly},
  journal      = {{IEEE} Consumer Electron. Mag.},
  volume       = {6},
  number       = {3},
  pages        = {92--102},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCE.2017.2684982},
  doi          = {10.1109/MCE.2017.2684982},
  timestamp    = {Thu, 18 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cem/ParkT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/HamadehCT17,
  author       = {Hala Hamadeh and
                  Soma Chaudhuri and
                  Akhilesh Tyagi},
  title        = {Area, energy, and time assessment for a distributed {TPM} for distributed
                  trust in IoT clusters},
  journal      = {Integr.},
  volume       = {58},
  pages        = {267--273},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.vlsi.2016.12.005},
  doi          = {10.1016/J.VLSI.2016.12.005},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/HamadehCT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/VenkatesanPT17,
  author       = {Varun Venkatesan and
                  Swamy D. Ponpandi and
                  Akhilesh Tyagi},
  title        = {Shaping data for application performance and energy optimization in
                  dynamic data view framework},
  journal      = {Integr.},
  volume       = {58},
  pages        = {311--319},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.vlsi.2016.12.001},
  doi          = {10.1016/J.VLSI.2016.12.001},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/VenkatesanPT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/GuoT17,
  author       = {Yunxi Guo and
                  Akhilesh Tyagi},
  title        = {Voice-Based User-Device Physical Unclonable Functions for Mobile Device
                  Authentication},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {1},
  number       = {1},
  pages        = {18--37},
  year         = {2017},
  url          = {https://doi.org/10.1007/s41635-017-0003-4},
  doi          = {10.1007/S41635-017-0003-4},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/GuoT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1711-05332,
  author       = {Yunxi Guo and
                  Timothy Dee and
                  Akhilesh Tyagi},
  title        = {Barrel Shifter Physical Unclonable Function Based Encryption},
  journal      = {CoRR},
  volume       = {abs/1711.05332},
  year         = {2017},
  url          = {http://arxiv.org/abs/1711.05332},
  eprinttype    = {arXiv},
  eprint       = {1711.05332},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1711-05332.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijuc/TyagiR16,
  author       = {Akhilesh Tyagi and
                  John H. Reif},
  title        = {Energy Complexity of Optical Computations},
  journal      = {Int. J. Unconv. Comput.},
  volume       = {12},
  number       = {5-6},
  pages        = {393--412},
  year         = {2016},
  url          = {http://www.oldcitypublishing.com/journals/ijuc-home/ijuc-issue-contents/ijuc-volume-12-number-5-6-2016/ijuc-12-5-6-p-393-412/},
  timestamp    = {Thu, 16 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijuc/TyagiR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/GuoT16,
  author       = {Yunxi Guo and
                  Akhilesh Tyagi},
  title        = {Voice Based User-Device Physical Unclonable Functions for Mobile Device
                  Authentication},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {512--517},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.47},
  doi          = {10.1109/ISVLSI.2016.47},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/GuoT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/ParkT16,
  author       = {Jungmin Park and
                  Akhilesh Tyagi},
  title        = {Security Metrics for Power Based {SCA} Resistant Hardware Implementation},
  booktitle    = {29th International Conference on {VLSI} Design and 15th International
                  Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January
                  4-8, 2016},
  pages        = {541--546},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSID.2016.43},
  doi          = {10.1109/VLSID.2016.43},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/ParkT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccs/ScheelT15,
  author       = {Ryan A. Scheel and
                  Akhilesh Tyagi},
  editor       = {Indrajit Ray and
                  Xiaofeng Wang and
                  Kui Ren},
  title        = {Characterizing Composite User-Device Touchscreen Physical Unclonable
                  Functions (PUFs) for Mobile Device Authentication},
  booktitle    = {Proceedings of the 5th International Workshop on Trustworthy Embedded
                  Devices, TrustED 2015, Denver, Colorado, USA, October 16, 2015},
  pages        = {3--13},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2808414.2808418},
  doi          = {10.1145/2808414.2808418},
  timestamp    = {Tue, 10 Nov 2020 16:06:16 +0100},
  biburl       = {https://dblp.org/rec/conf/ccs/ScheelT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ises/HamadehCT15,
  author       = {Hala Hamadeh and
                  Soma Chaudhuri and
                  Akhilesh Tyagi},
  title        = {Area, Energy, and Time Assessment for a Distributed {TPM} for Distributed
                  Trust in IoT Clusters},
  booktitle    = {{IEEE} International Symposium on Nanoelectronic and Information Systems,
                  iNIS 2015, Indore, India, December 21-23, 2015},
  pages        = {225--230},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.ieeecomputersociety.org/10.1109/iNIS.2015.17},
  doi          = {10.1109/INIS.2015.17},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ises/HamadehCT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ises/VenkatesanPT15,
  author       = {Varun Venkatesan and
                  Swamy D. Ponpandi and
                  Akhilesh Tyagi},
  title        = {Dynamic Data Shapers Optimize Performance in Dynamic Binary Optimization
                  {(DBO)} Environment},
  booktitle    = {{IEEE} International Symposium on Nanoelectronic and Information Systems,
                  iNIS 2015, Indore, India, December 21-23, 2015},
  pages        = {325--330},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.ieeecomputersociety.org/10.1109/iNIS.2015.18},
  doi          = {10.1109/INIS.2015.18},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ises/VenkatesanPT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cee/PonpandiT14,
  author       = {Swamy D. Ponpandi and
                  Akhilesh Tyagi},
  title        = {User satisfaction aware routing and energy modeling of polymorphic
                  network on chip architecture},
  journal      = {Comput. Electr. Eng.},
  volume       = {40},
  number       = {8},
  pages        = {260--275},
  year         = {2014},
  url          = {https://doi.org/10.1016/j.compeleceng.2014.08.012},
  doi          = {10.1016/J.COMPELECENG.2014.08.012},
  timestamp    = {Wed, 19 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cee/PonpandiT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijes/KeungPT14,
  author       = {Ka{-}Ming Keung and
                  Swamy D. Ponpandi and
                  Akhilesh Tyagi},
  title        = {A placer for composable {FPGA} with 2D mesh network},
  journal      = {Int. J. Embed. Syst.},
  volume       = {6},
  number       = {4},
  pages        = {289--302},
  year         = {2014},
  url          = {https://doi.org/10.1504/IJES.2014.064986},
  doi          = {10.1504/IJES.2014.064986},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijes/KeungPT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/ZhangPT14,
  author       = {Zhang Zhang and
                  Swamy D. Ponpandi and
                  Akhilesh Tyagi},
  title        = {An Evaluation of User Satisfaction Driven Scheduling in a Polymorphic
                  Embedded System},
  booktitle    = {2014 {IEEE} International Parallel {\&} Distributed Processing
                  Symposium Workshops, Phoenix, AZ, USA, May 19-23, 2014},
  pages        = {263--268},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/IPDPSW.2014.35},
  doi          = {10.1109/IPDPSW.2014.35},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/ZhangPT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/GomathisankaranT14,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi},
  title        = {Glitch Resistant Private Circuits Design Using {HORNS}},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa,
                  FL, USA, July 9-11, 2014},
  pages        = {522--527},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISVLSI.2014.93},
  doi          = {10.1109/ISVLSI.2014.93},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/GomathisankaranT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/ParkT14,
  author       = {Jungmin Park and
                  Akhilesh Tyagi},
  title        = {Towards Making Private Circuits Practical: {DPA} Resistant Private
                  Circuits},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa,
                  FL, USA, July 9-11, 2014},
  pages        = {528--533},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISVLSI.2014.24},
  doi          = {10.1109/ISVLSI.2014.24},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/ParkT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/space/ParkT14,
  author       = {Jungmin Park and
                  Akhilesh Tyagi},
  editor       = {Rajat Subhra Chakraborty and
                  Vashek Matyas and
                  Patrick Schaumont},
  title        = {t-Private Systems: Unified Private Memories and Computation},
  booktitle    = {Security, Privacy, and Applied Cryptography Engineering - 4th International
                  Conference, {SPACE} 2014, Pune, India, October 18-22, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8804},
  pages        = {285--302},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-12060-7\_19},
  doi          = {10.1007/978-3-319-12060-7\_19},
  timestamp    = {Mon, 15 Jun 2020 17:10:47 +0200},
  biburl       = {https://dblp.org/rec/conf/space/ParkT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/PonpandiT13,
  author       = {Swamy D. Ponpandi and
                  Akhilesh Tyagi},
  editor       = {Maurizio Palesi and
                  Terrence S. T. Mak and
                  Masoud Daneshtalab},
  title        = {User satisfaction aware routing decisions in {NOC}},
  booktitle    = {Network on Chip Architectures, NoCArc '13, in conjunction with the
                  46th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  MICRO-46, Davis, CA, USA, December 7, 2013},
  pages        = {11--16},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2536522.2536531},
  doi          = {10.1145/2536522.2536531},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/PonpandiT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/PonpandiZT13,
  author       = {Swamy D. Ponpandi and
                  Zhang Zhang and
                  Akhilesh Tyagi},
  title        = {PolyNOC - {A} polymorphic thread simulator for NoC communication based
                  embedded systems},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2013, Cancun, Mexico, December 9-11, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ReConFig.2013.6732320},
  doi          = {10.1109/RECONFIG.2013.6732320},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/PonpandiZT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/ParkT12,
  author       = {Jungmin Park and
                  Akhilesh Tyagi},
  title        = {t-Private logic synthesis on FPGAs},
  booktitle    = {2012 {IEEE} International Symposium on Hardware-Oriented Security
                  and Trust, {HOST} 2012, San Francisco, CA, USA, June 3-4, 2012},
  pages        = {63--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/HST.2012.6224321},
  doi          = {10.1109/HST.2012.6224321},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/ParkT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/GomathisankaranT12,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi},
  title        = {A Novel Design of Secure and Private Circuits},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2012, Amherst,
                  MA, USA, August 19-21, 2012},
  pages        = {362--367},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISVLSI.2012.55},
  doi          = {10.1109/ISVLSI.2012.55},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/GomathisankaranT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/KrishnamurthyPT11,
  author       = {Viswanath Krishnamurthy and
                  Swamy D. Ponpandi and
                  Akhilesh Tyagi},
  editor       = {Rajesh K. Gupta and
                  Vincent John Mooney},
  title        = {A novel thread scheduler design for polymorphic embedded systems},
  booktitle    = {Proceedings of the 14th International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2011, part of the Seventh
                  Embedded Systems Week, ESWeek 2011, Taipei, Taiwan, October 9-14,
                  2011},
  pages        = {75--84},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2038698.2038712},
  doi          = {10.1145/2038698.2038712},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/KrishnamurthyPT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ciss/GomathisankaranTN11,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi and
                  Kamesh Namuduri},
  title        = {{HORNS:} {A} homomorphic encryption scheme for Cloud Computing using
                  Residue Number System},
  booktitle    = {45st Annual Conference on Information Sciences and Systems, {CISS}
                  2011, The John Hopkins University, Baltimore, MD, USA, 23-25 March
                  2011},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/CISS.2011.5766176},
  doi          = {10.1109/CISS.2011.5766176},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ciss/GomathisankaranTN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/PonpandiT11,
  author       = {Swamy D. Ponpandi and
                  Akhilesh Tyagi},
  editor       = {Russell Tessier},
  title        = {Partial reconfiguration logic synthesis by temporal slicing},
  booktitle    = {2011 International Conference on Field-Programmable Technology, {FPT}
                  2011, New Delhi, India, December 12-14, 2011},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/FPT.2011.6132720},
  doi          = {10.1109/FPT.2011.6132720},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/PonpandiT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BaumgartenTZ10,
  author       = {Alex Baumgarten and
                  Akhilesh Tyagi and
                  Joseph Zambreno},
  title        = {Preventing {IC} Piracy Using Reconfigurable Logic Barriers},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {1},
  pages        = {66--75},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2010.24},
  doi          = {10.1109/MDT.2010.24},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/BaumgartenTZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/KeungT10,
  author       = {Ka{-}Ming Keung and
                  Akhilesh Tyagi},
  title        = {State Space Reconfigurability: {A} Low Energy Implementation Architecture
                  for Self Modifying Finite Automata},
  journal      = {J. Low Power Electron.},
  volume       = {6},
  number       = {1},
  pages        = {18--31},
  year         = {2010},
  url          = {https://doi.org/10.1166/jolpe.2010.1053},
  doi          = {10.1166/JOLPE.2010.1053},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/KeungT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/icae/GomathisankaranT09,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi},
  title        = {Relating Boolean gate truth tables to one-way functions},
  journal      = {Integr. Comput. Aided Eng.},
  volume       = {16},
  number       = {2},
  pages        = {141--150},
  year         = {2009},
  url          = {https://doi.org/10.3233/ICA-2009-0307},
  doi          = {10.3233/ICA-2009-0307},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/icae/GomathisankaranT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KeungT09,
  author       = {Ka{-}Ming Keung and
                  Akhilesh Tyagi},
  editor       = {Maurizio Palesi and
                  Shashi Kumar},
  title        = {Breaking adaptive multicast deadlock by virtual channel address/data
                  {FIFO} decoupling},
  booktitle    = {Second International Workshop on Network on Chip Architectures, NoCArc
                  2009, In conjunction with the 42nd Annual {IEEE/ACM} International
                  Symposium on Microarchitecture (MICRO-42), December 12, 2009, New
                  York, NY, {USA}},
  pages        = {11--16},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1645213.1645218},
  doi          = {10.1145/1645213.1645218},
  timestamp    = {Wed, 13 Feb 2019 11:42:26 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/KeungT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/acssc/KeungT08,
  author       = {Ka{-}Ming Keung and
                  Akhilesh Tyagi},
  title        = {Self Modifying Finite Automata {(SMFA)} based state machine implementation
                  for lower energy},
  booktitle    = {42nd Asilomar Conference on Signals, Systems and Computers, {ACSSC}
                  2008, Pacific Grove, CA, USA, October 26-29, 2008},
  pages        = {2103--2107},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ACSSC.2008.5074804},
  doi          = {10.1109/ACSSC.2008.5074804},
  timestamp    = {Thu, 05 Jan 2023 10:07:58 +0100},
  biburl       = {https://dblp.org/rec/conf/acssc/KeungT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eit/GomathisankaranT08,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi},
  title        = {Relating Boolean gate truth tables to one-way functions},
  booktitle    = {2008 {IEEE} International Conference on Electro/Information Technology,
                  {EIT} 2008, held at Iowa State University, Ames, Iowa, USA, May 18-20,
                  2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/EIT.2008.4554258},
  doi          = {10.1109/EIT.2008.4554258},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/eit/GomathisankaranT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/secrypt/GomathisankaranKT08,
  author       = {Mahadevan Gomathisankaran and
                  Ka{-}Ming Keung and
                  Akhilesh Tyagi},
  editor       = {Eduardo Fern{\'{a}}ndez{-}Medina and
                  Manu Malek and
                  Javier Hernando},
  title        = {{REBEL} - Reconfigurable Block Encryption Logic},
  booktitle    = {{SECRYPT} 2008, Proceedings of the International Conference on Security
                  and Cryptography, Porto, Portugal, July 26-29, 2008, {SECRYPT} is
                  part of {ICETE} - The International Joint Conference on e-Business
                  and Telecommunications},
  pages        = {312--318},
  publisher    = {{INSTICC} Press},
  year         = {2008},
  timestamp    = {Fri, 04 Sep 2009 13:20:36 +0200},
  biburl       = {https://dblp.org/rec/conf/secrypt/GomathisankaranKT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KeungMT07,
  author       = {Ka{-}Ming Keung and
                  Vineela Manne and
                  Akhilesh Tyagi},
  title        = {A Novel Charge Recycling Design Scheme Based on Adiabatic Charge Pump},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {15},
  number       = {7},
  pages        = {733--745},
  year         = {2007},
  url          = {https://doi.org/10.1109/TVLSI.2007.899220},
  doi          = {10.1109/TVLSI.2007.899220},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KeungMT07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/GomathisankaranT06,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi},
  title        = {{WARM} {SRAM:} {A} Novel Scheme to Reduce Static Leakage Energy in
                  {SRAM} Arrays},
  journal      = {J. Low Power Electron.},
  volume       = {2},
  number       = {3},
  pages        = {388--400},
  year         = {2006},
  url          = {https://doi.org/10.1166/jolpe.2006.091},
  doi          = {10.1166/JOLPE.2006.091},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/GomathisankaranT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/GomathisankaranT06,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi},
  title        = {Architecture Support for 3D Obfuscation},
  journal      = {{IEEE} Trans. Computers},
  volume       = {55},
  number       = {5},
  pages        = {497--507},
  year         = {2006},
  url          = {https://doi.org/10.1109/TC.2006.68},
  doi          = {10.1109/TC.2006.68},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/GomathisankaranT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/KeungT06,
  author       = {Ka{-}Ming Keung and
                  Akhilesh Tyagi},
  editor       = {Seongsoo Hong and
                  Wayne H. Wolf and
                  Kriszti{\'{a}}n Flautner and
                  Taewhan Kim},
  title        = {State space reconfigurability: an implementation architecture for
                  self modifying finite automata},
  booktitle    = {Proceedings of the 2006 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {83--92},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176760.1176772},
  doi          = {10.1145/1176760.1176772},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/KeungT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/KeungT06,
  author       = {Ka{-}Ming Keung and
                  Akhilesh Tyagi},
  editor       = {Johan Vounckx and
                  Nadine Az{\'{e}}mard and
                  Philippe Maurine},
  title        = {{SRAM} {CP:} {A} Charge Recycling Design Schema for {SRAM}},
  booktitle    = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization
                  and Simulation, 16th International Workshop, {PATMOS} 2006, Montpellier,
                  France, September 13-15, 2006, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4148},
  pages        = {95--106},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11847083\_10},
  doi          = {10.1007/11847083\_10},
  timestamp    = {Tue, 14 May 2019 10:00:54 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/KeungT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aPcsac/RamaraoT05,
  author       = {Pramod Ramarao and
                  Akhilesh Tyagi},
  editor       = {Thambipillai Srikanthan and
                  Jingling Xue and
                  Chip{-}Hong Chang},
  title        = {An Integrated Partitioning and Scheduling Based Branch Decoupling},
  booktitle    = {Advances in Computer Systems Architecture, 10th Asia-Pacific Conference,
                  {ACSAC} 2005, Singapore, October 24-26, 2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3740},
  pages        = {252--268},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11572961\_21},
  doi          = {10.1007/11572961\_21},
  timestamp    = {Tue, 14 May 2019 10:00:42 +0200},
  biburl       = {https://dblp.org/rec/conf/aPcsac/RamaraoT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drm/GeCT05,
  author       = {Jun Ge and
                  Soma Chaudhuri and
                  Akhilesh Tyagi},
  editor       = {Reihaneh Safavi{-}Naini and
                  Moti Yung},
  title        = {Control flow based obfuscation},
  booktitle    = {Proceedings of the Fifth {ACM} Workshop on Digital Rights Management,
                  Alexandria, VA, USA, November 7, 2005},
  pages        = {83--92},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1102546.1102561},
  doi          = {10.1145/1102546.1102561},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/drm/GeCT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drmtics/GomathisankaranT05,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi},
  editor       = {Reihaneh Safavi{-}Naini and
                  Moti Yung},
  title        = {{TIVA:} Trusted Integrity Verification Architecture},
  booktitle    = {Digital Rights Management: Technologies, Issues, Challenges and Systems,
                  First International Conference, {DRMTICS} 2005, Sydney, Australia,
                  October 31 - November 2, 2005, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3919},
  pages        = {13--31},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11787952\_2},
  doi          = {10.1007/11787952\_2},
  timestamp    = {Tue, 14 May 2019 10:00:38 +0200},
  biburl       = {https://dblp.org/rec/conf/drmtics/GomathisankaranT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drmtics/BlietzT05,
  author       = {Brian Blietz and
                  Akhilesh Tyagi},
  editor       = {Reihaneh Safavi{-}Naini and
                  Moti Yung},
  title        = {Software Tamper Resistance Through Dynamic Program Monitoring},
  booktitle    = {Digital Rights Management: Technologies, Issues, Challenges and Systems,
                  First International Conference, {DRMTICS} 2005, Sydney, Australia,
                  October 31 - November 2, 2005, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3919},
  pages        = {146--163},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11787952\_12},
  doi          = {10.1007/11787952\_12},
  timestamp    = {Fri, 19 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/drmtics/BlietzT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hipeac/GomathisankaranT05,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi},
  editor       = {Thomas M. Conte and
                  Nacho Navarro and
                  Wen{-}mei W. Hwu and
                  Mateo Valero and
                  Theo Ungerer},
  title        = {Arc3D: {A} 3D Obfuscation Architecture},
  booktitle    = {High Performance Embedded Architectures and Compilers, First International
                  Conference, HiPEAC 2005, Barcelona, Spain, November 17-18, 2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3793},
  pages        = {184--199},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11587514\_13},
  doi          = {10.1007/11587514\_13},
  timestamp    = {Tue, 14 May 2019 10:00:51 +0200},
  biburl       = {https://dblp.org/rec/conf/hipeac/GomathisankaranT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/indocrypt/Tyagi05,
  author       = {Akhilesh Tyagi},
  editor       = {Subhamoy Maitra and
                  C. E. Veni Madhavan and
                  Ramarathnam Venkatesan},
  title        = {Energy-Privacy Trade-Offs in {VLSI} Computations},
  booktitle    = {Progress in Cryptology - {INDOCRYPT} 2005, 6th International Conference
                  on Cryptology in India, Bangalore, India, December 10-12, 2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3797},
  pages        = {361--374},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11596219\_29},
  doi          = {10.1007/11596219\_29},
  timestamp    = {Tue, 14 May 2019 10:00:51 +0200},
  biburl       = {https://dblp.org/rec/conf/indocrypt/Tyagi05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NadathurT04,
  author       = {Sriram Nadathur and
                  Akhilesh Tyagi},
  title        = {{IPC} Driven Dynamic Associative Cache Architecture for Low Energy},
  booktitle    = {22nd {IEEE} International Conference on Computer Design: {VLSI} in
                  Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San
                  Jose, CA, USA, Proceedings},
  pages        = {472--479},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCD.2004.1347964},
  doi          = {10.1109/ICCD.2004.1347964},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NadathurT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/GomathisankaranT04,
  author       = {Mahadevan Gomathisankaran and
                  Akhilesh Tyagi},
  title        = {{WARM} {SRAM:} {A} Novel Scheme to Reduce Static Leakage Energy in
                  {SRAM} Arrays},
  booktitle    = {2004 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI}
                  2004), Emerging Trends in {VLSI} Systems Design, 19-20 February 2004,
                  Lafayette, LA, {USA}},
  pages        = {105--114},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISVLSI.2004.1339516},
  doi          = {10.1109/ISVLSI.2004.1339516},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/GomathisankaranT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iwia/ZhuT04,
  author       = {Ge Zhu and
                  Akhilesh Tyagi},
  editor       = {John L. Cole and
                  Stephen D. Wolthusen},
  title        = {Protection against Indirect Overflow Attacks on Pointers},
  booktitle    = {Proceedings of the Second {IEEE} International Workshop on Information
                  Assurance (IWIA'04), April 8-9, 2004, Charlotte, North Carolina, {USA}},
  pages        = {97--106},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/IWIA.2004.1288041},
  doi          = {10.1109/IWIA.2004.1288041},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iwia/ZhuT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/PandeyST03,
  author       = {Sonal Pandey and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  title        = {Intermediate processing protocol for processing within IP-routed networks},
  journal      = {Microprocess. Microsystems},
  volume       = {27},
  number       = {5-6},
  pages        = {285--295},
  year         = {2003},
  url          = {https://doi.org/10.1016/S0141-9331(03)00029-2},
  doi          = {10.1016/S0141-9331(03)00029-2},
  timestamp    = {Mon, 18 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mam/PandeyST03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEinteract/RamaraoT03,
  author       = {Pramod Ramarao and
                  Akhilesh Tyagi},
  title        = {An Adiabatic Framework for a Low Energy {\(\mathrm{\mu}\)}-Architecture
                  {\&} Compiler},
  booktitle    = {7th Annual Workshop on Interaction between Compilers and Computer
                  Architecture {(INTERACT-7} 2003), 8 February 2003, Anaheim, CA, {USA}},
  pages        = {65--74},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/INTERA.2003.1192357},
  doi          = {10.1109/INTERA.2003.1192357},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEinteract/RamaraoT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NadathurT03,
  author       = {Sriram Nadathur and
                  Akhilesh Tyagi},
  title        = {A Dependence Driven Efficient Dispatch Scheme},
  booktitle    = {21st International Conference on Computer Design {(ICCD} 2003),VLSI
                  in Computers and Processors, 13-15 October 2003, San Jose, CA, USA,
                  Proceedings},
  pages        = {299--306},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCD.2003.1240910},
  doi          = {10.1109/ICCD.2003.1240910},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NadathurT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isw/RamaraoTL03,
  author       = {Pramod Ramarao and
                  Akhilesh Tyagi and
                  Gyungho Lee},
  editor       = {Colin Boyd and
                  Wenbo Mao},
  title        = {Run-Time Support for Detection of Memory Access Violations to Prevent
                  Buffer Overflow Exploits},
  booktitle    = {Information Security, 6th International Conference, {ISC} 2003, Bristol,
                  UK, October 1-3, 2003, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2851},
  pages        = {366--380},
  publisher    = {Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/10958513\_28},
  doi          = {10.1007/10958513\_28},
  timestamp    = {Tue, 14 May 2019 10:00:53 +0200},
  biburl       = {https://dblp.org/rec/conf/isw/RamaraoTL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/ManneT03,
  author       = {Vineela Manne and
                  Akhilesh Tyagi},
  editor       = {Jorge Juan{-}Chico and
                  Enrico Macii},
  title        = {An Adiabatic Charge Pump Based Charge Recycling Design Style},
  booktitle    = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization
                  and Simulation, 13th International Workshop, {PATMOS} 2003, Torino,
                  Italy, September 10-12, 2003, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2799},
  pages        = {299--308},
  publisher    = {Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/978-3-540-39762-5\_36},
  doi          = {10.1007/978-3-540-39762-5\_36},
  timestamp    = {Tue, 14 May 2019 10:00:54 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/ManneT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icccn/PandeyST02,
  author       = {Sonal Pandey and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  editor       = {Ronald P. Luijten and
                  W. Eric Wong and
                  Kia Makki and
                  E. K. Park},
  title        = {A reliable protocol for processing within IP-routed networks},
  booktitle    = {Proceedings of the 11th International Conference on Computer Communications
                  and Networks, {ICCCN} 2002, 14-16 October, 2002, Hyatt Regency Miami,
                  Miami, Florida, {USA}},
  pages        = {84--89},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCCN.2002.1043050},
  doi          = {10.1109/ICCCN.2002.1043050},
  timestamp    = {Wed, 16 Dec 2020 15:36:44 +0100},
  biburl       = {https://dblp.org/rec/conf/icccn/PandeyST02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KimST02,
  author       = {Huesung Kim and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  title        = {Adaptive Balanced Computing {(ABC)} Microprocessor Using Reconfigurable
                  Functional Caches (RFCs)},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {138--144},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106761},
  doi          = {10.1109/ICCD.2002.1106761},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KimST02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KimST01,
  author       = {Huesung Kim and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  title        = {A reconfigurable multifunction computing cache architecture},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {9},
  number       = {4},
  pages        = {509--523},
  year         = {2001},
  url          = {https://doi.org/10.1109/92.931228},
  doi          = {10.1109/92.931228},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KimST01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/Tyagi01,
  author       = {Akhilesh Tyagi},
  title        = {Integrated Area-power Optimal State Assignment},
  journal      = {{VLSI} Design},
  volume       = {12},
  number       = {2},
  pages        = {275--300},
  year         = {2001},
  url          = {https://doi.org/10.1155/2001/39405},
  doi          = {10.1155/2001/39405},
  timestamp    = {Mon, 08 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/Tyagi01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/SinghalST01,
  author       = {Abhishek Singhal and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  title        = {Evaluation of Reconfigurable Cache Module Architecture},
  booktitle    = {The 9th Annual {IEEE} Symposium on Field-Programmable Custom Computing
                  Machines, {FCCM} 2001, Rohnert Park, California, USA, April 29 - May
                  2, 2001},
  pages        = {263--266},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/FCCM.2001.21},
  doi          = {10.1109/FCCM.2001.21},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/SinghalST01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SankaranarayananT01,
  author       = {Vadhiraj Sankaranarayanan and
                  Akhilesh Tyagi},
  title        = {A Hierarchical Dependence Check and Folded Rename Mapping Based Scalable
                  Dispatch Stage},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {249--255},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955036},
  doi          = {10.1109/ICCD.2001.955036},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SankaranarayananT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigarch/TyagiL00,
  author       = {Akhilesh Tyagi and
                  Gyungho Lee},
  title        = {A compiler optimization paradigm for dynamic energy management},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {28},
  number       = {1},
  pages        = {72--76},
  year         = {2000},
  url          = {https://doi.org/10.1145/346023.346047},
  doi          = {10.1145/346023.346047},
  timestamp    = {Sat, 21 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigarch/TyagiL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/KimST00,
  author       = {Huesung Kim and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  editor       = {Steve Trimberger and
                  Scott Hauck},
  title        = {A reconfigurable multi-function computing cache architecture},
  booktitle    = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable
                  Gate Arrays, {FPGA} 2000, Monterey, CA, USA, February 10-11, 2000},
  pages        = {85--94},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/329166.329185},
  doi          = {10.1145/329166.329185},
  timestamp    = {Tue, 06 Nov 2018 16:58:22 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/KimST00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ic/LeeT00,
  author       = {Gyungho Lee and
                  Akhilesh Tyagi},
  editor       = {Peter Graham and
                  Muthucumaru Maheswaran},
  title        = {Encoded Program Counter: Self-Protection from Buffer Overflow Attacks},
  booktitle    = {Proceedings of the International Conference on Internet Computing,
                  IC'2000, Las Vegas, Nevada, USA, June 26-29, 2000},
  pages        = {387--394},
  publisher    = {{CSREA} Press},
  year         = {2000},
  timestamp    = {Thu, 09 Oct 2003 13:19:50 +0200},
  biburl       = {https://dblp.org/rec/conf/ic/LeeT00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NadkarniT00,
  author       = {Anshuman S. Nadkarni and
                  Akhilesh Tyagi},
  title        = {A Trace Based Evaluation of Speculative Branch Decoupling},
  booktitle    = {Proceedings of the {IEEE} International Conference On Computer Design:
                  {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas,
                  USA, September 17-20, 2000},
  pages        = {300--307},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ICCD.2000.878300},
  doi          = {10.1109/ICCD.2000.878300},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NadkarniT00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdpta/LeeT00,
  author       = {Gyungho Lee and
                  Akhilesh Tyagi},
  editor       = {Hamid R. Arabnia},
  title        = {Instruction-level Distributed Microarchitecture Based on Data Decoupling},
  booktitle    = {Proceedings of the International Conference on Parallel and Distributed
                  Processing Techniques and Applications, {PDPTA} 2000, June 24-29,
                  2000, Las Vegas, Nevada, {USA}},
  publisher    = {{CSREA} Press},
  year         = {2000},
  timestamp    = {Wed, 14 Sep 2005 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/pdpta/LeeT00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/DeshpandeST99,
  author       = {Deepali Deshpande and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  title        = {Hybrid Data/Configuration Caching for Striped FPGAs},
  booktitle    = {7th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} '99), 21-23 April 1999, Napa, CA, {USA}},
  pages        = {294--295},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/FPGA.1999.803703},
  doi          = {10.1109/FPGA.1999.803703},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/DeshpandeST99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/KimST99,
  author       = {Huesung Kim and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  title        = {On Reconfiguring Cache for Computing},
  booktitle    = {7th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} '99), 21-23 April 1999, Napa, CA, {USA}},
  pages        = {296--297},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/FPGA.1999.803704},
  doi          = {10.1109/FPGA.1999.803704},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/KimST99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/DeshpandeST99,
  author       = {Deepali Deshpande and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  editor       = {Sinan Kaptanoglu and
                  Steve Trimberger},
  title        = {Configuration Caching Vs Data Caching for Striped FPGAs},
  booktitle    = {Proceedings of the 1999 {ACM/SIGDA} Seventh International Symposium
                  on Field Programmable Gate Arrays, {FPGA} 1999, Monterey, CA, USA,
                  February 21-23, 1999},
  pages        = {206--214},
  publisher    = {{ACM}},
  year         = {1999},
  url          = {https://doi.org/10.1145/296399.296461},
  doi          = {10.1145/296399.296461},
  timestamp    = {Tue, 06 Nov 2018 16:58:22 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/DeshpandeST99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TyagiNM99,
  author       = {Akhilesh Tyagi and
                  Hon{-}Chi Ng and
                  Prasant Mohapatra},
  title        = {Dynamic Branch Decoupled Architecture},
  booktitle    = {Proceedings of the {IEEE} International Conference On Computer Design,
                  {VLSI} in Computers and Processors, {ICCD} '99, Austin, Texas, USA,
                  October 10-13, 1999},
  pages        = {442},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICCD.1999.808579},
  doi          = {10.1109/ICCD.1999.808579},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TyagiNM99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KidambiTMB98,
  author       = {M. K. Kidambi and
                  Akhilesh Tyagi and
                  Mohammed R. Madani and
                  Magdy A. Bayoumi},
  title        = {Three-dimensional defect sensitivity modeling for open circuits in
                  {ULSI} structures},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {17},
  number       = {4},
  pages        = {366--371},
  year         = {1998},
  url          = {https://doi.org/10.1109/43.703826},
  doi          = {10.1109/43.703826},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KidambiTMB98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/Tyagi97,
  author       = {Akhilesh Tyagi},
  title        = {Statistical Module Level Area and Delay Estimation},
  journal      = {{VLSI} Design},
  volume       = {5},
  number       = {2},
  pages        = {141--153},
  year         = {1997},
  url          = {https://doi.org/10.1155/1997/78238},
  doi          = {10.1155/1997/78238},
  timestamp    = {Sat, 05 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/Tyagi97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SurtiCT97,
  author       = {Prasoon Surti and
                  Liang{-}Fang Chao and
                  Akhilesh Tyagi},
  title        = {Low power {FSM} design using Huffman-style encoding},
  booktitle    = {European Design and Test Conference, ED{\&}TC '97, Paris, France,
                  17-20 March 1997},
  pages        = {521--525},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/EDTC.1997.582410},
  doi          = {10.1109/EDTC.1997.582410},
  timestamp    = {Fri, 20 May 2022 15:59:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/SurtiCT97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/HoltT97,
  author       = {Glenn Holt and
                  Akhilesh Tyagi},
  editor       = {Andrew B. Kahng and
                  Majid Sarrafzadeh},
  title        = {Minimizing interconnect energy through integrated low-power placement
                  and combinational logic synthesis},
  booktitle    = {Proceedings of the 1997 International Symposium on Physical Design,
                  {ISPD} 1997, Napa Valley, California, USA, April 14-16, 1997},
  pages        = {48--53},
  publisher    = {{ACM}},
  year         = {1997},
  url          = {https://doi.org/10.1145/267665.267679},
  doi          = {10.1145/267665.267679},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/HoltT97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Tyagi96,
  author       = {Akhilesh Tyagi},
  editor       = {Mark Horowitz and
                  Jan M. Rabaey and
                  Brock Barton and
                  Massoud Pedram},
  title        = {Entropic bounds on {FSM} switching},
  booktitle    = {Proceedings of the 1996 International Symposium on Low Power Electronics
                  and Design, 1996, Monterey, California, USA, August 12-14, 1996},
  pages        = {323--328},
  publisher    = {{IEEE}},
  year         = {1996},
  url          = {https://doi.org/10.1109/LPE.1996.547533},
  doi          = {10.1109/LPE.1996.547533},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Tyagi96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HoltT95,
  author       = {Glenn Holt and
                  Akhilesh Tyagi},
  title        = {{EPNR:} an energy-efficient automated layout synthesis package},
  booktitle    = {1995 International Conference on Computer Design {(ICCD} '95), {VLSI}
                  in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings},
  pages        = {224--229},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/ICCD.1995.528814},
  doi          = {10.1109/ICCD.1995.528814},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HoltT95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VeeramachaneniTR95,
  author       = {Vamshi Veeramachaneni and
                  Akhilesh Tyagi and
                  Suresh Rajgopal},
  editor       = {Massoud Pedram and
                  Robert W. Brodersen and
                  Kurt Keutzer},
  title        = {Re-encoding for low power state assignment of FSMs},
  booktitle    = {Proceedings of the 1995 International Symposium on Low Power Design
                  1995, Dana Point, California, USA, April 23-26, 1995},
  pages        = {173--178},
  publisher    = {{ACM}},
  year         = {1995},
  url          = {https://doi.org/10.1145/224081.224112},
  doi          = {10.1145/224081.224112},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/VeeramachaneniTR95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/KidambiTMB94,
  author       = {M. K. Kidambi and
                  Akhilesh Tyagi and
                  Mohammed R. Madani and
                  Magdy A. Bayoumi},
  title        = {Parameterized Modeling of Open-Circuit Critical Volume for Three-Dimensional
                  Defects in {VLSI} Processing},
  booktitle    = {Proceedings of the Seventh International Conference on {VLSI} Design,
                  {VLSI} Design 1994, Calcutta, India, January 5-8, 1994},
  pages        = {333--338},
  publisher    = {{IEEE} Computer Society},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICVD.1994.282714},
  doi          = {10.1109/ICVD.1994.282714},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/KidambiTMB94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/Tyagi93,
  author       = {Akhilesh Tyagi},
  title        = {A Reduced-Area Scheme for Carry-Select Adders},
  journal      = {{IEEE} Trans. Computers},
  volume       = {42},
  number       = {10},
  pages        = {1163--1170},
  year         = {1993},
  url          = {https://doi.org/10.1109/12.257703},
  doi          = {10.1109/12.257703},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/Tyagi93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumarBTLK93,
  author       = {H. Kumar and
                  Magdy A. Bayoumi and
                  Akhilesh Tyagi and
                  Nam Ling and
                  R. Kalyan},
  title        = {Parallel Implementation of a Cut and Paste Maze Routing Algorithm},
  booktitle    = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  1993, Chicago, Illinois, USA, May 3-6, 1993},
  pages        = {2035--2038},
  publisher    = {{IEEE}},
  year         = {1993},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KumarBTLK93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/Tyagi93,
  author       = {Akhilesh Tyagi},
  title        = {A Module Generator Development Environment: Area Estimation and Design-Space
                  Exploration Encapsulation},
  booktitle    = {Proceedings of the Sixth International Conference on {VLSI} Design,
                  {VLSI} Design 1993, Bombay, India, January 3-6, 1993},
  pages        = {214--217},
  publisher    = {{IEEE} Computer Society},
  year         = {1993},
  url          = {https://doi.org/10.1109/ICVD.1993.669681},
  doi          = {10.1109/ICVD.1993.669681},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/Tyagi93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tsp/TyagiB92,
  author       = {Akhilesh Tyagi and
                  Magdy A. Bayoumi},
  title        = {Image segmentation on a 2D array by a directed split and merge procedure},
  journal      = {{IEEE} Trans. Signal Process.},
  volume       = {40},
  number       = {11},
  pages        = {2804--2813},
  year         = {1992},
  url          = {https://doi.org/10.1109/78.165668},
  doi          = {10.1109/78.165668},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tsp/TyagiB92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Tyagi92,
  author       = {Akhilesh Tyagi},
  editor       = {Louise Trevillyan and
                  Michael R. Lightner},
  title        = {{VLSI} design parsing (preliminary version)},
  booktitle    = {1992 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of
                  Technical Papers},
  pages        = {30--34},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1992},
  url          = {https://doi.org/10.1109/ICCAD.1992.279402},
  doi          = {10.1109/ICCAD.1992.279402},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Tyagi92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eurodac/RajgopalT91,
  author       = {Suresh Rajgopal and
                  Akhilesh Tyagi},
  editor       = {Tony Ambler and
                  Jochen A. G. Jess and
                  Hugo De Man},
  title        = {On probabilistic switch-level simulation for asynchronous circuits},
  booktitle    = {Proceedings of the conference on European design automation, EURO-DAC'91,
                  Amsterdam, The Netherlands, 1991},
  pages        = {339--343},
  publisher    = {{EEE} Computer Society},
  year         = {1991},
  url          = {http://dl.acm.org/citation.cfm?id=951586},
  timestamp    = {Tue, 17 Nov 2015 16:02:17 +0100},
  biburl       = {https://dblp.org/rec/conf/eurodac/RajgopalT91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eurodac/Tyagi90,
  author       = {Akhilesh Tyagi},
  editor       = {Gordon Adshead and
                  Jochen A. G. Jess},
  title        = {An algebraic model for design space with applications to function
                  module generation},
  booktitle    = {European Design Automation Conference, {EURO-DAC} 1990, Glasgow, Scotland,
                  UK, March 12-15, 1990},
  pages        = {114--118},
  publisher    = {{IEEE} Computer Society},
  year         = {1990},
  url          = {https://doi.org/10.1109/EDAC.1990.136630},
  doi          = {10.1109/EDAC.1990.136630},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/eurodac/Tyagi90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fsttcs/ReifT90,
  author       = {John H. Reif and
                  Akhilesh Tyagi},
  editor       = {Kesav V. Nori and
                  C. E. Veni Madhavan},
  title        = {Efficient Parallel Algorithms for Optical Computing with the {DFT}
                  Primitive},
  booktitle    = {Foundations of Software Technology and Theoretical Computer Science,
                  Tenth Conference, Bangalore, India, December 17-19, 1990, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {472},
  pages        = {149--160},
  publisher    = {Springer},
  year         = {1990},
  url          = {https://doi.org/10.1007/3-540-53487-3\_41},
  doi          = {10.1007/3-540-53487-3\_41},
  timestamp    = {Tue, 14 May 2019 10:00:51 +0200},
  biburl       = {https://dblp.org/rec/conf/fsttcs/ReifT90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Tyagi90,
  author       = {Akhilesh Tyagi},
  title        = {A reduced area scheme for carry-select adders},
  booktitle    = {Proceedings of the 1990 {IEEE} International Conference on Computer
                  Design: {VLSI} in Computers and Processors, {ICCD} 1990, Cambridge,
                  MA, USA, 17-19 September, 1990},
  pages        = {255--258},
  publisher    = {{IEEE} Computer Society},
  year         = {1990},
  url          = {https://doi.org/10.1109/ICCD.1990.130219},
  doi          = {10.1109/ICCD.1990.130219},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Tyagi90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RajanalaT90,
  author       = {Arun Rajanala and
                  Akhilesh Tyagi},
  title        = {An area estimation technique for module generation},
  booktitle    = {Proceedings of the 1990 {IEEE} International Conference on Computer
                  Design: {VLSI} in Computers and Processors, {ICCD} 1990, Cambridge,
                  MA, USA, 17-19 September, 1990},
  pages        = {459--462},
  publisher    = {{IEEE} Computer Society},
  year         = {1990},
  url          = {https://doi.org/10.1109/ICCD.1990.130278},
  doi          = {10.1109/ICCD.1990.130278},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RajanalaT90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/spdp/TyagiR90,
  author       = {Akhilesh Tyagi and
                  John H. Reif},
  title        = {Energy complexity of optical computations},
  booktitle    = {Proceedings of the Second {IEEE} Symposium on Parallel and Distributed
                  Processing, {SPDP} 1990, Dallas, Texas, USA, December 9-13, 1990},
  pages        = {14--21},
  publisher    = {{IEEE} Computer Society},
  year         = {1990},
  url          = {https://doi.org/10.1109/SPDP.1990.143499},
  doi          = {10.1109/SPDP.1990.143499},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/spdp/TyagiR90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fsttcs/Tyagi89,
  author       = {Akhilesh Tyagi},
  editor       = {C. E. Veni Madhavan},
  title        = {Energy-Time Trade-offs in {VLSI} Computation},
  booktitle    = {Foundations of Software Technology and Theoretical Computer Science,
                  Ninth Conference, Bangalore, India, December 19-21, 1989, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {405},
  pages        = {301--311},
  publisher    = {Springer},
  year         = {1989},
  url          = {https://doi.org/10.1007/3-540-52048-1\_52},
  doi          = {10.1007/3-540-52048-1\_52},
  timestamp    = {Tue, 14 May 2019 10:00:51 +0200},
  biburl       = {https://dblp.org/rec/conf/fsttcs/Tyagi89.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics