BibTeX records: Raimund Ubar

download as .bib file

@book{DBLP:books/sp/UbarRJJ24,
  author       = {Raimund Ubar and
                  Jaan Raik and
                  Maksim Jenihhin and
                  Artur Jutman},
  title        = {Structural Decision Diagrams in Digital Test - Theory and Applications},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-44734-1},
  doi          = {10.1007/978-3-031-44734-1},
  isbn         = {978-3-031-44733-4},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/books/sp/UbarRJJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/OyeniranJRU22,
  author       = {Adeboye Stephen Oyeniran and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {High-Level Fault Diagnosis in {RISC} Processors with Implementation-Independent
                  Functional Test},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2022, Nicosia,
                  Cyprus, July 4-6, 2022},
  pages        = {32--37},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISVLSI54635.2022.00019},
  doi          = {10.1109/ISVLSI54635.2022.00019},
  timestamp    = {Tue, 25 Oct 2022 21:20:51 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/OyeniranJRU22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/JenihhinORU21,
  author       = {Maksim Jenihhin and
                  Adeboye Stephen Oyeniran and
                  Jaan Raik and
                  Raimund Ubar},
  editor       = {Francesco Leporati and
                  Salvatore Vitabile and
                  Amund Skavhaug},
  title        = {Implementation-Independent Test Generation for a Large Class of Faults
                  in {RISC} Processor Modules},
  booktitle    = {24th Euromicro Conference on Digital System Design, {DSD} 2021, Virtual
                  Event / Palermo, Sicily, Italy, September 1-3, 2021},
  pages        = {557--561},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DSD53832.2021.00090},
  doi          = {10.1109/DSD53832.2021.00090},
  timestamp    = {Mon, 07 Nov 2022 07:58:07 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/JenihhinORU21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2103-05106,
  author       = {Ahmet Cagri Bagbaba and
                  Maksim Jenihhin and
                  Raimund Ubar and
                  Christian Sauer},
  title        = {Representing Gate-Level {SET} Faults by Multiple {SEU} Faults at {RTL}},
  journal      = {CoRR},
  volume       = {abs/2103.05106},
  year         = {2021},
  url          = {https://arxiv.org/abs/2103.05106},
  eprinttype    = {arXiv},
  eprint       = {2103.05106},
  timestamp    = {Mon, 15 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2103-05106.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/OyeniranUJR20,
  author       = {Adeboye Stephen Oyeniran and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Jaan Raik},
  title        = {High-Level Implementation-Independent Functional Software-Based Self-Test
                  for {RISC} Processors},
  journal      = {J. Electron. Test.},
  volume       = {36},
  number       = {1},
  pages        = {87--103},
  year         = {2020},
  url          = {https://doi.org/10.1007/s10836-020-05856-7},
  doi          = {10.1007/S10836-020-05856-7},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/OyeniranUJR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/JurimagiUJR20,
  author       = {Lembit J{\"{u}}rim{\"{a}}gi and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Jaan Raik},
  title        = {Calculation of probabilistic testability measures for digital circuits
                  with Structurally Synthesized BDDs},
  journal      = {Microprocess. Microsystems},
  volume       = {77},
  pages        = {103117},
  year         = {2020},
  url          = {https://doi.org/10.1016/j.micpro.2020.103117},
  doi          = {10.1016/J.MICPRO.2020.103117},
  timestamp    = {Thu, 08 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mam/JurimagiUJR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/OyeniranUJR20,
  author       = {Adeboye Stephen Oyeniran and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Jaan Raik},
  title        = {Implementation-Independent Functional Test for Transition Delay Faults
                  in Microprocessors},
  booktitle    = {23rd Euromicro Conference on Digital System Design, {DSD} 2020, Kranj,
                  Slovenia, August 26-28, 2020},
  pages        = {646--650},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DSD51259.2020.00105},
  doi          = {10.1109/DSD51259.2020.00105},
  timestamp    = {Wed, 14 Oct 2020 14:37:25 +0200},
  biburl       = {https://dblp.org/rec/conf/dsd/OyeniranUJR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/BagbabaJU020,
  author       = {Ahmet Cagri Bagbaba and
                  Maksim Jenihhin and
                  Raimund Ubar and
                  Christian Sauer},
  title        = {Representing Gate-Level {SET} Faults by Multiple {SEU} Faults at {RTL}},
  booktitle    = {26th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/IOLTS50870.2020.9159715},
  doi          = {10.1109/IOLTS50870.2020.9159715},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/BagbabaJU020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2009-11621,
  author       = {Cemil Cem G{\"{u}}rsoy and
                  Maksim Jenihhin and
                  Adeboye Stephen Oyeniran and
                  Davide Piumatti and
                  Jaan Raik and
                  Matteo Sonza Reorda and
                  Raimund Ubar},
  title        = {New categories of Safe Faults in a processor-based Embedded System},
  journal      = {CoRR},
  volume       = {abs/2009.11621},
  year         = {2020},
  url          = {https://arxiv.org/abs/2009.11621},
  eprinttype    = {arXiv},
  eprint       = {2009.11621},
  timestamp    = {Wed, 30 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2009-11621.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/GursoyJOPRRU19,
  author       = {Cemil Cem G{\"{u}}rsoy and
                  Maksim Jenihhin and
                  Adeboye Stephen Oyeniran and
                  Davide Piumatti and
                  Jaan Raik and
                  Matteo Sonza Reorda and
                  Raimund Ubar},
  title        = {New categories of Safe Faults in a processor-based Embedded System},
  booktitle    = {22nd {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2019, Cluj-Napoca, Romania, April
                  24-26, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/DDECS.2019.8724642},
  doi          = {10.1109/DDECS.2019.8724642},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/GursoyJOPRRU19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/UbarJAJ19,
  author       = {Raimund Ubar and
                  Lembit J{\"{u}}rim{\"{a}}gi and
                  Adeniyi Olanrewaju Adekoya and
                  Maksim Jenihhin},
  title        = {True Path Tracing in Structurally Synthesized BDDs for Testability
                  Analysis of Digital Circuits},
  booktitle    = {22nd Euromicro Conference on Digital System Design, {DSD} 2019, Kallithea,
                  Greece, August 28-30, 2019},
  pages        = {492--499},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/DSD.2019.00077},
  doi          = {10.1109/DSD.2019.00077},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dsd/UbarJAJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/OyeniranUJGR19,
  author       = {Adeboye Stephen Oyeniran and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Cemil Cem G{\"{u}}rsoy and
                  Jaan Raik},
  title        = {High-Level Combined Deterministic and Pseudo-exhuastive Test Generation
                  for {RISC} Processors},
  booktitle    = {24th {IEEE} European Test Symposium, {ETS} 2019, Baden-Baden, Germany,
                  May 27-31, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ETS.2019.8791526},
  doi          = {10.1109/ETS.2019.8791526},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/OyeniranUJGR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/JurimagiUJRDO19,
  author       = {Lembit J{\"{u}}rim{\"{a}}gi and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Sergei Devadze and
                  Adeboye Stephen Oyeniran},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Application Specific True Critical Paths Identification in Sequential
                  Circuits},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {299--304},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854442},
  doi          = {10.1109/IOLTS.2019.8854442},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/JurimagiUJRDO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/OyeniranUJGR19,
  author       = {Adeboye Stephen Oyeniran and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Cemil Cem G{\"{u}}rsoy and
                  Jaan Raik},
  title        = {Mixed-level identification of fault redundancy in microprocessors},
  booktitle    = {{IEEE} Latin American Test Symposium, {LATS} 2019, Santiago, Chile,
                  March 11-13, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/LATW.2019.8704591},
  doi          = {10.1109/LATW.2019.8704591},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/OyeniranUJGR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/meco/JurimagiUV19,
  author       = {Lembit J{\"{u}}rim{\"{a}}gi and
                  Raimund Ubar and
                  Vladimir Viies},
  title        = {Equivalent Transformations of Structurally Synthesized BDDs and Applications},
  booktitle    = {8th Mediterranean Conference on Embedded Computing, {MECO} 2019, Budva,
                  Montenegro, June 10-14, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MECO.2019.8760283},
  doi          = {10.1109/MECO.2019.8760283},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/meco/JurimagiUV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mixdes/OyeniranU19,
  author       = {Adeboye Stephen Oyeniran and
                  Raimund Ubar},
  editor       = {Andrzej Napieralksi},
  title        = {High-Level Functional Test Generation for Microprocessor Modules},
  booktitle    = {26th International Conference on Mixed Design of Integrated Circuits
                  and Systems, {MIXDES} 2019, Rzesz{\'{o}}w, Poland, June 27-29,
                  2019},
  pages        = {356--361},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/MIXDES.2019.8787131},
  doi          = {10.23919/MIXDES.2019.8787131},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mixdes/OyeniranU19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/OyeniranUJR19a,
  author       = {Adeboye Stephen Oyeniran and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Jaan Raik},
  editor       = {Carolina Metzler and
                  Pierre{-}Emmanuel Gaillardon and
                  Giovanni De Micheli and
                  Carlos Silva C{\'{a}}rdenas and
                  Ricardo Reis},
  title        = {On Test Generation for Microprocessors for Extended Class of Functional
                  Faults},
  booktitle    = {VLSI-SoC: New Technology Enabler - 27th {IFIP} {WG} 10.5/IEEE International
                  Conference on Very Large Scale Integration, VLSI-SoC 2019, Cusco,
                  Peru, October 6-9, 2019, Revised and Extended Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {586},
  pages        = {21--44},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-53273-4\_2},
  doi          = {10.1007/978-3-030-53273-4\_2},
  timestamp    = {Mon, 27 Jul 2020 13:06:53 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/OyeniranUJR19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/OyeniranUJR19,
  author       = {Adeboye Stephen Oyeniran and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Jaan Raik},
  title        = {Implementation-Independent Functional Test Generation for {MSC} Microprocessors},
  booktitle    = {27th {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2019, Cuzco, Peru, October 6-9, 2019},
  pages        = {82--87},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VLSI-SoC.2019.8920323},
  doi          = {10.1109/VLSI-SOC.2019.8920323},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/OyeniranUJR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1907-12325,
  author       = {Adeboye Stephen Oyeniran and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Cemil Cem G{\"{u}}rsoy and
                  Jaan Raik},
  title        = {Mixed-level identification of fault redundancy in microprocessors},
  journal      = {CoRR},
  volume       = {abs/1907.12325},
  year         = {2019},
  url          = {http://arxiv.org/abs/1907.12325},
  eprinttype    = {arXiv},
  eprint       = {1907.12325},
  timestamp    = {Thu, 01 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1907-12325.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1908-02986,
  author       = {Adeboye Stephen Oyeniran and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Cemil Cem G{\"{u}}rsoy and
                  Jaan Raik},
  title        = {High-Level Combined Deterministic and Pseudoexhuastive Test Generation
                  for {RISC} Processors},
  journal      = {CoRR},
  volume       = {abs/1908.02986},
  year         = {2019},
  url          = {http://arxiv.org/abs/1908.02986},
  eprinttype    = {arXiv},
  eprint       = {1908.02986},
  timestamp    = {Fri, 09 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1908-02986.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/UbarKJRJ18,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Lembit J{\"{u}}rim{\"{a}}gi},
  title        = {Fast identification of true critical paths in sequential circuits},
  journal      = {Microelectron. Reliab.},
  volume       = {81},
  pages        = {252--261},
  year         = {2018},
  url          = {https://doi.org/10.1016/j.microrel.2017.11.027},
  doi          = {10.1016/J.MICROREL.2017.11.027},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/UbarKJRJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aqtr/OyeniranAU18,
  author       = {Adeboye Stephen Oyeniran and
                  Siavoosh Payandeh Azad and
                  Raimund Ubar},
  title        = {Combined pseudo-exhaustive and deterministic testing of array multipliers},
  booktitle    = {{IEEE} International Conference on Automation, Quality and Testing,
                  Robotics, {AQTR} 2018, Cluj-Napoca, Romania, May 24-26, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/AQTR.2018.8402708},
  doi          = {10.1109/AQTR.2018.8402708},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aqtr/OyeniranAU18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/AzadOU18,
  author       = {Siavoosh Payandeh Azad and
                  Adeboye Stephen Oyeniran and
                  Raimund Ubar},
  title        = {Replication-Based Deterministic Testing of 2-Dimensional Arrays with
                  Highly Interrelated Cells},
  booktitle    = {21st {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2018, Budapest, Hungary, April
                  25-27, 2018},
  pages        = {21--26},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/DDECS.2018.00011},
  doi          = {10.1109/DDECS.2018.00011},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/AzadOU18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OyeniranAU18,
  author       = {Adeboye Stephen Oyeniran and
                  Siavoosh Payandeh Azad and
                  Raimund Ubar},
  title        = {Parallel Pseudo-Exhaustive Testing of Array Multipliers with Data-Controlled
                  Segmentation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8350936},
  doi          = {10.1109/ISCAS.2018.8350936},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OyeniranAU18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/meco/UbarJJROV18,
  author       = {Raimund Ubar and
                  Lembit Jurimagi and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Niyi{-}Leigh Olugbenga and
                  Vladimir Viies},
  title        = {Timing-critical path analysis with structurally synthesized BDDs},
  booktitle    = {7th Mediterranean Conference on Embedded Computing, {MECO} 2018, Budva,
                  Montenegro, June 10-14, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MECO.2018.8406051},
  doi          = {10.1109/MECO.2018.8406051},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/meco/UbarJJROV18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mixdes/KousaarUKDR18,
  author       = {Jaak Kousaar and
                  Raimund Ubar and
                  Sergei Kostin and
                  Sergei Devadze and
                  Jaan Raik},
  title        = {Parallel Critical Path Tracing Fault Simulation in Sequential Circuits},
  booktitle    = {25th International Conference "Mixed Design of Integrated Circuits
                  and System", {MIXDES} 2018, Gdynia, Poland, June 21-23, 2018},
  pages        = {305--310},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/MIXDES.2018.8436880},
  doi          = {10.23919/MIXDES.2018.8436880},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mixdes/KousaarUKDR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/JurimagiUJRDK18,
  author       = {Lembit Jurimagi and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Sergei Devadze and
                  Sergei Kostin},
  title        = {Hierarchical Timing-Critical Paths Analysis in Sequential Circuits},
  booktitle    = {28th International Symposium on Power and Timing Modeling, Optimization
                  and Simulation, {PATMOS} 2018, Platja d'Aro, Spain, July 2-4, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/PATMOS.2018.8464176},
  doi          = {10.1109/PATMOS.2018.8464176},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/JurimagiUJRDK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/UbarJRV17,
  author       = {Raimund Ubar and
                  Lembit J{\"{u}}rim{\"{a}}gi and
                  Jaan Raik and
                  Vladimir Viies},
  title        = {Modeling and simulation of circuits with shared structurally synthesized
                  BDDs},
  journal      = {Microprocess. Microsystems},
  volume       = {48},
  pages        = {56--61},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.micpro.2016.09.006},
  doi          = {10.1016/J.MICPRO.2016.09.006},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/UbarJRV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/AzadNJGOPKRJUH17,
  author       = {Siavoosh Payandeh Azad and
                  Behrad Niazmand and
                  Karl Janson and
                  Nevin George and
                  Stephen Adeboye Oyeniran and
                  Tsotne Putkaradze and
                  Apneet Kaur and
                  Jaan Raik and
                  Gert Jervan and
                  Raimund Ubar and
                  Thomas Hollstein},
  editor       = {Manfred Dietrich and
                  Ondrej Nov{\'{a}}k},
  title        = {From online fault detection to fault management in Network-on-Chips:
                  {A} ground-up approach},
  booktitle    = {20th {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2017, Dresden, Germany, April 19-21,
                  2017},
  pages        = {48--53},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/DDECS.2017.7934565},
  doi          = {10.1109/DDECS.2017.7934565},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/AzadNJGOPKRJUH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/UbarKJR17,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Maksim Jenihhin and
                  Jaan Raik},
  editor       = {Manfred Dietrich and
                  Ondrej Nov{\'{a}}k},
  title        = {A scalable technique to identify true critical paths in sequential
                  circuits},
  booktitle    = {20th {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2017, Dresden, Germany, April 19-21,
                  2017},
  pages        = {152--157},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/DDECS.2017.7934568},
  doi          = {10.1109/DDECS.2017.7934568},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/UbarKJR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/meco/OyeniranJTU17,
  author       = {Adeboye Stephen Oyeniran and
                  Artjom Jasnetski and
                  Anton Tsertov and
                  Raimund Ubar},
  title        = {High-level test data generation for software-based self-test in microprocessors},
  booktitle    = {6th Mediterranean Conference on Embedded Computing, {MECO} 2017, Bar,
                  Montenegro, June 11-15, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/MECO.2017.7977167},
  doi          = {10.1109/MECO.2017.7977167},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/meco/OyeniranJTU17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mixdes/JasnetskiUT17,
  author       = {Artjom Jasnetski and
                  Raimund Ubar and
                  Anton Tsertov},
  title        = {Automated software-based self-test generation for microprocessors},
  booktitle    = {24th International Conference Mixed Design of Integrated Circuits
                  and Systems, {MIXDES} 2017, Bydgoszcz, Poland, June 22-24, 2017},
  pages        = {453--458},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/MIXDES.2017.8005252},
  doi          = {10.23919/MIXDES.2017.8005252},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mixdes/JasnetskiUT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/recosoc/OyeniranUAR17,
  author       = {Stephen Adeboye Oyeniran and
                  Raimund Ubar and
                  Siavoosh Payandeh Azad and
                  Jaan Raik},
  title        = {High-level test generation for processing elements in many-core systems},
  booktitle    = {12th International Symposium on Reconfigurable Communication-centric
                  Systems-on-Chip, ReCoSoC 2017, Madrid, Spain, July 12-14, 2017},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ReCoSoC.2017.8016156},
  doi          = {10.1109/RECOSOC.2017.8016156},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/recosoc/OyeniranUAR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/JenihhinSCTKGVR16,
  author       = {Maksim Jenihhin and
                  Giovanni Squillero and
                  Thiago Santos Copetti and
                  Valentin Tihhomirov and
                  Sergei Kostin and
                  Marco Gaudesi and
                  Fabian Vargas and
                  Jaan Raik and
                  Matteo Sonza Reorda and
                  Leticia Bolzani Poehls and
                  Raimund Ubar and
                  Guilherme Cardoso Medeiros},
  title        = {Identification and Rejuvenation of NBTI-Critical Logic Paths in Nanoscale
                  Circuits},
  journal      = {J. Electron. Test.},
  volume       = {32},
  number       = {3},
  pages        = {273--289},
  year         = {2016},
  url          = {https://doi.org/10.1007/s10836-016-5589-x},
  doi          = {10.1007/S10836-016-5589-X},
  timestamp    = {Fri, 03 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/JenihhinSCTKGVR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aqtr/UbarO16,
  author       = {Raimund Ubar and
                  Stephen Adeboye Oyeniran},
  title        = {Multiple control fault testing in digital systems with high-level
                  decision diagrams},
  booktitle    = {{IEEE} International Conference on Automation, Quality and Testing,
                  Robotics, {AQTR} 2016, Cluj-Napoca, Romania, May 19-21, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.ieeecomputersociety.org/10.1109/AQTR.2016.7501287},
  doi          = {10.1109/AQTR.2016.7501287},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aqtr/UbarO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/PellereyJSRRTU16,
  author       = {Francesco Pellerey and
                  Maksim Jenihhin and
                  Giovanni Squillero and
                  Jaan Raik and
                  Matteo Sonza Reorda and
                  Valentin Tihhomirov and
                  Raimund Ubar},
  title        = {Rejuvenation of NBTI-Impacted Processors Using Evolutionary Generation
                  of Assembler Programs},
  booktitle    = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November
                  21-24, 2016},
  pages        = {304--309},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ATS.2016.57},
  doi          = {10.1109/ATS.2016.57},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/PellereyJSRRTU16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/JasnetskiOTSU16,
  author       = {Artjom Jasnetski and
                  Stephen Adeboye Oyeniran and
                  Anton Tsertov and
                  Mario Sch{\"{o}}lzel and
                  Raimund Ubar},
  title        = {High-level modeling and testing of multiple control faults in digital
                  systems},
  booktitle    = {2016 {IEEE} 19th International Symposium on Design and Diagnostics
                  of Electronic Circuits {\&} Systems (DDECS), Kosice, Slovakia,
                  April 20-22, 2016},
  pages        = {144--149},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/DDECS.2016.7482445},
  doi          = {10.1109/DDECS.2016.7482445},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/JasnetskiOTSU16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ewme/KostinOU16,
  author       = {Sergei Kostin and
                  Elmet Orasson and
                  Raimund Ubar},
  title        = {A tool set for teaching design-for-testability of digital circuits},
  booktitle    = {11th European Workshop on Microelectronics Education, {EWME} 2016,
                  Southampton, UK, May 11-13, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/EWME.2016.7496466},
  doi          = {10.1109/EWME.2016.7496466},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ewme/KostinOU16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/CopettiMPVKJRU16,
  author       = {Thiago Copetti and
                  Guilherme Medeiros Machado and
                  Leticia Bolzani Poehls and
                  Fabian Vargas and
                  Sergei Kostin and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {Gate-level modelling of NBTI-induced delays under process variations},
  booktitle    = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil,
                  April 6-8, 2016},
  pages        = {75--80},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/LATW.2016.7483343},
  doi          = {10.1109/LATW.2016.7483343},
  timestamp    = {Fri, 03 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/CopettiMPVKJRU16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/JasnetskiUT16,
  author       = {Artjom Jasnetski and
                  Raimund Ubar and
                  Anton Tsertov},
  title        = {On automatic software-based self-test program generation based on
                  high-level decision diagrams},
  booktitle    = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil,
                  April 6-8, 2016},
  pages        = {177},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/LATW.2016.7483357},
  doi          = {10.1109/LATW.2016.7483357},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/JasnetskiUT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/norchip/OsimiryUKR16,
  author       = {Emmanuel Ovie Osimiry and
                  Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik},
  title        = {A novel random approach to diagnostic test generation},
  booktitle    = {{IEEE} Nordic Circuits and Systems Conference, {NORCAS} 2016, Copenhagen,
                  Denmark, November 1-2, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/NORCHIP.2016.7792915},
  doi          = {10.1109/NORCHIP.2016.7792915},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/norchip/OsimiryUKR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/GorevUEDRM15,
  author       = {Maksim Gorev and
                  Raimund Ubar and
                  Peeter Ellervee and
                  Sergei Devadze and
                  Jaan Raik and
                  Mart Min},
  title        = {Functional self-test of high-performance pipe-lined signal processing
                  architectures},
  journal      = {Microprocess. Microsystems},
  volume       = {39},
  number       = {8},
  pages        = {909--918},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.micpro.2014.11.002},
  doi          = {10.1016/J.MICPRO.2014.11.002},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/GorevUEDRM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/KousaarUDR15,
  author       = {Jaak Kousaar and
                  Raimund Ubar and
                  Sergei Devadze and
                  Jaan Raik},
  title        = {Transition delay fault simulation with parallel critical path back-tracing
                  and 7-valued algebra},
  journal      = {Microprocess. Microsystems},
  volume       = {39},
  number       = {8},
  pages        = {1130--1138},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.micpro.2015.05.003},
  doi          = {10.1016/J.MICPRO.2015.05.003},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/KousaarUDR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GorevUD15,
  author       = {Maksim Gorev and
                  Raimund Ubar and
                  Sergei Devadze},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Fault simulation with parallel exact critical path tracing in multiple
                  core environment},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {1180--1185},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2757085},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GorevUD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KostinRUJCVP15,
  author       = {Sergei Kostin and
                  Jaan Raik and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Thiago Copetti and
                  Fabian Vargas and
                  Let{\'{\i}}cia Maria Bolzani P{\"{o}}hls},
  editor       = {Zoran Stamenkovic and
                  Witold A. Pleskacz and
                  Jaan Raik and
                  Heinrich Theodor Vierhaus},
  title        = {SPICE-Inspired Fast Gate-Level Computation of NBTI-induced Delays
                  in Nanoscale Logic},
  booktitle    = {18th {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2015, Belgrade, Serbia, April 22-24,
                  2015},
  pages        = {223--228},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/DDECS.2015.53},
  doi          = {10.1109/DDECS.2015.53},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KostinRUJCVP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/JasnetskiRTU15,
  author       = {Artjom Jasnetski and
                  Jaan Raik and
                  Anton Tsertov and
                  Raimund Ubar},
  editor       = {Zoran Stamenkovic and
                  Witold A. Pleskacz and
                  Jaan Raik and
                  Heinrich Theodor Vierhaus},
  title        = {New Fault Models and Self-Test Generation for Microprocessors Using
                  High-Level Decision Diagrams},
  booktitle    = {18th {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2015, Belgrade, Serbia, April 22-24,
                  2015},
  pages        = {251--254},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/DDECS.2015.56},
  doi          = {10.1109/DDECS.2015.56},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/JasnetskiRTU15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/UbarJOJO15,
  author       = {Raimund Ubar and
                  Lembit Jurimagi and
                  Elmet Orasson and
                  Galina Josifovska and
                  Stephen Adeboye Oyeniran},
  title        = {Double Phase Fault Collapsing with Linear Complexity in Digital Circuits},
  booktitle    = {2015 Euromicro Conference on Digital System Design, {DSD} 2015, Madeira,
                  Portugal, August 26-28, 2015},
  pages        = {700--705},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/DSD.2015.43},
  doi          = {10.1109/DSD.2015.43},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/UbarJOJO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/idt/UbarOSV15,
  author       = {Raimund Ubar and
                  Stephen Adeboye Oyeniran and
                  Mario Sch{\"{o}}lzel and
                  Heinrich Theodor Vierhaus},
  title        = {Multiple fault testing in systems-on-chip with high-level decision
                  diagrams},
  booktitle    = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead
                  Sea, Amman, Jordan, December 14-16, 2015},
  pages        = {66--71},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/IDT.2015.7396738},
  doi          = {10.1109/IDT.2015.7396738},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/idt/UbarOSV15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UbarKGD15,
  author       = {Raimund Ubar and
                  Jaak Kousaar and
                  Maksim Gorev and
                  Sergei Devadze},
  title        = {Combinational fault simulation in sequential circuits},
  booktitle    = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2015, Lisbon, Portugal, May 24-27, 2015},
  pages        = {2876--2879},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISCAS.2015.7169287},
  doi          = {10.1109/ISCAS.2015.7169287},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UbarKGD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/KousaarUA15,
  author       = {Jaak Kousaar and
                  Raimund Ubar and
                  Igor Aleksejev},
  title        = {Complex delay fault reasoning with sequential 7-valued algebra},
  booktitle    = {16th Latin-American Test Symposium, {LATS} 2015, Puerto Vallarta,
                  Mexico, March 25-27, 2015},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/LATW.2015.7102403},
  doi          = {10.1109/LATW.2015.7102403},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/latw/KousaarUA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/norchip/UbarJR15,
  author       = {Raimund Ubar and
                  Lembit Jurimagi and
                  Jaan Raik},
  title        = {Shared Structurally Synthesized BDDs for speeding-up parallel pattern
                  simulation in digital circuits},
  booktitle    = {Nordic Circuits and Systems Conference, {NORCAS} 2015: {NORCHIP} {\&}
                  International Symposium on System-on-Chip (SoC), Oslo, Norway, October
                  26-28, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/NORCHIP.2015.7364406},
  doi          = {10.1109/NORCHIP.2015.7364406},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/norchip/UbarJR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/UbarJOR15a,
  author       = {Raimund Ubar and
                  Lembit J{\"{u}}rim{\"{a}}gi and
                  Elmet Orasson and
                  Jaan Raik},
  editor       = {Youngsoo Shin and
                  Chi{-}Ying Tsui and
                  Jae{-}Joon Kim and
                  Kiyoung Choi and
                  Ricardo Reis},
  title        = {Fault Collapsing in Digital Circuits Using Fast Fault Dominance and
                  Equivalence Analysis with SSBDDs},
  booktitle    = {VLSI-SoC: Design for Reliability, Security, and Low Power - 23rd {IFIP}
                  {WG} 10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected
                  Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {483},
  pages        = {23--45},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-46097-0\_2},
  doi          = {10.1007/978-3-319-46097-0\_2},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/UbarJOR15a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/UbarJOR15,
  author       = {Raimund Ubar and
                  Lembit Jurimagi and
                  Elmet Orasson and
                  Jaan Raik},
  title        = {Scalable algorithm for structural fault collapsing in digital circuits},
  booktitle    = {2015 {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015},
  pages        = {171--176},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSI-SoC.2015.7314411},
  doi          = {10.1109/VLSI-SOC.2015.7314411},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/UbarJOR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/JenihhinTTRHUBEW14,
  author       = {Maksim Jenihhin and
                  Anton Tsepurov and
                  Valentin Tihhomirov and
                  Jaan Raik and
                  Hanno Hantson and
                  Raimund Ubar and
                  Gunter Bartsch and
                  Jorge Hern{\'{a}}n Meza Escobar and
                  Heinz{-}Dietrich Wuttke},
  title        = {Automated Design Error Localization in {RTL} Designs},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {1},
  pages        = {83--92},
  year         = {2014},
  url          = {https://doi.org/10.1109/MDAT.2013.2271420},
  doi          = {10.1109/MDAT.2013.2271420},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/JenihhinTTRHUBEW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/UbarM14,
  author       = {Raimund Ubar and
                  Dmitri Mironov},
  title        = {Lower bounds of the size of Shared Structurally Synthesized BDDs},
  booktitle    = {17th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2014, Warsaw, Poland, 23-25 April,
                  2014},
  pages        = {77--82},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/DDECS.2014.6868767},
  doi          = {10.1109/DDECS.2014.6868767},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/UbarM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/KousaarUDR14,
  author       = {Jaak Kousaar and
                  Raimund Ubar and
                  Sergei Devadze and
                  Jaan Raik},
  title        = {Critical Path Tracing Based Simulation of Transition Delay Faults},
  booktitle    = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona,
                  Italy, August 27-29, 2014},
  pages        = {108--113},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/DSD.2014.17},
  doi          = {10.1109/DSD.2014.17},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/KousaarUDR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/MironovUR14,
  author       = {Dmitri Mironov and
                  Raimund Ubar and
                  Jaan Raik},
  editor       = {Giorgio Di Natale},
  title        = {Logic simulation and fault collapsing with shared structurally synthesized
                  bdds},
  booktitle    = {19th {IEEE} European Test Symposium, {ETS} 2014, Paderborn, Germany,
                  May 26-30, 2014},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ETS.2014.6847825},
  doi          = {10.1109/ETS.2014.6847825},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/MironovUR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/evoW/GaudesiJRSSTU14,
  author       = {Marco Gaudesi and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Ernesto S{\'{a}}nchez and
                  Giovanni Squillero and
                  Valentin Tihhomirov and
                  Raimund Ubar},
  editor       = {Anna Isabel Esparcia{-}Alc{\'{a}}zar and
                  Antonio Miguel Mora},
  title        = {Diagnostic Test Generation for Statistical Bug Localization Using
                  Evolutionary Computation},
  booktitle    = {Applications of Evolutionary Computation - 17th European Conference,
                  EvoApplications 2014, Granada, Spain, April 23-25, 2014, Revised Selected
                  Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {8602},
  pages        = {425--436},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-662-45523-4\_35},
  doi          = {10.1007/978-3-662-45523-4\_35},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/evoW/GaudesiJRSSTU14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ewme/JasnetskiUTK14,
  author       = {Artjom Jasnetski and
                  Raimund Ubar and
                  Anton Tsertov and
                  Helena Kruus},
  title        = {Laboratory framework {TEAM} for investigating the dependability issues
                  of microprocessor systems},
  booktitle    = {10th European Workshop on Microelectronics Education (EWME), Tallinn,
                  Estonia, May 14-16, 2014},
  pages        = {80--83},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/EWME.2014.6877400},
  doi          = {10.1109/EWME.2014.6877400},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ewme/JasnetskiUTK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ewme/VierhausSRU14,
  author       = {Heinrich Theodor Vierhaus and
                  Mario Sch{\"{o}}lzel and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {Advanced technical education in the age of cyber physical systems},
  booktitle    = {10th European Workshop on Microelectronics Education (EWME), Tallinn,
                  Estonia, May 14-16, 2014},
  pages        = {193--198},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/EWME.2014.6877424},
  doi          = {10.1109/EWME.2014.6877424},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ewme/VierhausSRU14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/idt/UbarMMV14,
  author       = {Raimund Ubar and
                  Mihhail Marenkov and
                  Dmitri Mironov and
                  Vladimir Viies},
  title        = {Modeling sequential circuits with shared structurally synthesized
                  BDDs},
  booktitle    = {9th International Design and Test Symposium, {IDT} 2014, Algeries,
                  Algeria, December 16-18, 2014},
  pages        = {130--135},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IDT.2014.7038600},
  doi          = {10.1109/IDT.2014.7038600},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/idt/UbarMMV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/KostinRUJVPC14,
  author       = {Sergei Kostin and
                  Jaan Raik and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Fabian Vargas and
                  Let{\'{\i}}cia Maria Bolzani Poehls and
                  Thiago Santos Copetti},
  title        = {Hierarchical identification of NBTI-critical gates in nanoscale logic},
  booktitle    = {15th Latin American Test Workshop - {LATW} 2014, Fortaleza, Brazil,
                  March 12-15, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/LATW.2014.6841926},
  doi          = {10.1109/LATW.2014.6841926},
  timestamp    = {Fri, 03 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/KostinRUJVPC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/UbarTJB14,
  author       = {Raimund Ubar and
                  Anton Tsertov and
                  Artjom Jasnetski and
                  Marina Brik},
  title        = {Software-based self-test generation for microprocessors with high-level
                  decision diagrams},
  booktitle    = {15th Latin American Test Workshop - {LATW} 2014, Fortaleza, Brazil,
                  March 12-15, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/LATW.2014.6841923},
  doi          = {10.1109/LATW.2014.6841923},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/UbarTJB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/RaikRCHUJ13,
  author       = {Jaan Raik and
                  Urmas Repinski and
                  Anton Chepurov and
                  Hanno Hantson and
                  Raimund Ubar and
                  Maksim Jenihhin},
  title        = {Automated design error debug using high-level decision diagrams and
                  mutation operators},
  journal      = {Microprocess. Microsystems},
  volume       = {37},
  number       = {4-5},
  pages        = {505--513},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.micpro.2012.11.004},
  doi          = {10.1016/J.MICPRO.2012.11.004},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/RaikRCHUJ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbe/FridolinKKU13,
  author       = {Ivo Fridolin and
                  Deniss Karai and
                  Sergei Kostin and
                  Raimund Ubar},
  title        = {Accurate Dialysis Dose Evaluation and Extrapolation Algorithms During
                  Online Optical Dialysis Monitoring},
  journal      = {{IEEE} Trans. Biomed. Eng.},
  volume       = {60},
  number       = {5},
  pages        = {1371--1377},
  year         = {2013},
  url          = {https://doi.org/10.1109/TBME.2012.2234458},
  doi          = {10.1109/TBME.2012.2234458},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tbe/FridolinKKU13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/UbarVJRKP13,
  author       = {Raimund Ubar and
                  Fabian Vargas and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Sergei Kostin and
                  Let{\'{\i}}cia Maria Bolzani Poehls},
  title        = {Identifying NBTI-Critical Paths in Nanoscale Logic},
  booktitle    = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los
                  Alamitos, CA, USA, September 4-6, 2013},
  pages        = {136--141},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/DSD.2013.23},
  doi          = {10.1109/DSD.2013.23},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/UbarVJRKP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dtis/UbarKR13,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik},
  title        = {Synthesis of multiple fault oriented test groups from single fault
                  test sets},
  booktitle    = {Proceedings of the 8th International Conference on Design {\&}
                  Technology of Integrated Systems in Nanoscale Era, {DTIS} 2013, 26-28
                  March, 2013, Abu Dhabi, {UAE}},
  pages        = {98--103},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/DTIS.2013.6527786},
  doi          = {10.1109/DTIS.2013.6527786},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dtis/UbarKR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/TihhomirovTJRU13,
  author       = {Valentin Tihhomirov and
                  Anton Tsepurov and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {Assessment of diagnostic test for automated bug localization},
  booktitle    = {14th Latin American Test Workshop, {LATW} 2013, Cordoba, Argentina,
                  3-5 April, 2013},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/LATW.2013.6562665},
  doi          = {10.1109/LATW.2013.6562665},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/latw/TihhomirovTJRU13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/Ubar13,
  author       = {Raimund Ubar},
  title        = {Diagnostic modeling of digital systems with low- and high-level decision
                  diagrams},
  booktitle    = {14th Latin American Test Workshop, {LATW} 2013, Cordoba, Argentina,
                  3-5 April, 2013},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/LATW.2013.6562656},
  doi          = {10.1109/LATW.2013.6562656},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/latw/Ubar13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/norchip/GorevUEDRM13,
  author       = {Maksim Gorev and
                  Raimund Ubar and
                  Peeter Ellervee and
                  Sergei Devadze and
                  Jaan Raik and
                  Mart Min},
  title        = {At-speed self-testing of high-performance pipe-lined processing architectures},
  booktitle    = {2013 NORCHIP, Vilnius, Lithuania, November 11-12, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/NORCHIP.2013.6702000},
  doi          = {10.1109/NORCHIP.2013.6702000},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/norchip/GorevUEDRM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/GuarnieriGBPFHRJU12,
  author       = {Valerio Guarnieri and
                  Giuseppe Di Guglielmo and
                  Nicola Bombieri and
                  Graziano Pravadelli and
                  Franco Fummi and
                  Hanno Hantson and
                  Jaan Raik and
                  Maksim Jenihhin and
                  Raimund Ubar},
  title        = {On the Reuse of {TLM} Mutation Analysis at {RTL}},
  journal      = {J. Electron. Test.},
  volume       = {28},
  number       = {4},
  pages        = {435--448},
  year         = {2012},
  url          = {https://doi.org/10.1007/s10836-012-5303-6},
  doi          = {10.1007/S10836-012-5303-6},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/GuarnieriGBPFHRJU12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/ViilukasKRJUF12,
  author       = {Taavi Viilukas and
                  Anton Karputkin and
                  Jaan Raik and
                  Maksim Jenihhin and
                  Raimund Ubar and
                  Hideo Fujiwara},
  title        = {Identifying Untestable Faults in Sequential Circuits Using Test Path
                  Constraints},
  journal      = {J. Electron. Test.},
  volume       = {28},
  number       = {4},
  pages        = {511--521},
  year         = {2012},
  url          = {https://doi.org/10.1007/s10836-012-5312-5},
  doi          = {10.1007/S10836-012-5312-5},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/ViilukasKRJUF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/UbarKR12,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Multiple stuck-at-fault detection theorem},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {236--241},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219064},
  doi          = {10.1109/DDECS.2012.6219064},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/UbarKR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/UbarKR12,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik},
  title        = {How to Prove that a Circuit is Fault-Free?},
  booktitle    = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme,
                  Izmir, Turkey, September 5-8, 2012},
  pages        = {427--430},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/DSD.2012.75},
  doi          = {10.1109/DSD.2012.75},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/UbarKR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/RepinskiHJRUGPF12,
  author       = {Urmas Repinski and
                  Hanno Hantson and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Raimund Ubar and
                  Giuseppe Di Guglielmo and
                  Graziano Pravadelli and
                  Franco Fummi},
  title        = {Combining dynamic slicing and mutation operators for {ESL} correction},
  booktitle    = {17th {IEEE} European Test Symposium, {ETS} 2012, Annecy, France, May
                  28 - June 1 2012},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ETS.2012.6233020},
  doi          = {10.1109/ETS.2012.6233020},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/RepinskiHJRUGPF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KarputkinUTR12,
  author       = {Anton Karputkin and
                  Raimund Ubar and
                  Mati Tombak and
                  Jaan Raik},
  editor       = {Keith A. Bowman and
                  Kamesh V. Gadepally and
                  Pallab Chatterjee and
                  Mark M. Budnik and
                  Lalitha Immaneni},
  title        = {Automated correction of design errors by edge redirection on High-Level
                  Decision Diagrams},
  booktitle    = {Thirteenth International Symposium on Quality Electronic Design, {ISQED}
                  2012, Santa Clara, CA, USA, March 19-21, 2012},
  pages        = {686--693},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISQED.2012.6187566},
  doi          = {10.1109/ISQED.2012.6187566},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KarputkinUTR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/HantsonRRJU12,
  author       = {Hanno Hantson and
                  Urmas Repinski and
                  Jaan Raik and
                  Maksim Jenihhin and
                  Raimund Ubar},
  title        = {Diagnosis and correction of multiple design errors using critical
                  path tracing and mutation analysis},
  booktitle    = {13th Latin American Test Workshop, {LATW} 2012, Quito, Ecuador, April
                  10-13, 2012},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/LATW.2012.6261234},
  doi          = {10.1109/LATW.2012.6261234},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/latw/HantsonRRJU12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/UbarKR12,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik},
  title        = {About robustness of test patterns regarding multiple faults},
  booktitle    = {13th Latin American Test Workshop, {LATW} 2012, Quito, Ecuador, April
                  10-13, 2012},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/LATW.2012.6261243},
  doi          = {10.1109/LATW.2012.6261243},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/latw/UbarKR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/norchip/UbarIKEO12,
  author       = {Raimund Ubar and
                  Viljar Indus and
                  Oliver Kalmend and
                  Teet Evartson and
                  Elmet Orasson},
  title        = {Functional Built-In Self-Test for processor cores in SoC},
  booktitle    = {{NORCHIP} 2012, Copenhagen, Denmark, November 12-13, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/NORCHP.2012.6403148},
  doi          = {10.1109/NORCHP.2012.6403148},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/norchip/UbarIKEO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ddecs/2012,
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6213418/proceeding},
  isbn         = {978-1-4673-1187-8},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/2012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/scpe/IvaskDU11,
  author       = {Eero Ivask and
                  Sergei Devadze and
                  Raimund Ubar},
  title        = {Distributed Fault Simulation with Collaborative Load Balancing for
                  {VLSI} Circuits},
  journal      = {Scalable Comput. Pract. Exp.},
  volume       = {12},
  number       = {1},
  year         = {2011},
  url          = {http://www.scpe.org/index.php/scpe/article/view/694},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/scpe/IvaskDU11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/TsertovUJD11,
  author       = {Anton Tsertov and
                  Raimund Ubar and
                  Artur Jutman and
                  Sergei Devadze},
  title        = {Automatic SoC Level Test Path Synthesis Based on Partial Functional
                  Models},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {532--538},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.79},
  doi          = {10.1109/ATS.2011.79},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/TsertovUJD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KostinUR11,
  author       = {Sergei Kostin and
                  Raimund Ubar and
                  Jaan Raik},
  editor       = {Rolf Kraemer and
                  Adam Pawlak and
                  Andreas Steininger and
                  Mario Sch{\"{o}}lzel and
                  Jaan Raik and
                  Heinrich Theodor Vierhaus},
  title        = {Defect-oriented module-level fault diagnosis in digital circuits},
  booktitle    = {14th {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2011, Cottbus, Germany, April 13-15,
                  2011},
  pages        = {81--86},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/DDECS.2011.5783053},
  doi          = {10.1109/DDECS.2011.5783053},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KostinUR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KarputkinUTR11,
  author       = {Anton Karputkin and
                  Raimund Ubar and
                  Mati Tombak and
                  Jaan Raik},
  editor       = {Rolf Kraemer and
                  Adam Pawlak and
                  Andreas Steininger and
                  Mario Sch{\"{o}}lzel and
                  Jaan Raik and
                  Heinrich Theodor Vierhaus},
  title        = {Probabilistic equivalence checking based on high-level decision diagrams},
  booktitle    = {14th {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2011, Cottbus, Germany, April 13-15,
                  2011},
  pages        = {423--428},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/DDECS.2011.5783130},
  doi          = {10.1109/DDECS.2011.5783130},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KarputkinUTR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ReinsaluRUE11,
  author       = {Uljana Reinsalu and
                  Jaan Raik and
                  Raimund Ubar and
                  Peeter Ellervee},
  title        = {Fast {RTL} Fault Simulation Using Decision Diagrams and Bitwise Set
                  Operations},
  booktitle    = {2011 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFT} 2011, Vancouver, BC, Canada,
                  October 3-5, 2011},
  pages        = {164--170},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/DFT.2011.42},
  doi          = {10.1109/DFT.2011.42},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/ReinsaluRUE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/TsertovUJD11,
  author       = {Anton Tsertov and
                  Raimund Ubar and
                  Artur Jutman and
                  Sergei Devadze},
  title        = {SoC and Board Modeling for Processor-Centric Board Testing},
  booktitle    = {14th Euromicro Conference on Digital System Design, Architectures,
                  Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu,
                  Finland},
  pages        = {575--582},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/DSD.2011.79},
  doi          = {10.1109/DSD.2011.79},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/TsertovUJD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/RaikRJVUF11,
  author       = {Jaan Raik and
                  Anna Rannaste and
                  Maksim Jenihhin and
                  Taavi Viilukas and
                  Raimund Ubar and
                  Hideo Fujiwara},
  title        = {Constraint-Based Hierarchical Untestability Identification for Synchronous
                  Sequential Circuits},
  booktitle    = {16th European Test Symposium, {ETS} 2011, Trondheim, Norway, May 23-27,
                  2011},
  pages        = {147--152},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ETS.2011.38},
  doi          = {10.1109/ETS.2011.38},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/RaikRJVUF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ewdts/ViilukasJRUB11,
  author       = {Taavi Viilukas and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Raimund Ubar and
                  Samary Baranov},
  editor       = {Vladimir Hahanov and
                  Yervant Zorian},
  title        = {Automated test bench generation for high-level synthesis flow {ABELITE}},
  booktitle    = {9th East-West Design {\&} Test Symposium, {EWDTS} 2011, Sevastopol,
                  Ukraine, September 9-12, 2011},
  pages        = {13--16},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/EWDTS.2011.6116601},
  doi          = {10.1109/EWDTS.2011.6116601},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ewdts/ViilukasJRUB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/KarputkinUTR11,
  author       = {Anton Karputkin and
                  Raimund Ubar and
                  Mati Tombak and
                  Jaan Raik},
  editor       = {Zeljko Zilic and
                  Sandeep K. Shukla},
  title        = {Interactive presentation abstract: Automated correction of design
                  errors by edge redirection on high-level decision diagrams},
  booktitle    = {2011 {IEEE} International High Level Design Validation and Test Workshop,
                  {HLDVT} 2011, Napa Valley, CA, USA, November 9-11, 2011},
  pages        = {83},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/HLDVT.2011.6113980},
  doi          = {10.1109/HLDVT.2011.6113980},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/KarputkinUTR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/GuarnieriBPFHRJ11,
  author       = {Valerio Guarnieri and
                  Nicola Bombieri and
                  Graziano Pravadelli and
                  Franco Fummi and
                  Hanno Hantson and
                  Jaan Raik and
                  Maksim Jenihhin and
                  Raimund Ubar},
  title        = {Mutation analysis for SystemC designs at {TLM}},
  booktitle    = {12th Latin American Test Workshop, {LATW} 2011, Beach of Porto de
                  Galinhas, Brazil, March 27-30, 2011},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/LATW.2011.5985925},
  doi          = {10.1109/LATW.2011.5985925},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/GuarnieriBPFHRJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/UbarDRJ10,
  author       = {Raimund Ubar and
                  Sergei Devadze and
                  Jaan Raik and
                  Artur Jutman},
  editor       = {Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller and
                  Enrico Macii},
  title        = {Parallel X-fault simulation with critical path tracing technique},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany,
                  March 8-12, 2010},
  pages        = {879--884},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DATE.2010.5456929},
  doi          = {10.1109/DATE.2010.5456929},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/UbarDRJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/ViilukasRJUK10,
  author       = {Taavi Viilukas and
                  Jaan Raik and
                  Maksim Jenihhin and
                  Raimund Ubar and
                  Anna Krivenko},
  editor       = {Elena Gramatov{\'{a}} and
                  Zdenek Kot{\'{a}}sek and
                  Andreas Steininger and
                  Heinrich Theodor Vierhaus and
                  Horst Zimmermann},
  title        = {Constraint-based test pattern generation at the Register-Transfer
                  Level},
  booktitle    = {13th {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits and Systems, {DDECS} 2010, Vienna, Austria, April 14-16,
                  2010},
  pages        = {352--357},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DDECS.2010.5491752},
  doi          = {10.1109/DDECS.2010.5491752},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/ViilukasRJUK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/delta/UbarDRJ10,
  author       = {Raimund Ubar and
                  Sergei Devadze and
                  Jaan Raik and
                  Artur Jutman},
  title        = {Fast Fault Simulation for Extended Class of Faults in Scan Path Circuits},
  booktitle    = {Fifth {IEEE} International Symposium on Electronic Design, Test {\&}
                  Applications, {DELTA} 2010, Ho Chi Minh City, Vietnam, January 13-15,
                  2010},
  pages        = {14--19},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DELTA.2010.32},
  doi          = {10.1109/DELTA.2010.32},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/delta/UbarDRJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/MironovUDRJ10,
  author       = {Dmitri Mironov and
                  Raimund Ubar and
                  Sergei Devadze and
                  Jaan Raik and
                  Artur Jutman},
  editor       = {Sebasti{\'{a}}n L{\'{o}}pez},
  title        = {Structurally Synthesized Multiple Input BDDs for Speeding Up Logic-Level
                  Simulation of Digital Circuits},
  booktitle    = {13th Euromicro Conference on Digital System Design, Architectures,
                  Methods and Tools, {DSD} 2010, 1-3 September 2010, Lille, France},
  pages        = {658--663},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DSD.2010.27},
  doi          = {10.1109/DSD.2010.27},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/MironovUDRJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ewdts/JenihhinRUS10,
  author       = {Maksim Jenihhin and
                  Jaan Raik and
                  Raimund Ubar and
                  Tatjana Shchenova},
  title        = {An approach for {PSL} assertion coverage analysis with high-level
                  decision diagrams},
  booktitle    = {2010 East-West Design {\&} Test Symposium, {EWDTS} 2010, St. Petersburg,
                  Russia, September 17-20, 2010},
  pages        = {13--16},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/EWDTS.2010.5742048},
  doi          = {10.1109/EWDTS.2010.5742048},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ewdts/JenihhinRUS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/idc/IvaskDU10,
  author       = {Eero Ivask and
                  Sergei Devadze and
                  Raimund Ubar},
  editor       = {Mohammed Essaaidi and
                  Michele Malgeri and
                  Costin Badica},
  title        = {Collaborative Distributed Fault Simulation for Digital Electronic
                  Circuits},
  booktitle    = {Intelligent Distributed Computing {IV} - Proceedings of the 4th International
                  Symposium on Intelligent Distributed Computing - {IDC} 2010, Tangier,
                  Morocco, September 2010},
  volume       = {315},
  pages        = {67--76},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-15211-5\_8},
  doi          = {10.1007/978-3-642-15211-5\_8},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/idc/IvaskDU10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip5-3/IvaskDU10,
  author       = {Eero Ivask and
                  Sergei Devadze and
                  Raimund Ubar},
  editor       = {{\'{A}}ngel Ortiz Bas and
                  Rub{\'{e}}n Dar{\'{\i}}o Franco and
                  Pedro G{\'{o}}mez{-}Gasquet},
  title        = {Collaborative Distributed Computing in the Field of Digital Electronics
                  Testing},
  booktitle    = {Balanced Automation Systems for Future Manufacturing Networks - 9th
                  {IFIP} {WG} 5.5 International Conference, {BASYS} 2010, Valencia,
                  Spain, July 21-23, 2010. Proceedings},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {322},
  pages        = {145--152},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-14341-0\_17},
  doi          = {10.1007/978-3-642-14341-0\_17},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ifip5-3/IvaskDU10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UbarMRJ10,
  author       = {Raimund Ubar and
                  Dmitri Mironov and
                  Jaan Raik and
                  Artur Jutman},
  title        = {Fault collapsing with linear complexity in digital circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {653--656},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537504},
  doi          = {10.1109/ISCAS.2010.5537504},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UbarMRJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ism/WuttkeUH10,
  author       = {Heinz{-}Dietrich Wuttke and
                  Raimund Ubar and
                  Karsten Henke},
  title        = {Remote and Virtual Laboratories in Problem-Based Learning Scenarios},
  booktitle    = {12th {IEEE} International Symposium on Multimedia, {ISM} 2010, Taichung,
                  Taiwan, December 13-15, 2010},
  pages        = {377--382},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISM.2010.63},
  doi          = {10.1109/ISM.2010.63},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ism/WuttkeUH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/UbarMRJ10,
  author       = {Raimund Ubar and
                  Dmitri Mironov and
                  Jaan Raik and
                  Artur Jutman},
  title        = {Structural fault collapsing by superposition of BDDs for test generation
                  in digital circuits},
  booktitle    = {11th International Symposium on Quality of Electronic Design {(ISQED}
                  2010), 22-24 March 2010, San Jose, CA, {USA}},
  pages        = {250--257},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISQED.2010.5450451},
  doi          = {10.1109/ISQED.2010.5450451},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/UbarMRJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/HantsonRJCUGF10,
  author       = {Hanno Hantson and
                  Jaan Raik and
                  Maksim Jenihhin and
                  Anton Chepurov and
                  Raimund Ubar and
                  Giuseppe Di Guglielmo and
                  Franco Fummi},
  title        = {Mutation analysis with high-level decision diagrams},
  booktitle    = {11th Latin American Test Workshop, {LATW} 2010, Punta del Este, Uruguay,
                  March 28-30, 2010},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/LATW.2010.5550336},
  doi          = {10.1109/LATW.2010.5550336},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/HantsonRJCUGF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1008-0063,
  author       = {Yuriy A. Skobtsov and
                  D. E. Ivanov and
                  V. Y. Skobtsov and
                  Raimund Ubar and
                  Jaan Raik},
  title        = {Evolutionary Approach to Test Generation for Functional {BIST}},
  journal      = {CoRR},
  volume       = {abs/1008.0063},
  year         = {2010},
  url          = {http://arxiv.org/abs/1008.0063},
  eprinttype    = {arXiv},
  eprint       = {1008.0063},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1008-0063.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/JenihhinRCU09,
  author       = {Maksim Jenihhin and
                  Jaan Raik and
                  Anton Chepurov and
                  Raimund Ubar},
  title        = {{PSL} Assertion Checking Using Temporally Extended High-Level Decision
                  Diagrams},
  journal      = {J. Electron. Test.},
  volume       = {25},
  number       = {6},
  pages        = {289--300},
  year         = {2009},
  url          = {https://doi.org/10.1007/s10836-009-5116-4},
  doi          = {10.1007/S10836-009-5116-4},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/JenihhinRCU09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RaikGU09,
  author       = {Jaan Raik and
                  Vineeth Govind and
                  Raimund Ubar},
  title        = {Design-for-testability-based external test and diagnosis of mesh-like
                  network-on-a-chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {476--486},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0096},
  doi          = {10.1049/IET-CDT.2008.0096},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RaikGU09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/UbarKR09,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik},
  editor       = {Antonio N{\'{u}}{\~{n}}ez and
                  Pedro P. Carballo},
  title        = {Block-Level Fault Model-Free Debug and Diagnosis in Digital Systems},
  booktitle    = {12th Euromicro Conference on Digital System Design, Architectures,
                  Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece},
  pages        = {229--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/DSD.2009.143},
  doi          = {10.1109/DSD.2009.143},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/UbarKR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/UbarMRJ09,
  author       = {Raimund Ubar and
                  Dmitri Mironov and
                  Jaan Raik and
                  Artur Jutman},
  title        = {Structurally synthesized multiple input BDDs for simulation of digital
                  circuits},
  booktitle    = {16th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2009, Yasmine Hammamet, Tunisia, 13-19 December,
                  2009},
  pages        = {451--454},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICECS.2009.5410895},
  doi          = {10.1109/ICECS.2009.5410895},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/UbarMRJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DevadzeJAU09,
  author       = {Sergei Devadze and
                  Artur Jutman and
                  Igor Aleksejev and
                  Raimund Ubar},
  editor       = {Gordon W. Roberts and
                  Bill Eklow},
  title        = {Fast extended test access via {JTAG} and FPGAs},
  booktitle    = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX,
                  USA, November 1-6, 2009},
  pages        = {1--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/TEST.2009.5355668},
  doi          = {10.1109/TEST.2009.5355668},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DevadzeJAU09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/DevadzeJAU09,
  author       = {Sergei Devadze and
                  Artur Jutman and
                  Igor Aleksejev and
                  Raimund Ubar},
  title        = {Turning {JTAG} inside out for fast extended test access},
  booktitle    = {10th Latin American Test Workshop, {LATW} 2009, Rio de Janeiro, Brazil,
                  March 2-5, 2009},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/LATW.2009.4813799},
  doi          = {10.1109/LATW.2009.4813799},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/DevadzeJAU09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/JenihhinRCRU09,
  author       = {Maksim Jenihhin and
                  Jaan Raik and
                  Anton Chepurov and
                  Uljana Reinsalu and
                  Raimund Ubar},
  title        = {High-Level Decision Diagrams based coverage metrics for verification
                  and test},
  booktitle    = {10th Latin American Test Workshop, {LATW} 2009, Rio de Janeiro, Brazil,
                  March 2-5, 2009},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/LATW.2009.4813792},
  doi          = {10.1109/LATW.2009.4813792},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/JenihhinRCRU09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/UbarKR09,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik},
  title        = {Investigations of the diagnosibility of digital networks with {BIST}},
  booktitle    = {10th Latin American Test Workshop, {LATW} 2009, Rio de Janeiro, Brazil,
                  March 2-5, 2009},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/LATW.2009.4813806},
  doi          = {10.1109/LATW.2009.4813806},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/UbarKR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mse/UbarJRKW09,
  author       = {Raimund Ubar and
                  Artur Jutman and
                  Jaan Raik and
                  Sergei Kostin and
                  Heinz{-}Dietrich Wuttke},
  title        = {Diagnozer: {A} laboratory tool for teaching research in diagnosis
                  of electronic systems},
  booktitle    = {{IEEE} International Conference on Microelectronic Systems Education,
                  {MSE} '09, San Francisco, CA, USA, July 25-27, 2009},
  pages        = {12--15},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/MSE.2009.5270842},
  doi          = {10.1109/MSE.2009.5270842},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mse/UbarJRKW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BengtssonKUJP08,
  author       = {Tomas Bengtsson and
                  Shashi Kumar and
                  Raimund Ubar and
                  Artur Jutman and
                  Zebo Peng},
  title        = {Test methods for crosstalk-induced delay and glitch faults in network-on-chip
                  interconnects implementing asynchronous communication protocols},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {6},
  pages        = {445--460},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070048},
  doi          = {10.1049/IET-CDT:20070048},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BengtssonKUJP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/RaikUVJ08,
  author       = {Jaan Raik and
                  Raimund Ubar and
                  Taavi Viilukas and
                  Maksim Jenihhin},
  title        = {Mixed hierarchical-functional fault models for targeting sequential
                  cores},
  journal      = {J. Syst. Archit.},
  volume       = {54},
  number       = {3-4},
  pages        = {465--477},
  year         = {2008},
  url          = {https://doi.org/10.1016/j.sysarc.2007.07.003},
  doi          = {10.1016/J.SYSARC.2007.07.003},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jsa/RaikUVJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/JervanOKU08,
  author       = {Gert Jervan and
                  Elmet Orasson and
                  Helena Kruus and
                  Raimund Ubar},
  title        = {Hybrid {BIST} optimization using reseeding and test set compaction},
  journal      = {Microprocess. Microsystems},
  volume       = {32},
  number       = {5-6},
  pages        = {254--262},
  year         = {2008},
  url          = {https://doi.org/10.1016/j.micpro.2008.03.007},
  doi          = {10.1016/J.MICPRO.2008.03.007},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/JervanOKU08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/UbarKR08,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik},
  title        = {Embedded fault diagnosis in digital systems with {BIST}},
  journal      = {Microprocess. Microsystems},
  volume       = {32},
  number       = {5-6},
  pages        = {279--287},
  year         = {2008},
  url          = {https://doi.org/10.1016/j.micpro.2008.03.006},
  doi          = {10.1016/J.MICPRO.2008.03.006},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/UbarKR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/UbarDRJ08,
  author       = {Raimund Ubar and
                  Sergei Devadze and
                  Jaan Raik and
                  Artur Jutman},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {Parallel fault backtracing for calculation of fault coverage},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {667--672},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4484035},
  doi          = {10.1109/ASPDAC.2008.4484035},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/UbarDRJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/RaikFUK08,
  author       = {Jaan Raik and
                  Hideo Fujiwara and
                  Raimund Ubar and
                  Anna Krivenko},
  title        = {Untestable Fault Identification in Sequential Circuits Using Model-Checking},
  booktitle    = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November
                  24-27, 2008},
  pages        = {21--26},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ATS.2008.22},
  doi          = {10.1109/ATS.2008.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/RaikFUK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/RaikRUJE08,
  author       = {Jaan Raik and
                  Uljana Reinsalu and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Peeter Ellervee},
  editor       = {Bernd Straube and
                  Milos Drutarovsk{\'{y}} and
                  Michel Renovell and
                  Peter Gramata and
                  M{\'{a}}ria Fischerov{\'{a}}},
  title        = {Code Coverage Analysis using High-Level Decision Diagrams},
  booktitle    = {Proceedings of the 11th {IEEE} Workshop on Design {\&} Diagnostics
                  of Electronic Circuits {\&} Systems {(DDECS} 2008), Bratislava,
                  Slovakia, April 16-18, 2008},
  pages        = {201--206},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/DDECS.2008.4538786},
  doi          = {10.1109/DDECS.2008.4538786},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/RaikRUJE08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/IvaskRU08,
  author       = {Eero Ivask and
                  Jaan Raik and
                  Raimund Ubar},
  editor       = {Bernd Straube and
                  Milos Drutarovsk{\'{y}} and
                  Michel Renovell and
                  Peter Gramata and
                  M{\'{a}}ria Fischerov{\'{a}}},
  title        = {Web-Based Framework for Parallel Distributed Test},
  booktitle    = {Proceedings of the 11th {IEEE} Workshop on Design {\&} Diagnostics
                  of Electronic Circuits {\&} Systems {(DDECS} 2008), Bratislava,
                  Slovakia, April 16-18, 2008},
  pages        = {271--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/DDECS.2008.4538800},
  doi          = {10.1109/DDECS.2008.4538800},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/IvaskRU08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/JutmanTU08,
  author       = {Artur Jutman and
                  Anton Tsertov and
                  Raimund Ubar},
  editor       = {Bernd Straube and
                  Milos Drutarovsk{\'{y}} and
                  Michel Renovell and
                  Peter Gramata and
                  M{\'{a}}ria Fischerov{\'{a}}},
  title        = {Calculation of {LFSR} Seed and Polynomial Pair for {BIST} Applications},
  booktitle    = {Proceedings of the 11th {IEEE} Workshop on Design {\&} Diagnostics
                  of Electronic Circuits {\&} Systems {(DDECS} 2008), Bratislava,
                  Slovakia, April 16-18, 2008},
  pages        = {275--278},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/DDECS.2008.4538801},
  doi          = {10.1109/DDECS.2008.4538801},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/JutmanTU08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/delta/UbarDJRJE08,
  author       = {Raimund Ubar and
                  Sergei Devadze and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Gert Jervan and
                  Peeter Ellervee},
  title        = {Hierarchical Calculation of Malicious Faults for Evaluating the Fault-Tolerance},
  booktitle    = {4th {IEEE} International Symposium on Electronic Design, Test and
                  Applications, {DELTA} 2008, Hong Kong, January 23-25, 2008},
  pages        = {222--227},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/DELTA.2008.60},
  doi          = {10.1109/DELTA.2008.60},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/delta/UbarDJRJE08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/PleskaczJRRUK08,
  author       = {Witold A. Pleskacz and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Michal Rakowski and
                  Raimund Ubar and
                  Wieslaw Kuzmicz},
  editor       = {Luca Fanucci},
  title        = {Hierarchical Analysis of Short Defects between Metal Lines in {CMOS}
                  {IC}},
  booktitle    = {11th Euromicro Conference on Digital System Design: Architectures,
                  Methods and Tools, {DSD} 2008, Parma, Italy, September 3-5, 2008},
  pages        = {729--734},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/DSD.2008.98},
  doi          = {10.1109/DSD.2008.98},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/PleskaczJRRUK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/JenihhinRCU08,
  author       = {Maksim Jenihhin and
                  Jaan Raik and
                  Anton Chepurov and
                  Raimund Ubar},
  title        = {Temporally Extended High-Level Decision Diagrams for {PSL} Assertions
                  Simulation},
  booktitle    = {13th European Test Symposium, {ETS} 2008, Verbania, Italy, May 25-29,
                  2008},
  pages        = {61--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ETS.2008.22},
  doi          = {10.1109/ETS.2008.22},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/JenihhinRCU08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/JutmanARU08,
  author       = {Artur Jutman and
                  Igor Aleksejev and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {Reseeding using compaction of pre-generated {LFSR} sub-sequences},
  booktitle    = {15th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2008, St. Julien's, Malta, August 31 2008-September
                  3, 2008},
  pages        = {1290--1295},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICECS.2008.4675096},
  doi          = {10.1109/ICECS.2008.4675096},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/JutmanARU08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/idc/IvaskRU08,
  author       = {Eero Ivask and
                  Jaan Raik and
                  Raimund Ubar},
  editor       = {Costin Badica and
                  Giuseppe Mangioni and
                  Vincenza Carchiolo and
                  Dumitru Dan Burdescu},
  title        = {Distributed Approach for Genetic Test Generation in the Field of Digital
                  Electronics},
  booktitle    = {Intelligent Distributed Computing, Systems and Applications, Proceedings
                  of the 2nd International Symposium on Intelligent Distributed Computing
                  - {IDC} 2008, Catania, Italy, 2008},
  series       = {Studies in Computational Intelligence},
  volume       = {162},
  pages        = {127--136},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-85257-5\_13},
  doi          = {10.1007/978-3-540-85257-5\_13},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/idc/IvaskRU08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/EllerveeRTU07,
  author       = {Peeter Ellervee and
                  Jaan Raik and
                  Kalle Tammem{\"{a}}e and
                  Raimund Ubar},
  title        = {FPGA-based fault emulation of synchronous sequential circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {70--76},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050065},
  doi          = {10.1049/IET-CDT:20050065},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/EllerveeRTU07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijoe/UbarJKODW07,
  author       = {Raimund Ubar and
                  Artur Jutman and
                  Margus Kruus and
                  Elmet Orasson and
                  Sergei Devadze and
                  Heinz{-}Dietrich Wuttke},
  title        = {Learning Digital Test and Diagnostics via Internet},
  journal      = {Int. J. Online Eng.},
  volume       = {3},
  number       = {1},
  year         = {2007},
  url          = {https://www.online-journals.org/index.php/i-joe/article/view/361},
  timestamp    = {Wed, 04 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ijoe/UbarJKODW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/JenihhinRUPR07,
  author       = {Maksim Jenihhin and
                  Jaan Raik and
                  Raimund Ubar and
                  Witold A. Pleskacz and
                  Michal Rakowski},
  editor       = {Patrick Girard and
                  Andrzej Krasniewski and
                  Elena Gramatov{\'{a}} and
                  Adam Pawlak and
                  Tomasz Garbolino},
  title        = {Layout to Logic Defect Analysis for Hierarchical Test Generation},
  booktitle    = {Proceedings of the 10th {IEEE} Workshop on Design {\&} Diagnostics
                  of Electronic Circuits {\&} Systems {(DDECS} 2007), Krak{\'{o}}w,
                  Poland, April 11-13, 2007},
  pages        = {35--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/DDECS.2007.4295251},
  doi          = {10.1109/DDECS.2007.4295251},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/JenihhinRUPR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/JervanOKU07,
  author       = {Gert Jervan and
                  Elmet Orasson and
                  Helena Kruus and
                  Raimund Ubar},
  title        = {Hybrid {BIST} Optimization Using Reseeding and Test Set Compaction},
  booktitle    = {Tenth Euromicro Conference on Digital System Design: Architectures,
                  Methods and Tools {(DSD} 2007), 29-31 August 2007, L{\"{u}}beck,
                  Germany},
  pages        = {596--603},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/DSD.2007.4341529},
  doi          = {10.1109/DSD.2007.4341529},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dsd/JervanOKU07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/UbarKREL07,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik and
                  Teet Evartson and
                  Harri Lensen},
  title        = {Fault Diagnosis in Integrated Circuits with {BIST}},
  booktitle    = {Tenth Euromicro Conference on Digital System Design: Architectures,
                  Methods and Tools {(DSD} 2007), 29-31 August 2007, L{\"{u}}beck,
                  Germany},
  pages        = {604--610},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/DSD.2007.4341530},
  doi          = {10.1109/DSD.2007.4341530},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dsd/UbarKREL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/RaikUKK07,
  author       = {Jaan Raik and
                  Raimund Ubar and
                  Anna Krivenko and
                  Margus Kruus},
  title        = {Hierarchical Identification of Untestable Faults in Sequential Circuits},
  booktitle    = {Tenth Euromicro Conference on Digital System Design: Architectures,
                  Methods and Tools {(DSD} 2007), 29-31 August 2007, L{\"{u}}beck,
                  Germany},
  pages        = {668--671},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/DSD.2007.4341539},
  doi          = {10.1109/DSD.2007.4341539},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dsd/RaikUKK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/RaikUG07,
  author       = {Jaan Raik and
                  Raimund Ubar and
                  Vineeth Govind},
  title        = {Test Configurations for Diagnosing Faulty Links in NoC Switches},
  booktitle    = {12th European Test Symposium, {ETS} 2007, Freiburg, Germany, May 20,
                  2007},
  pages        = {29--34},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ETS.2007.41},
  doi          = {10.1109/ETS.2007.41},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/RaikUG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/UbarDRJ07,
  author       = {Raimund Ubar and
                  Sergei Devadze and
                  Jaan Raik and
                  Artur Jutman},
  title        = {Ultra Fast Parallel Fault Analysis on Structurally Synthesized BDDs},
  booktitle    = {12th European Test Symposium, {ETS} 2007, Freiburg, Germany, May 20,
                  2007},
  pages        = {131--136},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ETS.2007.43},
  doi          = {10.1109/ETS.2007.43},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/UbarDRJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sies/JervanKOU07,
  author       = {Gert Jervan and
                  Helena Kruus and
                  Elmet Orasson and
                  Raimund Ubar},
  title        = {Optimization of Memory-Constrained Hybrid {BIST} for Testing Core-Based
                  Systems},
  booktitle    = {{IEEE} Second International Symposium on Industrial Embedded Systems,
                  {SIES} 2007, Hotel Costa da Caparica, Lisbon, Portugal, July 4-6,
                  2007},
  pages        = {71--77},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/SIES.2007.4297319},
  doi          = {10.1109/SIES.2007.4297319},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sies/JervanKOU07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcst/JervanEPUJ06,
  author       = {Gert Jervan and
                  Petru Eles and
                  Zebo Peng and
                  Raimund Ubar and
                  Maksim Jenihhin},
  title        = {Test Time Minimization for Hybrid {BIST} of Core-Based Systems},
  journal      = {J. Comput. Sci. Technol.},
  volume       = {21},
  number       = {6},
  pages        = {907--912},
  year         = {2006},
  url          = {https://doi.org/10.1007/s11390-006-0907-x},
  doi          = {10.1007/S11390-006-0907-X},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jcst/JervanEPUJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/RaikGU06,
  author       = {Jaan Raik and
                  Vineeth Govind and
                  Raimund Ubar},
  title        = {An External Test Approach for Network-on-a-Chip Switches},
  booktitle    = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23,
                  2006},
  pages        = {437--442},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ATS.2006.260967},
  doi          = {10.1109/ATS.2006.260967},
  timestamp    = {Tue, 06 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/RaikGU06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/RaikUV06,
  author       = {Jaan Raik and
                  Raimund Ubar and
                  Taavi Viilukas},
  title        = {High-Level Decision Diagram based Fault Models for Targeting FSMs},
  booktitle    = {Ninth Euromicro Conference on Digital System Design: Architectures,
                  Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik,
                  Croatia},
  pages        = {353--358},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/DSD.2006.60},
  doi          = {10.1109/DSD.2006.60},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/RaikUV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/BengtssonJKUP06,
  author       = {Tomas Bengtsson and
                  Artur Jutman and
                  Shashi Kumar and
                  Raimund Ubar and
                  Zebo Peng},
  title        = {Off-Line Testing of Delay Faults in NoC Interconnects},
  booktitle    = {Ninth Euromicro Conference on Digital System Design: Architectures,
                  Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik,
                  Croatia},
  pages        = {677--680},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/DSD.2006.72},
  doi          = {10.1109/DSD.2006.72},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/BengtssonJKUP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/PleskaczBWSJU06,
  author       = {Witold A. Pleskacz and
                  Tomasz Borejko and
                  Andrzej Walkanis and
                  Viera Stopjakov{\'{a}} and
                  Artur Jutman and
                  Raimund Ubar},
  title        = {DefSim: {CMOS} Defects on Chip for Research and Education},
  booktitle    = {7th Latin American Test Workshop, {LATW} 2006, Buenos Aires, Argentina,
                  March 26-29, 2006},
  pages        = {74--79},
  publisher    = {{IEEE}},
  year         = {2006},
  timestamp    = {Tue, 05 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/PleskaczBWSJU06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/DevadzeRJU06,
  author       = {Sergei Devadze and
                  Jaan Raik and
                  Artur Jutman and
                  Raimund Ubar},
  title        = {Fault Simulation with Parallel Critical Path Tracing for Combinatorial
                  Circuits Using Structurally Synthesized BDDs},
  booktitle    = {7th Latin American Test Workshop, {LATW} 2006, Buenos Aires, Argentina,
                  March 26-29, 2006},
  pages        = {97--102},
  publisher    = {{IEEE}},
  year         = {2006},
  timestamp    = {Thu, 27 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/DevadzeRJU06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ddecs/2006,
  editor       = {Matteo Sonza Reorda and
                  Ondrej Nov{\'{a}}k and
                  Bernd Straube and
                  Hana Kub{\'{a}}tov{\'{a}} and
                  Zdenek Kot{\'{a}}sek and
                  Pavel Kubal{\'{\i}}k and
                  Raimund Ubar and
                  Jir{\'{\i}} Bucek},
  title        = {Proceedings of the 9th {IEEE} Workshop on Design {\&} Diagnostics
                  of Electronic Circuits {\&} Systems {(DDECS} 2006), Prague, Czech
                  Republic, April 18-21, 2006},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/10974/proceeding},
  isbn         = {1-4244-0185-2},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/2006.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/RaikNU05,
  author       = {Jaan Raik and
                  Tanel N{\~{o}}mmeots and
                  Raimund Ubar},
  title        = {A New Testability Calculation Method to Guide {RTL} Test Generation},
  journal      = {J. Electron. Test.},
  volume       = {21},
  number       = {1},
  pages        = {71--82},
  year         = {2005},
  url          = {https://doi.org/10.1007/s10836-005-5288-5},
  doi          = {10.1007/S10836-005-5288-5},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/RaikNU05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/RaikETU05,
  author       = {Jaan Raik and
                  Peeter Ellervee and
                  Valentin Tihhomirov and
                  Raimund Ubar},
  title        = {Improved Fault Emulation for Synchronous Sequential Circuits},
  booktitle    = {Eighth Euromicro Symposium on Digital Systems Design {(DSD} 2005),
                  30 August - 3 September 2005, Porto, Portugal},
  pages        = {72--78},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DSD.2005.50},
  doi          = {10.1109/DSD.2005.50},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/RaikETU05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/SudbrockRUKP05,
  author       = {Joachim Sudbrock and
                  Jaan Raik and
                  Raimund Ubar and
                  Wieslaw Kuzmicz and
                  Witold A. Pleskacz},
  title        = {Defect-Oriented Test- and Layout-Generation for Standard-Cell {ASIC}
                  Designs},
  booktitle    = {Eighth Euromicro Symposium on Digital Systems Design {(DSD} 2005),
                  30 August - 3 September 2005, Porto, Portugal},
  pages        = {79--82},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DSD.2005.30},
  doi          = {10.1109/DSD.2005.30},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/SudbrockRUKP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/JutmanRUV05,
  author       = {Artur Jutman and
                  Jaan Raik and
                  Raimund Ubar and
                  V. Vislogubov},
  title        = {An Educational Environment for Digital Testing: Hardware, Tools, and
                  Web-Based Runtime Platform},
  booktitle    = {Eighth Euromicro Symposium on Digital Systems Design {(DSD} 2005),
                  30 August - 3 September 2005, Porto, Portugal},
  pages        = {412--419},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DSD.2005.15},
  doi          = {10.1109/DSD.2005.15},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/JutmanRUV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/edcc/RaikUDJ05,
  author       = {Jaan Raik and
                  Raimund Ubar and
                  Sergei Devadze and
                  Artur Jutman},
  editor       = {Mario Dal Cin and
                  Mohamed Ka{\^{a}}niche and
                  Andr{\'{a}}s Pataricza},
  title        = {Efficient Single-Pattern Fault Simulation on Structurally Synthesized
                  BDDs},
  booktitle    = {Dependable Computing - EDCC-5, 5th European Dependable Computing Conference,
                  Budapest, Hungary, April 20-22, 2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3463},
  pages        = {332--344},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11408901\_25},
  doi          = {10.1007/11408901\_25},
  timestamp    = {Tue, 14 May 2019 10:00:54 +0200},
  biburl       = {https://dblp.org/rec/conf/edcc/RaikUDJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/UbarSJP05,
  author       = {Raimund Ubar and
                  Tatjana Shchenova and
                  Gert Jervan and
                  Zebo Peng},
  title        = {Energy minimization for hybrid {BIST} in a system-on-chip test environment},
  booktitle    = {10th European Test Symposium, {ETS} 2005, Tallinn, Estonia, May 22-25,
                  2005},
  pages        = {2--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ETS.2005.16},
  doi          = {10.1109/ETS.2005.16},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/UbarSJP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/RaikUSKP05,
  author       = {Jaan Raik and
                  Raimund Ubar and
                  Joachim Sudbrock and
                  Wieslaw Kuzmicz and
                  Witold A. Pleskacz},
  title        = {{DOT:} new deterministic defect-oriented {ATPG} tool},
  booktitle    = {10th European Test Symposium, {ETS} 2005, Tallinn, Estonia, May 22-25,
                  2005},
  pages        = {96--101},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ETS.2005.15},
  doi          = {10.1109/ETS.2005.15},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/RaikUSKP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/HahanovUM04,
  author       = {Vladimir Hahanov and
                  Raimund Ubar and
                  Subhasish Mitra},
  title        = {Conference Reports},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {21},
  number       = {6},
  pages        = {594--595},
  year         = {2004},
  url          = {https://doi.org/10.1109/MDT.2004.82},
  doi          = {10.1109/MDT.2004.82},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/HahanovUM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/compsystech/JutmanSUW04,
  author       = {Artur Jutman and
                  Alexander Sudnitson and
                  Raimund Ubar and
                  Heinz{-}Dietrich Wuttke},
  editor       = {Kiril Boyanov},
  title        = {Asynchronous e-learning resources for hardware design issues},
  booktitle    = {Proceedings of the 5th International Conference on Computer Systems
                  and Technologies, CompSysTech 2004, Rousse, Bulgaria, June 17-18,
                  2004},
  pages        = {1--6},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1050330.1050420},
  doi          = {10.1145/1050330.1050420},
  timestamp    = {Tue, 31 May 2022 15:40:03 +0200},
  biburl       = {https://dblp.org/rec/conf/compsystech/JutmanSUW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/delta/UbarJ04,
  author       = {Raimund Ubar and
                  Maksim Jenihhin},
  title        = {Hybrid {BIST} Optimization for Core-based Systems with Test Pattern
                  Broadcasting},
  booktitle    = {2nd {IEEE} International Workshop on Electronic Design, Test and Applications
                  {(DELTA} 2004), 28-30 January 2004, Perth, Australia},
  pages        = {3--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DELTA.2004.10057},
  doi          = {10.1109/DELTA.2004.10057},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/delta/UbarJ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip5-5/IvaskRUS04,
  author       = {Eero Ivask and
                  Jaan Raik and
                  Raimund Ubar and
                  Andr{\'{e}} Schneider},
  editor       = {Luis M. Camarinha{-}Matos},
  title        = {Web-Based Environment for Digital Electronics Test Tools},
  booktitle    = {Virtual Enterprises and Collaborative Networks, {IFIP} 18th World
                  Computer Congress, {TC5} / {WG5.5} - 5th Working Conference on Virtual
                  Enterprises, 22-27 August 2004, Toulouse, France},
  series       = {{IFIP}},
  volume       = {149},
  pages        = {435--442},
  publisher    = {Kluwer/springer},
  year         = {2004},
  url          = {https://doi.org/10.1007/1-4020-8139-1\_46},
  doi          = {10.1007/1-4020-8139-1\_46},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ifip5-5/IvaskRUS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/HahanovU03,
  author       = {Vladimir Hahanov and
                  Raimund Ubar},
  title        = {Conference Reports},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {20},
  number       = {6},
  pages        = {103},
  year         = {2003},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/HahanovU03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/Ubar03,
  author       = {Raimund Ubar},
  title        = {Design Error Diagnosis with Re-Synthesis in Combinational Circuits},
  journal      = {J. Electron. Test.},
  volume       = {19},
  number       = {1},
  pages        = {73--82},
  year         = {2003},
  url          = {https://doi.org/10.1023/A:1021948013402},
  doi          = {10.1023/A:1021948013402},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/Ubar03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/JervanEPUJ03,
  author       = {Gert Jervan and
                  Petru Eles and
                  Zebo Peng and
                  Raimund Ubar and
                  Maksim Jenihhin},
  title        = {Test Time Minimization for Hybrid {BIST} of Core-Based Systems},
  booktitle    = {12th Asian Test Symposium {(ATS} 2003), 17-19 November 2003, Xian,
                  China},
  pages        = {318--325},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ATS.2003.1250830},
  doi          = {10.1109/ATS.2003.1250830},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/JervanEPUJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/JervanEPUJ03,
  author       = {Gert Jervan and
                  Petru Eles and
                  Zebo Peng and
                  Raimund Ubar and
                  Maksim Jenihhin},
  title        = {Hybrid {BIST} Time Minimization for Core-Based Systems with {STUMPS}
                  Architecture},
  booktitle    = {18th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2003), 3-5 November 2003, Boston, MA, USA,
                  Proceedings},
  pages        = {225},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DFTVS.2003.1250116},
  doi          = {10.1109/DFTVS.2003.1250116},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/JervanEPUJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/HahanovUH03,
  author       = {Vladimir Hahanov and
                  Raimund Ubar and
                  Stanley Hyduke},
  title        = {Back-Traced Deductive-Parallel Fault Simulation for Digital Systems},
  booktitle    = {2003 Euromicro Symposium on Digital Systems Design {(DSD} 2003), Architectures,
                  Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey},
  pages        = {370--377},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DSD.2003.1231969},
  doi          = {10.1109/DSD.2003.1231969},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/HahanovUH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/03/UbarR03,
  author       = {Raimund Ubar and
                  Jaan Raik},
  editor       = {Axel Jantsch and
                  Hannu Tenhunen},
  title        = {Testing Strategies for Networks on Chip},
  booktitle    = {Networks on Chip},
  pages        = {131--152},
  publisher    = {Kluwer / Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/0-306-48727-6\_7},
  doi          = {10.1007/0-306-48727-6\_7},
  timestamp    = {Thu, 18 Jul 2019 19:46:19 +0200},
  biburl       = {https://dblp.org/rec/books/sp/03/UbarR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/CibakovaFGKPRU02,
  author       = {T. Cib{\'{a}}kov{\'{a}} and
                  M{\'{a}}ria Fischerov{\'{a}} and
                  Elena Gramatov{\'{a}} and
                  Wieslaw Kuzmicz and
                  Witold A. Pleskacz and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {Hierarchical test generation for combinational circuits with real
                  defects coverage},
  journal      = {Microelectron. Reliab.},
  volume       = {42},
  number       = {7},
  pages        = {1141--1149},
  year         = {2002},
  url          = {https://doi.org/10.1016/S0026-2714(02)00080-X},
  doi          = {10.1016/S0026-2714(02)00080-X},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/CibakovaFGKPRU02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SchneiderDIRUMCG02,
  author       = {Andr{\'{e}} Schneider and
                  Karl{-}Heinz Diener and
                  Eero Ivask and
                  Jaan Raik and
                  Raimund Ubar and
                  P. Miklos and
                  T. Cib{\'{a}}kov{\'{a}} and
                  Elena Gramatov{\'{a}}},
  title        = {Internet-Based Collaborative Test Generation with {MOSCITO}},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {221--226},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998273},
  doi          = {10.1109/DATE.2002.998273},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/SchneiderDIRUMCG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/delta/UbarRIB02,
  author       = {Raimund Ubar and
                  Jaan Raik and
                  Eero Ivask and
                  Marina Brik},
  title        = {Multi-Level Fault Simulation of Digital Systems on Decision Diagrams},
  booktitle    = {1st {IEEE} International Workshop on Electronic Design, Test and Applications
                  {(DELTA} 2002), 29-31 January 2002, Christchurch, New Zealand},
  pages        = {86--91},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DELTA.2002.994594},
  doi          = {10.1109/DELTA.2002.994594},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/delta/UbarRIB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/SchneiderDIUGHKP02,
  author       = {Andr{\'{e}} Schneider and
                  Karl{-}Heinz Diener and
                  Eero Ivask and
                  Raimund Ubar and
                  Elena Gramatov{\'{a}} and
                  Thomas Hollstein and
                  Wieslaw Kuzmicz and
                  Zebo Peng},
  title        = {Integrated Design and Test Generation Under Internet Based Environment
                  {MOSCITO}},
  booktitle    = {2002 Euromicro Symposium on Digital Systems Design {(DSD} 2002), Systems-on-Chip,
                  4-6 September 2002, Dortmund, Germany},
  pages        = {187--195},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DSD.2002.1115368},
  doi          = {10.1109/DSD.2002.1115368},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/SchneiderDIUGHKP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RaikJU02,
  author       = {Jaan Raik and
                  Artur Jutman and
                  Raimund Ubar},
  title        = {Fast static compaction of tests composed of independent sequences:
                  basic properties and comparison of methods},
  booktitle    = {Proceedings of the 2002 9th {IEEE} International Conference on Electronics,
                  Circuits and Systems, {ICECS} 2002, Dubrovnik, Croatia, September
                  15-18, 2002},
  pages        = {445--448},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICECS.2002.1046190},
  doi          = {10.1109/ICECS.2002.1046190},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/RaikJU02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/JutmanUHS02,
  author       = {Artur Jutman and
                  Raimund Ubar and
                  Vladimir Hahanov and
                  O. Skvortsova},
  title        = {Practical works for on-line teaching design and test of digital circuits},
  booktitle    = {Proceedings of the 2002 9th {IEEE} International Conference on Electronics,
                  Circuits and Systems, {ICECS} 2002, Dubrovnik, Croatia, September
                  15-18, 2002},
  pages        = {1223--1226},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICECS.2002.1046474},
  doi          = {10.1109/ICECS.2002.1046474},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/JutmanUHS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JervanPUK02,
  author       = {Gert Jervan and
                  Zebo Peng and
                  Raimund Ubar and
                  Helena Kruus},
  title        = {A Hybrid {BIST} Architecture and Its Optimization for SoC Testing},
  booktitle    = {3rd International Symposium on Quality of Electronic Design, {ISQED}
                  2002, San Jose, CA, USA, March 18-21, 2002},
  pages        = {273--279},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ISQED.2002.996750},
  doi          = {10.1109/ISQED.2002.996750},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/JervanPUK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/Ubar02,
  author       = {Raimund Ubar},
  title        = {Testability Calculation for Digital Circuits with Decision Diagrams},
  booktitle    = {3rd Latin American Test Workshop, {LATW} 2002, Montevideo, Uruguay,
                  February 10-13, 2002},
  pages        = {137--143},
  publisher    = {{IEEE}},
  year         = {2002},
  timestamp    = {Wed, 26 Jul 2023 15:57:25 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/Ubar02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/BlyzniukKKPRU01,
  author       = {Mykola Blyzniuk and
                  Irena Kazymyra and
                  Wieslaw Kuzmicz and
                  Witold A. Pleskacz and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {Probabilistic analysis of {CMOS} physical defects in {VLSI} circuits
                  for test coverage improvement},
  journal      = {Microelectron. Reliab.},
  volume       = {41},
  number       = {12},
  pages        = {2023--2040},
  year         = {2001},
  url          = {https://doi.org/10.1016/S0026-2714(01)00092-0},
  doi          = {10.1016/S0026-2714(01)00092-0},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/BlyzniukKKPRU01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/UbarJP01,
  author       = {Raimund Ubar and
                  Artur Jutman and
                  Zebo Peng},
  editor       = {Wolfgang Nebel and
                  Ahmed Jerraya},
  title        = {Timing simulation of digital circuits with binary decision diagrams},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2001, Munich, Germany, March 12-16, 2001},
  pages        = {460--466},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/DATE.2001.915063},
  doi          = {10.1109/DATE.2001.915063},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/UbarJP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/OrassonRUJP01,
  author       = {Elmet Orasson and
                  Rein Raidma and
                  Raimund Ubar and
                  Gert Jervan and
                  Zebo Peng},
  title        = {Fast Test Cost Calculation for Hybrid {BIST} in Digital Systems},
  booktitle    = {Euromicro Symposium on Digital Systems Design 2001 (Euro-DSD 2001),
                  4-6 September 2001, Warsaw, Poland},
  pages        = {318--325},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/DSD.2001.952315},
  doi          = {10.1109/DSD.2001.952315},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/OrassonRUJP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KuzmiczPRU01,
  author       = {Wieslaw Kuzmicz and
                  Witold A. Pleskacz and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {Defect-Oriented Fault Simulation and Test Generation in Digital Circuits},
  booktitle    = {2nd International Symposium on Quality of Electronic Design {(ISQED}
                  2001), 26-28 March 2001, San Jose, CA, {USA}},
  pages        = {365--371},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ISQED.2001.915257},
  doi          = {10.1109/ISQED.2001.915257},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KuzmiczPRU01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/Ubar01,
  author       = {Raimund Ubar},
  title        = {Design Error Diagnosis in Scan-Path Designs},
  booktitle    = {2nd Latin American Test Workshop, {LATW} 2001, Cancun, Mexico, February
                  11-14, 2001},
  pages        = {162--168},
  publisher    = {{IEEE}},
  year         = {2001},
  timestamp    = {Tue, 25 Jul 2023 13:25:31 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/Ubar01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/RaikU00,
  author       = {Jaan Raik and
                  Raimund Ubar},
  title        = {Fast Test Pattern Generation for Sequential Circuits Using Decision
                  Diagram Representations},
  journal      = {J. Electron. Test.},
  volume       = {16},
  number       = {3},
  pages        = {213--226},
  year         = {2000},
  url          = {https://doi.org/10.1023/A:1008335130158},
  doi          = {10.1023/A:1008335130158},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/RaikU00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MorawiecUR00,
  author       = {Adam Morawiec and
                  Raimund Ubar and
                  Jaan Raik},
  editor       = {Ivo Bolsens},
  title        = {Cycle-Based Simulation Algorithms for Digital Systems Using High-Level
                  Decision Diagrams},
  booktitle    = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March
                  2000, Paris, France},
  pages        = {743},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1109/DATE.2000.840876},
  doi          = {10.1109/DATE.2000.840876},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MorawiecUR00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/JervanPU00,
  author       = {Gert Jervan and
                  Zebo Peng and
                  Raimund Ubar},
  title        = {Test Cost Minimization for Hybrid Bist},
  booktitle    = {15th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2000), 25-27 October 2000, Yamanashi, Japan,
                  Proceedings},
  pages        = {283--291},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/DFTVS.2000.887168},
  doi          = {10.1109/DFTVS.2000.887168},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/JervanPU00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/BlyzniukCGKLPRU00,
  author       = {Mykola Blyzniuk and
                  T. Cib{\'{a}}kov{\'{a}} and
                  Elena Gramatov{\'{a}} and
                  Wieslaw Kuzmicz and
                  M. Lobur and
                  Witold A. Pleskacz and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {Hierarchical defect-oriented fault simulation for digital circuits},
  booktitle    = {5th European Test Workshop, {ETW} 2000, Cascais, Portugal, May 23-26,
                  2000},
  pages        = {69--74},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ETW.2000.873781},
  doi          = {10.1109/ETW.2000.873781},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/BlyzniukCGKLPRU00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UbarRM00,
  author       = {Raimund Ubar and
                  Jaan Raik and
                  Adam Morawiec},
  title        = {Back-tracing and event-driven techniques in high-level simulation
                  with decision diagrams},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000,
                  Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31
                  May 2000, Proceedings},
  pages        = {208--211},
  publisher    = {{IEEE}},
  year         = {2000},
  url          = {https://doi.org/10.1109/ISCAS.2000.857064},
  doi          = {10.1109/ISCAS.2000.857064},
  timestamp    = {Fri, 13 Aug 2021 09:26:01 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UbarRM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/UbarR00,
  author       = {Raimund Ubar and
                  Jaan Raik},
  title        = {Efficient Hierarchical Approach to Test Generation for Digital Systems},
  booktitle    = {1st International Symposium on Quality of Electronic Design {(ISQED}
                  2000), 20-22 March 2000, San Jose, CA, {USA}},
  pages        = {189--196},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ISQED.2000.838873},
  doi          = {10.1109/ISQED.2000.838873},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/UbarR00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/UbarRM99,
  author       = {Raimund Ubar and
                  Jaan Raik and
                  Adam Morawiec},
  title        = {Cycle-based Simulation with Decision Diagrams},
  booktitle    = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March
                  1999, Munich, Germany},
  pages        = {454--458},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1999},
  url          = {https://doi.org/10.1109/DATE.1999.761165},
  doi          = {10.1109/DATE.1999.761165},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/UbarRM99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RaikU99,
  author       = {Jaan Raik and
                  Raimund Ubar},
  title        = {Sequential Circuit Test Generation Using Decision Diagram Models},
  booktitle    = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March
                  1999, Munich, Germany},
  pages        = {736--740},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1999},
  url          = {https://doi.org/10.1109/DATE.1999.761212},
  doi          = {10.1109/DATE.1999.761212},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RaikU99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/RaikU99,
  author       = {Jaan Raik and
                  Raimund Ubar},
  title        = {High-level path activation technique to speed up sequential circuit
                  test generation},
  booktitle    = {4th European Test Workshop, {ETW} 1999, Constance, Germany, May 25-28,
                  1999},
  pages        = {84--89},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ETW.1999.804289},
  doi          = {10.1109/ETW.1999.804289},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/RaikU99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip10-5/UbarB99,
  author       = {Raimund Ubar and
                  Dominique Borrione},
  editor       = {L. Miguel Silveira and
                  Srinivas Devadas and
                  Ricardo Augusto da Luz Reis},
  title        = {Design Error Diagnosis in Digital Circuits without Error Model},
  booktitle    = {{VLSI:} Systems on a Chip, {IFIP} {TC10/WG10.5} Tenth International
                  Conference on Very Large Scale Integration {(VLSI} '99), December
                  1-4, 1999, Lisbon, Portugal},
  series       = {{IFIP} Conference Proceedings},
  volume       = {162},
  pages        = {281--292},
  publisher    = {Kluwer},
  year         = {1999},
  timestamp    = {Mon, 14 Oct 2002 13:30:59 +0200},
  biburl       = {https://dblp.org/rec/conf/ifip10-5/UbarB99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/UbarB98,
  author       = {Raimund Ubar and
                  Dominique Borrione},
  title        = {Generation of Tests for the Localization of Single Gate Design Errors
                  in Combinational Circuits using the Stuck-at Fault Model},
  booktitle    = {Proceedings of the 11th Annual Symposium on Integrated Circuits Design,
                  {SBCCI} 1998, Rio de Janiero, Brazil, September 30 - October 2, 1998},
  pages        = {51--54},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.ieeecomputersociety.org/10.1109/SBCCI.1998.715409},
  doi          = {10.1109/SBCCI.1998.715409},
  timestamp    = {Fri, 27 May 2022 10:20:08 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/UbarB98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BensoPRRU97,
  author       = {Alfredo Benso and
                  Paolo Prinetto and
                  Maurizio Rebaudengo and
                  Matteo Sonza Reorda and
                  Raimund Ubar},
  title        = {A new approach to build a low-level malicious fault list starting
                  from high-level description and alternative graphs},
  booktitle    = {European Design and Test Conference, ED{\&}TC '97, Paris, France,
                  17-20 March 1997},
  pages        = {560--565},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/EDTC.1997.582417},
  doi          = {10.1109/EDTC.1997.582417},
  timestamp    = {Fri, 20 May 2022 15:59:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/BensoPRRU97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/BensoPRRRU97,
  author       = {Alfredo Benso and
                  Paolo Prinetto and
                  Maurizio Rebaudengo and
                  Matteo Sonza Reorda and
                  Jaan Raik and
                  Raimund Ubar},
  title        = {Exploiting High-Level Descriptions for Circuits Fault Tolerance Assessments},
  booktitle    = {1997 Workshop on Defect and Fault-Tolerance in {VLSI} Systems {(DFT}
                  '97), 20-22 October 1997, Paris, France},
  pages        = {212--217},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/DFTVS.1997.628327},
  doi          = {10.1109/DFTVS.1997.628327},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/BensoPRRRU97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Ubar96,
  author       = {Raimund Ubar},
  title        = {Test Synthesis with Alternative Graphs},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {13},
  number       = {1},
  pages        = {48--57},
  year         = {1996},
  url          = {https://doi.org/10.1109/54.485782},
  doi          = {10.1109/54.485782},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Ubar96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/edcc/UbarB96,
  author       = {Raimund Ubar and
                  Marina Brik},
  editor       = {Andrzej Hlawiczka and
                  Jo{\~{a}}o Gabriel Silva and
                  Luca Simoncini},
  title        = {Multi-Level Test Generation and Fault Diagnosis for Finite State Machines},
  booktitle    = {Dependable Computing - EDCC-2, Second European Dependable Computing
                  Conference, Taormina, Italy, October 2-4, 1996, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {1150},
  pages        = {264--282},
  publisher    = {Springer},
  year         = {1996},
  url          = {https://doi.org/10.1007/3-540-61772-8\_43},
  doi          = {10.1007/3-540-61772-8\_43},
  timestamp    = {Tue, 14 May 2019 10:00:54 +0200},
  biburl       = {https://dblp.org/rec/conf/edcc/UbarB96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/edcc/Ubar94,
  author       = {Raimund Ubar},
  editor       = {Klaus Echtle and
                  Dieter K. Hammer and
                  David Powell},
  title        = {Test Generation for Digital Systems Based on Alternative Graphs},
  booktitle    = {Dependable Computing - EDCC-1, First European Dependable Computing
                  Conference, Berlin, Germany, October 4-6, 1994, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {852},
  pages        = {151--164},
  publisher    = {Springer},
  year         = {1994},
  url          = {https://doi.org/10.1007/3-540-58426-9\_129},
  doi          = {10.1007/3-540-58426-9\_129},
  timestamp    = {Tue, 14 May 2019 10:00:54 +0200},
  biburl       = {https://dblp.org/rec/conf/edcc/Ubar94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics