BibTeX records: Charles H.-P. Wen

download as .bib file

@article{DBLP:journals/fgcs/LeeWHW24,
  author       = {Alan Y.{-}P. Lee and
                  Michael I.{-}C. Wang and
                  Chi{-}Hsiang Hung and
                  Charles H.{-}P. Wen},
  title        = {{PS-IPS:} Deploying Intrusion Prevention System with machine learning
                  on programmable switch},
  journal      = {Future Gener. Comput. Syst.},
  volume       = {152},
  pages        = {333--342},
  year         = {2024},
  url          = {https://doi.org/10.1016/j.future.2023.11.011},
  doi          = {10.1016/J.FUTURE.2023.11.011},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/fgcs/LeeWHW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvt/WangWC23,
  author       = {Michael I.{-}C. Wang and
                  Charles H.{-}P. Wen and
                  H. Jonathan Chao},
  title        = {Hierarchical Cooperation and Load Balancing for Scalable Autonomous
                  Vehicle Routing in Multi-Access Edge Computing Environment},
  journal      = {{IEEE} Trans. Veh. Technol.},
  volume       = {72},
  number       = {6},
  pages        = {6959--6971},
  year         = {2023},
  url          = {https://doi.org/10.1109/TVT.2023.3236783},
  doi          = {10.1109/TVT.2023.3236783},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvt/WangWC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HsiaoTWLWC23,
  author       = {Sam M.{-}H. Hsiao and
                  Amy H.{-}Y. Tsai and
                  Lowry P.{-}T. Wang and
                  Aaron C.{-}W. Liang and
                  Charles H.{-}P. Wen and
                  Herming Chiueh},
  title        = {Preventing Single-Event Double-Node Upsets by Engineering Change Order
                  in Latch Designs},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA,
                  October 7-15, 2023},
  pages        = {276--285},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ITC51656.2023.00044},
  doi          = {10.1109/ITC51656.2023.00044},
  timestamp    = {Tue, 09 Jan 2024 17:03:11 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/HsiaoTWLWC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/LinHW22,
  author       = {Yu{-}Jie Lin and
                  Chi{-}Hsiang Hung and
                  Charles H.{-}P. Wen},
  title        = {Real-Time In-Network Microburst Mitigation on Programmable Switch},
  journal      = {{IEEE} Access},
  volume       = {10},
  pages        = {2446--2456},
  year         = {2022},
  url          = {https://doi.org/10.1109/ACCESS.2021.3139642},
  doi          = {10.1109/ACCESS.2021.3139642},
  timestamp    = {Fri, 21 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/LinHW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LinW22,
  author       = {Dave Y.{-}W. Lin and
                  Charles H.{-}P. Wen},
  title        = {Rad-Hard Designs by Automated Latching-Delay Assignment and Time-Borrowable
                  D-Flip-Flop},
  journal      = {{IEEE} Trans. Computers},
  volume       = {71},
  number       = {5},
  pages        = {1008--1020},
  year         = {2022},
  url          = {https://doi.org/10.1109/TC.2021.3070213},
  doi          = {10.1109/TC.2021.3070213},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/LinW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcps/WangWC22,
  author       = {Michael I.{-}C. Wang and
                  Charles H.{-}P. Wen and
                  H. Jonathan Chao},
  title        = {Roadrunner+: An Autonomous Intersection Management Cooperating with
                  Connected Autonomous Vehicles and Pedestrians with Spillback Considered},
  journal      = {{ACM} Trans. Cyber Phys. Syst.},
  volume       = {6},
  number       = {1},
  pages        = {5:1--5:29},
  year         = {2022},
  url          = {https://doi.org/10.1145/3488246},
  doi          = {10.1145/3488246},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcps/WangWC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiangWH22,
  author       = {Aaron C.{-}W. Liang and
                  Charles H.{-}P. Wen and
                  Hsuan{-}Ming Huang},
  title        = {A General and Automatic Cell Layout Generation Framework With Implicit
                  Learning on Design Rules},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {30},
  number       = {9},
  pages        = {1341--1354},
  year         = {2022},
  url          = {https://doi.org/10.1109/TVLSI.2022.3179527},
  doi          = {10.1109/TVLSI.2022.3179527},
  timestamp    = {Thu, 22 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiangWH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TangLHW22,
  author       = {Zhong{-}Li Tang and
                  Chia{-}Wei Liang and
                  Ming{-}Hsien Hsiao and
                  Charles H.{-}P. Wen},
  editor       = {Rob Oshana},
  title        = {SEM-latch: a lost-cost and high-performance latch design for mitigating
                  soft errors in nanoscale {CMOS} process},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {865--870},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530533},
  doi          = {10.1145/3489517.3530533},
  timestamp    = {Thu, 25 Aug 2022 14:23:32 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/TangLHW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HsiaoWLW22,
  author       = {Sam M.{-}H. Hsiao and
                  Lowry P.{-}T. Wang and
                  Aaron C.{-}W. Liang and
                  Charles H.{-}P. Wen},
  title        = {Existence of Single-Event Double-Node Upsets {(SEDU)} in Radiation-Hardened
                  Latches for Sub-65nm {CMOS} Technologies},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA,
                  September 23-30, 2022},
  pages        = {128--136},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ITC50671.2022.00020},
  doi          = {10.1109/ITC50671.2022.00020},
  timestamp    = {Thu, 05 Jan 2023 13:13:27 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/HsiaoWLW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/TsaiLW22,
  author       = {Jiun{-}Cheng Tsai and
                  Aaron C.{-}W. Liang and
                  Charles H.{-}P. Wen},
  title        = {Timing-Critical Path Analysis in Circuit Designs Considering Aging
                  with Signal Probability},
  booktitle    = {{IEEE} International Test Conference in Asia, ITC-Asia 2022, Taipei,
                  Taiwan, August 24-26, 2022},
  pages        = {37--42},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ITCAsia55616.2022.00017},
  doi          = {10.1109/ITCASIA55616.2022.00017},
  timestamp    = {Mon, 21 Nov 2022 17:42:16 +0100},
  biburl       = {https://dblp.org/rec/conf/itc-asia/TsaiLW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/noms/ChangWHW22,
  author       = {Hsin{-}Fu Chang and
                  Michael I.{-}C. Wang and
                  Chi{-}Hsiang Hung and
                  Charles H.{-}P. Wen},
  title        = {Enabling Malware Detection with Machine Learning on Programmable Switch},
  booktitle    = {2022 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS}
                  2022, Budapest, Hungary, April 25-29, 2022},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/NOMS54207.2022.9789939},
  doi          = {10.1109/NOMS54207.2022.9789939},
  timestamp    = {Wed, 15 Jun 2022 16:45:18 +0200},
  biburl       = {https://dblp.org/rec/conf/noms/ChangWHW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/noms/TengHW22,
  author       = {Linyih Teng and
                  Chi{-}Hsiang Hung and
                  Charles H.{-}P. Wen},
  title        = {{P4SF:} {A} High-Performance Stateful Firewall on Commodity P4-Programmable
                  Switch},
  booktitle    = {2022 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS}
                  2022, Budapest, Hungary, April 25-29, 2022},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/NOMS54207.2022.9789778},
  doi          = {10.1109/NOMS54207.2022.9789778},
  timestamp    = {Wed, 15 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/noms/TengHW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/TsaiLW22,
  author       = {Zong{-}Hua Tsai and
                  Aaron C.{-}W. Liang and
                  Charles H.{-}P. Wen},
  title        = {SlewFTA: Functional Timing Analysis Considering Slew Propagation},
  booktitle    = {2022 International Symposium on {VLSI} Design, Automation and Test,
                  {VLSI-DAT} 2022, Hsinchu, Taiwan, April 18-21, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VLSI-DAT54769.2022.9768073},
  doi          = {10.1109/VLSI-DAT54769.2022.9768073},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/TsaiLW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LinW21,
  author       = {Dave Y.{-}W. Lin and
                  Charles H.{-}P. Wen},
  title        = {A Delay-Adjustable, Self-Testable Flip-Flop for Soft-Error Tolerability
                  and Delay-Fault Testability},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {26},
  number       = {6},
  pages        = {50:1--50:12},
  year         = {2021},
  url          = {https://doi.org/10.1145/3462171},
  doi          = {10.1145/3462171},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/LinW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiangHW21,
  author       = {Aaron C.{-}W. Liang and
                  Hsuan{-}Ming Huang and
                  Charles H.{-}P. Wen},
  title        = {Generating Layouts of Standard Cells by Implicit Learning on Design
                  Rules for Advanced Processes},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1829--1834},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474005},
  doi          = {10.23919/DATE51398.2021.9474005},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiangHW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/TangLYW21,
  author       = {John Z.{-}L. Tang and
                  Dave Y.{-}W. Lin and
                  Ralf E.{-}H. Yee and
                  Charles H.{-}P. Wen},
  title        = {{AMSER-FF:} Area-Minimized Soft-Error-Recoverable Flip-Flop for Radiation
                  Hardening},
  booktitle    = {{IEEE} International Test Conference in Asia, ITC-Asia 2021, Shanghai,
                  China, August 18-20, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ITC-Asia53059.2021.9808678},
  doi          = {10.1109/ITC-ASIA53059.2021.9808678},
  timestamp    = {Fri, 15 Jul 2022 10:59:07 +0200},
  biburl       = {https://dblp.org/rec/conf/itc-asia/TangLYW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WuLW20,
  author       = {Denny C.{-}Y. Wu and
                  Aaron C.{-}W. Liang and
                  Charles H.{-}P. Wen},
  title        = {Speeding Up Functional Timing Analysis by Concise Formulation of Timed
                  Characteristic Functions},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {12},
  pages        = {5281--5294},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2020.2978811},
  doi          = {10.1109/TCAD.2020.2978811},
  timestamp    = {Tue, 01 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/WuLW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LinW20,
  author       = {Dave Y.{-}W. Lin and
                  Charles H.{-}P. Wen},
  title        = {{DAD-FF:} Hardening Designs by Delay-Adjustable D-Flip-Flop for Soft-Error-Rate
                  Reduction},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {4},
  pages        = {1030--1042},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2019.2962080},
  doi          = {10.1109/TVLSI.2019.2962080},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LinW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HuangLTW20,
  author       = {Gary K.{-}C. Huang and
                  Dave Y.{-}W. Lin and
                  John Z.{-}L. Tang and
                  Charles H.{-}P. Wen},
  title        = {{SDPTA:} Soft-Delay-aware Pattern-based Timing Analysis and Its Path-Fixing
                  Mechanism},
  booktitle    = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November
                  23-26, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ATS49688.2020.9301512},
  doi          = {10.1109/ATS49688.2020.9301512},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/HuangLTW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cloudnet/HsuTTW20,
  author       = {Willy Y.{-}W. Hsu and
                  Jiun{-}Cheng Tsai and
                  John C.{-}L. Tang and
                  Charles H.{-}P. Wen},
  editor       = {Oscar M. Caicedo},
  title        = {Profit-Driven Service-Chain Deployment For {EDA} Requests On Private
                  Cloud},
  booktitle    = {9th {IEEE} International Conference on Cloud Networking, CloudNet
                  2020, Piscataway, NJ, USA, November 9-11, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/CloudNet51028.2020.9335794},
  doi          = {10.1109/CLOUDNET51028.2020.9335794},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cloudnet/HsuTTW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/infocom/KangHW20,
  author       = {Hsueh{-}Hong Kang and
                  Chi{-}Hsiang Hung and
                  Charles H.{-}P. Wen},
  title        = {SAFCast: Smart Inter-Datacenter Multicast Transfer with Deadline Guarantee
                  by Store-And-Forwarding},
  booktitle    = {39th {IEEE} Conference on Computer Communications, {INFOCOM} 2020,
                  Toronto, ON, Canada, July 6-9, 2020},
  pages        = {1034--1042},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/INFOCOM41043.2020.9155218},
  doi          = {10.1109/INFOCOM41043.2020.9155218},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/infocom/KangHW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itsc/WangWWC20,
  author       = {Michael I.{-}C. Wang and
                  Jiacheng Wang and
                  Charles H.{-}P. Wen and
                  H. Jonathan Chao},
  title        = {Roadrunner: Autonomous Intersection Management with Dynamic Lane Assignment},
  booktitle    = {23rd {IEEE} International Conference on Intelligent Transportation
                  Systems, {ITSC} 2020, Rhodes, Greece, September 20-23, 2020},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITSC45102.2020.9294688},
  doi          = {10.1109/ITSC45102.2020.9294688},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itsc/WangWWC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/ChiuehYWYCHCWCL20,
  author       = {Herming Chiueh and
                  Chia{-}Hsiang Yang and
                  Charles H.{-}P. Wen and
                  Chao{-}Guang Yang and
                  Po{-}Hao Chien and
                  Ching{-}Yang Hung and
                  Yu{-}Jui Chen and
                  Yao{-}Pin Wang and
                  Chin{-}Fong Chiu and
                  Jer Lin},
  title        = {Radiation-Harden {RISC} Processor for Micro-Satellites in Standard
                  {CMOS}},
  booktitle    = {2020 International Symposium on {VLSI} Design, Automation and Test,
                  {VLSI-DAT} 2020, Hsinchu, Taiwan, August 10-13, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VLSI-DAT49148.2020.9196348},
  doi          = {10.1109/VLSI-DAT49148.2020.9196348},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/ChiuehYWYCHCWCL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/LinHW19,
  author       = {Louis Y.{-}Z. Lin and
                  Charles Chia{-}Hao Hsu and
                  Charles H.{-}P. Wen},
  title        = {{P4-TPG:} Accelerating Deterministic Parallel Test Pattern Generation
                  by Preemptive, Proactive, and Preventive Schedulings},
  journal      = {{IEEE} Access},
  volume       = {7},
  pages        = {6816--6830},
  year         = {2019},
  url          = {https://doi.org/10.1109/ACCESS.2018.2890112},
  doi          = {10.1109/ACCESS.2018.2890112},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/LinHW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsac/XuCWWWWMC19,
  author       = {Yang Xu and
                  Marco Cello and
                  I{-}Chih Wang and
                  Anwar Walid and
                  Gordon T. Wilfong and
                  Charles H.{-}P. Wen and
                  Mario Marchese and
                  H. Jonathan Chao},
  title        = {Dynamic Switch Migration in Distributed Software-Defined Networks
                  to Achieve Controller Load Balance},
  journal      = {{IEEE} J. Sel. Areas Commun.},
  volume       = {37},
  number       = {3},
  pages        = {515--529},
  year         = {2019},
  url          = {https://doi.org/10.1109/JSAC.2019.2894237},
  doi          = {10.1109/JSAC.2019.2894237},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jsac/XuCWWWWMC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ShenLHW19,
  author       = {Cheng{-}Hsien Shen and
                  Aaron C.{-}W. Liang and
                  Charles C.{-}H. Hsu and
                  Charles H.{-}P. Wen},
  title        = {{FAE:} Autoencoder-Based Failure Binning of {RTL} Designs for Verification
                  and Debugging},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000178},
  doi          = {10.1109/ITC44170.2019.9000178},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/ShenLHW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nfvsdn/AghdaiWXWC19,
  author       = {Ashkan Aghdai and
                  Michael I.{-}C. Wang and
                  Yang Xu and
                  Charles H.{-}P. Wen and
                  H. Jonathan Chao},
  title        = {In-network Congestion-aware Load Balancing at Transport Layer},
  booktitle    = {{IEEE} Conference on Network Function Virtualization and Software
                  Defined Networks, {NFV-SDN} 2019, Dallas, TX, USA, November 12-14,
                  2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/NFV-SDN47374.2019.9040109},
  doi          = {10.1109/NFV-SDN47374.2019.9040109},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nfvsdn/AghdaiWXWC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nfvsdn/KangWTW19,
  author       = {Hsueh{-}Hong Kang and
                  I{-}Chih Wang and
                  Li{-}Ping Tung and
                  Charles H.{-}P. Wen},
  title        = {Parcel-Fit: Low Network-Overhead Service-Chain Deployment for Better
                  Datacenter Performance},
  booktitle    = {{IEEE} Conference on Network Function Virtualization and Software
                  Defined Networks, {NFV-SDN} 2019, Dallas, TX, USA, November 12-14,
                  2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/NFV-SDN47374.2019.9040155},
  doi          = {10.1109/NFV-SDN47374.2019.9040155},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nfvsdn/KangWTW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/LinW18,
  author       = {Louis Y.{-}Z. Lin and
                  Charles H.{-}P. Wen},
  title        = {Unleashing Parallelism With Minimal Test Inflation in Multi-Threaded
                  Test Pattern Generation},
  journal      = {{IEEE} Access},
  volume       = {6},
  pages        = {49269--49281},
  year         = {2018},
  url          = {https://doi.org/10.1109/ACCESS.2018.2869029},
  doi          = {10.1109/ACCESS.2018.2869029},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/LinW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/LiaoW18,
  author       = {Chien{-}Hui Liao and
                  Charles H.{-}P. Wen},
  title        = {SVM-Based Dynamic Voltage Prediction for Online Thermally Constrained
                  Task Scheduling in 3-D Multicore Processors},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {10},
  number       = {2},
  pages        = {49--52},
  year         = {2018},
  url          = {https://doi.org/10.1109/LES.2017.2776292},
  doi          = {10.1109/LES.2017.2776292},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/LiaoW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cds/ChangW18,
  author       = {Chia{-}Ling Lynn Chang and
                  Charles H.{-}P. Wen},
  title        = {Accurate performance evaluation of {VLSI} designs with selected {CMOS}
                  process parameters},
  journal      = {{IET} Circuits Devices Syst.},
  volume       = {12},
  number       = {1},
  pages        = {116--123},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cds.2017.0097},
  doi          = {10.1049/IET-CDS.2017.0097},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cds/ChangW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiaoW18,
  author       = {Chien{-}Hui Liao and
                  Charles Hung{-}Pin Wen},
  title        = {Online task scheduler in 3D-MCPs with {TADVA}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {2},
  pages        = {44--52},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0014},
  doi          = {10.1049/IET-CDT.2017.0014},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiaoW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/JhaoWW18,
  author       = {Pin{-}Ru Jhao and
                  Denny C.{-}Y. Wu and
                  Charles H.{-}P. Wen},
  title        = {Skew-Aware Functional Timing Analysis Against Setup Violation for
                  Post-Layout Validation},
  booktitle    = {{IEEE} International Test Conference in Asia, ITC-Asia 2018, Harbin,
                  China, August 15-17, 2018},
  pages        = {67--72},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ITC-Asia.2018.00022},
  doi          = {10.1109/ITC-ASIA.2018.00022},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/itc-asia/JhaoWW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iwqos/WangWC18,
  author       = {I{-}Chih Wang and
                  Charles H.{-}P. Wen and
                  H. Jonathan Chao},
  title        = {Improving Quality of Experience of Service-Chain Deployment for Multiple
                  Users},
  booktitle    = {26th {IEEE/ACM} International Symposium on Quality of Service, IWQoS
                  2018, Banff, AB, Canada, June 4-6, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/IWQoS.2018.8624167},
  doi          = {10.1109/IWQOS.2018.8624167},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iwqos/WangWC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1811-09731,
  author       = {Ashkan Aghdai and
                  Michael I.{-}C. Wang and
                  Yang Xu and
                  Charles H.{-}P. Wen and
                  H. Jonathan Chao},
  title        = {In-network Congestion-aware Load Balancing at Transport Layer},
  journal      = {CoRR},
  volume       = {abs/1811.09731},
  year         = {2018},
  url          = {http://arxiv.org/abs/1811.09731},
  eprinttype    = {arXiv},
  eprint       = {1811.09731},
  timestamp    = {Tue, 28 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1811-09731.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/LiaoW17,
  author       = {Chien{-}Hui Liao and
                  Charles H.{-}P. Wen},
  title        = {An Online Thermal-Pattern-Aware Task Scheduler in 3D Multi-Core Processors},
  journal      = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.},
  volume       = {100-A},
  number       = {12},
  pages        = {2901--2910},
  year         = {2017},
  url          = {https://doi.org/10.1587/transfun.E100.A.2901},
  doi          = {10.1587/TRANSFUN.E100.A.2901},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/LiaoW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/globecom/SuWHW17,
  author       = {Yu{-}Lun Su and
                  I{-}Chih Wang and
                  Yao{-}Tsung Hsu and
                  Charles H.{-}P. Wen},
  title        = {{FASIC:} {A} Fast-Recovery, Adaptively Spanning In-Band Control Plane
                  in Software-Defined Network},
  booktitle    = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore,
                  December 4-8, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/GLOCOM.2017.8254760},
  doi          = {10.1109/GLOCOM.2017.8254760},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/globecom/SuWHW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LinWC17,
  author       = {Yuwen Dave Lin and
                  Charles H.{-}P. Wen and
                  Herming Chiueh},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Radiation-Hardened Designs for Soft-Error-Rate Reduction by Delay-Adjustable
                  D-Flip-Flops},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {197--202},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060442},
  doi          = {10.1145/3060403.3060442},
  timestamp    = {Tue, 06 Nov 2018 16:59:34 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LinWC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LinLHW17,
  author       = {Jack S.{-}Y. Lin and
                  Louis Y.{-}Z. Lin and
                  Ryan H.{-}M. Huang and
                  Charles H.{-}P. Wen},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Coupling-Aware Functional Timing Analysis for Tighter Bounds: How
                  Much Margin Can We Relax?},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {251--256},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060443},
  doi          = {10.1145/3060403.3060443},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LinLHW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/WuSW17,
  author       = {Ying{-}Feng Wu and
                  Yu{-}Lun Su and
                  Charles H.{-}P. Wen},
  title        = {{TVM:} Tabular {VM} migration for reducing hop violations of service
                  chains in cloud datacenters},
  booktitle    = {{IEEE} International Conference on Communications, {ICC} 2017, Paris,
                  France, May 21-25, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICC.2017.7996680},
  doi          = {10.1109/ICC.2017.7996680},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icc/WuSW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WuJW17,
  author       = {Denny C.{-}Y. Wu and
                  Pin{-}Ru Jhao and
                  Charles H.{-}P. Wen},
  editor       = {Sri Parameswaran},
  title        = {Accelerating functional timing analysis with encoding duplication
                  removal and redundant state propagation},
  booktitle    = {2017 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017},
  pages        = {117--122},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCAD.2017.8203768},
  doi          = {10.1109/ICCAD.2017.8203768},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WuJW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icoin/PaiWT17,
  author       = {Yuan{-}Ming Pai and
                  Charles H.{-}P. Wen and
                  Li{-}Ping Tung},
  title        = {SLA-driven Ordered Variable-width Windowing for service-chain deployment
                  in {SDN} datacenters},
  booktitle    = {2017 International Conference on Information Networking, {ICOIN} 2017,
                  Da Nang, Vietnam, January 11-13, 2017},
  pages        = {167--172},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICOIN.2017.7899498},
  doi          = {10.1109/ICOIN.2017.7899498},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icoin/PaiWT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/HsuW17,
  author       = {Charles Chia{-}Hao Hsu and
                  Charles H.{-}P. Wen},
  title        = {Speeding up power verification by merging equivalent power domains
                  in {RTL} design with {UPF}},
  booktitle    = {International Test Conference in Asia, ITC-Asia 2017, Taipei, Taiwan,
                  September 13-15, 2017},
  pages        = {168--173},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ITC-ASIA.2017.8097135},
  doi          = {10.1109/ITC-ASIA.2017.8097135},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/itc-asia/HsuW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nfvsdn/LeiHWW17,
  author       = {Tsung{-}Han Lei and
                  Yao{-}Tsung Hsu and
                  I{-}Chih Wang and
                  Charles H.{-}P. Wen},
  title        = {Deploying QoS-assured service function chains with stochastic prediction
                  models on {VNF} latency},
  booktitle    = {2017 {IEEE} Conference on Network Function Virtualization and Software
                  Defined Networks, {NFV-SDN} 2017, Berlin, Germany, November 6-8, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NFV-SDN.2017.8169837},
  doi          = {10.1109/NFV-SDN.2017.8169837},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nfvsdn/LeiHWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/HuangW16,
  author       = {Hsuan{-}Ming Huang and
                  Charles H.{-}P. Wen},
  title        = {Layout-Based Soft Error Rate Estimation Framework Considering Multiple
                  Transient Faults - From Device to Circuit Level},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {4},
  pages        = {586--597},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2015.2474355},
  doi          = {10.1109/TCAD.2015.2474355},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/HuangW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LinW16,
  author       = {Louis Y.{-}Z. Lin and
                  Charles H.{-}P. Wen},
  title        = {Speed binning with high-quality structural patterns from functional
                  timing analysis {(FTA)}},
  booktitle    = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2016, Macao, Macao, January 25-28, 2016},
  pages        = {238--243},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASPDAC.2016.7428017},
  doi          = {10.1109/ASPDAC.2016.7428017},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LinW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HuangLW16,
  author       = {Hsuan{-}Ming Huang and
                  Yuwen Lin and
                  Charles H.{-}P. Wen},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {Fast-yet-accurate variation-aware current and voltage modelling of
                  radiation-induced transient fault},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {211--216},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459306/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/HuangLW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icoin/SuoTW16,
  author       = {Cheng Suo and
                  I{-}Chen Tsai and
                  Charles H.{-}P. Wen},
  title        = {{ERIC:} Economical {\&} reconfigurable hybrid-band control for
                  software-defined datacenter network},
  booktitle    = {2016 International Conference on Information Networking, {ICOIN} 2016,
                  Kota Kinabalu, Malaysia, January 13-15, 2016},
  pages        = {214--219},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICOIN.2016.7427117},
  doi          = {10.1109/ICOIN.2016.7427117},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icoin/SuoTW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nof/LiHW16,
  author       = {Kuan{-}Wei Li and
                  Po{-}Han Huang and
                  Charles H.{-}P. Wen},
  editor       = {Otto Carlos Muniz Bandeira Duarte and
                  Marcelo Dias de Amorim and
                  Marcelo Gon{\c{c}}alves Rubinstein and
                  Stefano Secci},
  title        = {Reducing network cost of minimal-migration based {VM} management in
                  cloud datacenters},
  booktitle    = {7th International Conference on the Network of the Future, {NOF} 2016,
                  B{\'{u}}zios, Brazil, November 16-18, 2016},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/NOF.2016.7810130},
  doi          = {10.1109/NOF.2016.7810130},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/nof/LiHW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/HuangHW15,
  author       = {Ryan H.{-}M. Huang and
                  Dennis K.{-}H. Hsu and
                  Charles H.{-}P. Wen},
  title        = {A Determinate Radiation Hardened Technique for Safety-Critical {CMOS}
                  Designs},
  journal      = {J. Electron. Test.},
  volume       = {31},
  number       = {2},
  pages        = {181--192},
  year         = {2015},
  url          = {https://doi.org/10.1007/s10836-015-5517-5},
  doi          = {10.1007/S10836-015-5517-5},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/HuangHW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChangW15,
  author       = {Chia{-}Ling Lynn Chang and
                  Charles H.{-}P. Wen},
  title        = {Demystifying Iddq Data With Process Variation for Automatic Chip Classification},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {23},
  number       = {6},
  pages        = {1175--1179},
  year         = {2015},
  url          = {https://doi.org/10.1109/TVLSI.2014.2326081},
  doi          = {10.1109/TVLSI.2014.2326081},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChangW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiaoW15,
  author       = {Chien{-}Hui Liao and
                  Charles H.{-}P. Wen},
  title        = {Thermal-Constrained Task Scheduling on 3-D Multicore Processors for
                  Throughput-and-Energy Optimization},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {23},
  number       = {11},
  pages        = {2719--2723},
  year         = {2015},
  url          = {https://doi.org/10.1109/TVLSI.2014.2360802},
  doi          = {10.1109/TVLSI.2014.2360802},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiaoW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cloudnet/HuangLW15,
  author       = {Po{-}Han Huang and
                  Kuan{-}Wei Li and
                  Charles H.{-}P. Wen},
  title        = {{NACHOS:} Network-aware chains orchestration selection for {NFV} in
                  {SDN} datacenter},
  booktitle    = {4th {IEEE} International Conference on Cloud Networking, CloudNet
                  2015, Niagara Falls, ON, Canada, October 5-7, 2015},
  pages        = {205--208},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CloudNet.2015.7335307},
  doi          = {10.1109/CLOUDNET.2015.7335307},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cloudnet/HuangLW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChangHLW15,
  author       = {Jasper C. C. Chang and
                  Ryan H.{-}M. Huang and
                  Louis Y.{-}Z. Lin and
                  Charles H.{-}P. Wen},
  title        = {{TA-FTA:} transition-aware functional timing analysis with a four-valued
                  encoding},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {165:1--165:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744914},
  doi          = {10.1145/2744769.2744914},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ChangHLW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiaoWC15,
  author       = {Chien{-}Hui Liao and
                  Charles H.{-}P. Wen and
                  Krishnendu Chakrabarty},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {An online thermal-constrained task scheduler for 3D multi-core processors},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {351--356},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755833},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiaoWC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/KuoWST15,
  author       = {Kuan{-}Tsen Kuo and
                  Charles H.{-}P. Wen and
                  Cheng Suo and
                  I{-}Chen Tsai},
  title        = {{SWF:} Segmented Wildcard Forwarding for flow migration in OpenFlow
                  datacenter networks},
  booktitle    = {2015 {IEEE} International Conference on Communications, {ICC} 2015,
                  London, United Kingdom, June 8-12, 2015},
  pages        = {313--318},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICC.2015.7248340},
  doi          = {10.1109/ICC.2015.7248340},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icc/KuoWST15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/LiaoLW15,
  author       = {Chien{-}Hui Liao and
                  Yu{-}Ze Lin and
                  Charles H.{-}P. Wen},
  title        = {Dynamic voltage assignment for thermal-constrained task scheduler
                  on 3D multi-core processors},
  booktitle    = {{VLSI} Design, Automation and Test, {VLSI-DAT} 2015, Hsinchu, Taiwan,
                  April 27-29, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSI-DAT.2015.7114495},
  doi          = {10.1109/VLSI-DAT.2015.7114495},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/LiaoLW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KuHLW14,
  author       = {Jerry C. Y. Ku and
                  Ryan H.{-}M. Huang and
                  Louis Y.{-}Z. Lin and
                  Charles H.{-}P. Wen},
  title        = {Suppressing test inflation in shared-memory parallel Automatic Test
                  Pattern Generation},
  booktitle    = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2014, Singapore, January 20-23, 2014},
  pages        = {664--669},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASPDAC.2014.6742967},
  doi          = {10.1109/ASPDAC.2014.6742967},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KuHLW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HuangW14,
  author       = {Ryan H.{-}M. Huang and
                  Charles H.{-}P. Wen},
  title        = {Advanced Soft-Error-Rate {(SER)} Estimation with Striking-Time and
                  Multi-Cycle Effects},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {24:1--24:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593081},
  doi          = {10.1145/2593069.2593081},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HuangW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpcc/HuangWC14,
  author       = {Kevin P. Y. Huang and
                  Charles H.{-}P. Wen and
                  Herming Chiueh},
  title        = {Flexible Parallelized Empirical Mode Decomposition in {CUDA} for Hilbert
                  Huang Transform},
  booktitle    = {2014 {IEEE} International Conference on High Performance Computing
                  and Communications, 6th {IEEE} International Symposium on Cyberspace
                  Safety and Security, 11th {IEEE} International Conference on Embedded
                  Software and Systems, {HPCC/CSS/ICESS} 2014, Paris, France, August
                  20-22, 2014},
  pages        = {1125--1133},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/HPCC.2014.166},
  doi          = {10.1109/HPCC.2014.166},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/hpcc/HuangWC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icoin/WangHWW14,
  author       = {Shao{-}Heng Wang and
                  Patrick P.{-}W. Huang and
                  Charles H.{-}P. Wen and
                  Li{-}Chun Wang},
  title        = {{EQVMP:} Energy-efficient and QoS-aware virtual machine placement
                  for software defined datacenter networks},
  booktitle    = {The International Conference on Information Networking 2014, {ICOIN}
                  2014, Phuket, Thailand, February 10-12, 2014},
  pages        = {220--225},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICOIN.2014.6799695},
  doi          = {10.1109/ICOIN.2014.6799695},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icoin/WangHWW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpp/WangLHW14,
  author       = {Hunta H.{-}W. Wang and
                  Louis Y.{-}Z. Lin and
                  Ryan H.{-}M. Huang and
                  Charles H.{-}P. Wen},
  title        = {{CASTA:} CUDA-Accelerated Static Timing Analysis for {VLSI} Designs},
  booktitle    = {43rd International Conference on Parallel Processing, {ICPP} 2014,
                  Minneapolis, MN, USA, September 9-12, 2014},
  pages        = {192--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICPP.2014.28},
  doi          = {10.1109/ICPP.2014.28},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icpp/WangLHW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HsuehHW14,
  author       = {Sung S.{-}Y. Hsueh and
                  Ryan H.{-}M. Huang and
                  Charles H.{-}P. Wen},
  title        = {{TASSER:} {A} temperature-aware statistical soft-error-rate analysis
                  framework for combinational circuits},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {529--534},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783372},
  doi          = {10.1109/ISQED.2014.6783372},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HsuehHW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/HuangW13,
  author       = {Hsuan{-}Ming Huang and
                  Charles H.{-}P. Wen},
  title        = {Fast-Yet-Accurate Statistical Soft-Error-Rate Analysis Considering
                  Full-Spectrum Charge Collection},
  journal      = {{IEEE} Des. Test},
  volume       = {30},
  number       = {2},
  pages        = {77--86},
  year         = {2013},
  url          = {https://doi.org/10.1109/MDT.2012.2194471},
  doi          = {10.1109/MDT.2012.2194471},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/HuangW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiaoCLW13,
  author       = {Christina C.{-}H. Liao and
                  Allen W.{-}T. Chen and
                  Louis Y.{-}Z. Lin and
                  Charles H.{-}P. Wen},
  title        = {Fast Scan-Chain Ordering for 3-D-IC Designs Under Through-Silicon-Via
                  {(TSV)} Constraints},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {21},
  number       = {6},
  pages        = {1170--1174},
  year         = {2013},
  url          = {https://doi.org/10.1109/TVLSI.2012.2204781},
  doi          = {10.1109/TVLSI.2012.2204781},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiaoCLW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChangHW13,
  author       = {Austin C.{-}C. Chang and
                  Ryan H.{-}M. Huang and
                  Charles H.{-}P. Wen},
  title        = {{CASSER:} {A} Closed-Form Analysis Framework for Statistical Soft
                  Error Rate},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {21},
  number       = {10},
  pages        = {1837--1848},
  year         = {2013},
  url          = {https://doi.org/10.1109/TVLSI.2012.2220386},
  doi          = {10.1109/TVLSI.2012.2220386},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChangHW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LinLW13,
  author       = {Louis Y.{-}Z. Lin and
                  Christina C.{-}H. Liao and
                  Charles H.{-}P. Wen},
  title        = {Synthesizing multiple scan chains by cost-driven spectral ordering},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {540--545},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509652},
  doi          = {10.1109/ASPDAC.2013.6509652},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LinLW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cloudcom/LinLKW13,
  author       = {Wei{-}Chu Lin and
                  Chien{-}Hui Liao and
                  Kuan{-}Tsen Kuo and
                  Charles H.{-}P. Wen},
  title        = {Flow-and-VM Migration for Optimizing Throughput and Energy in SDN-Based
                  Cloud Datacenter},
  booktitle    = {{IEEE} 5th International Conference on Cloud Computing Technology
                  and Science, CloudCom 2013, Bristol, United Kingdom, December 2-5,
                  2013, Volume 1},
  pages        = {206--211},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/CloudCom.2013.35},
  doi          = {10.1109/CLOUDCOM.2013.35},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cloudcom/LinLKW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cloudnet/LinLKW13,
  author       = {Wei{-}Chu Lin and
                  Gen{-}Hen Liu and
                  Kuan{-}Tsen Kuo and
                  Charles H.{-}P. Wen},
  editor       = {Xiaoming Fu and
                  Puneet Sharma and
                  Dijiang Huang and
                  Deep Medhi},
  title        = {D\({}^{\mbox{2}}\)ENDIST-FM: Flow migration in routing of OpenFlow-based
                  cloud networks},
  booktitle    = {{IEEE} 2nd International Conference on Cloud Networking, CloudNet
                  2013, San Francisco, CA, USA, November 11-13, 2013},
  pages        = {170--174},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/CloudNet.2013.6710572},
  doi          = {10.1109/CLOUDNET.2013.6710572},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cloudnet/LinLKW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChangWB13,
  author       = {Chia{-}Ling Chang and
                  Charles H.{-}P. Wen and
                  Jayanta Bhadra},
  editor       = {Enrico Macii},
  title        = {Process-variation-aware Iddq diagnosis for nano-scale {CMOS} designs
                  - the first step},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {454--457},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.101},
  doi          = {10.7873/DATE.2013.101},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ChangWB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/emc/LiaoLCCW13,
  author       = {Chien{-}Hui Christina Liao and
                  Kuan{-}Wei Lee and
                  Ting{-}Hua Chen and
                  Che{-}Chen Chang and
                  Charles H.{-}P. Wen},
  editor       = {Yueh{-}Min Huang and
                  Han{-}Chieh Chao and
                  Der{-}Jiunn Deng and
                  James J. Park},
  title        = {Fall Detection by a SVM-Based Cloud System with Motion Sensors},
  booktitle    = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing
                  - HumanCom and {EMC} 2013 [International Conference on Human-centric
                  Computing, HumanCom 2013 / 8th International Conference on Embedded
                  and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25,
                  2013]},
  series       = {Lecture Notes in Electrical Engineering},
  volume       = {260},
  pages        = {37--45},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-94-007-7262-5\_5},
  doi          = {10.1007/978-94-007-7262-5\_5},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/emc/LiaoLCCW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/LinHWC13,
  author       = {Cosette Y. H. Lin and
                  Ryan H.{-}M. Huang and
                  Charles H.{-}P. Wen and
                  Austin C.{-}C. Chang},
  title        = {Aging-aware statistical soft-error-rate analysis for nano-scaled {CMOS}
                  designs},
  booktitle    = {2013 International Symposium on {VLSI} Design, Automation, and Test,
                  {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/VLDI-DAT.2013.6533854},
  doi          = {10.1109/VLDI-DAT.2013.6533854},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/LinHWC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChenCW12,
  author       = {Y.{-}H. Chen and
                  C.{-}L. Chang and
                  Charles H.{-}P. Wen},
  title        = {Diagnostic test-pattern generation targeting open-segment defects
                  and its diagnosis flow},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {3},
  pages        = {186--193},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0121},
  doi          = {10.1049/IET-CDT.2011.0121},
  timestamp    = {Sun, 03 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChenCW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/PengHKW12,
  author       = {Huan{-}Kai Peng and
                  Hsuan{-}Ming Huang and
                  Yu{-}Hsin Kuo and
                  Charles H.{-}P. Wen},
  title        = {Statistical Soft Error Rate {(SSER)} Analysis for Scaled {CMOS} Designs},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {17},
  number       = {1},
  pages        = {9:1--9:24},
  year         = {2012},
  url          = {https://doi.org/10.1145/2071356.2071365},
  doi          = {10.1145/2071356.2071365},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/PengHKW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChangCCWB12,
  author       = {Chia{-}Ling Chang and
                  Chia{-}Ching Chang and
                  Hui{-}Ling Chan and
                  Charles H.{-}P. Wen and
                  Jayanta Bhadra},
  title        = {An intelligent analysis of Iddq data for chip classification in very
                  deep-submicron {(VDSM)} {CMOS} technology},
  booktitle    = {Proceedings of the 17th Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012},
  pages        = {163--168},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ASPDAC.2012.6164938},
  doi          = {10.1109/ASPDAC.2012.6164938},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChangCCWB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/globecom/LiuWW12,
  author       = {Gen{-}Hen Liu and
                  Charles H.{-}P. Wen and
                  Li{-}Chun Wang},
  title        = {D\({}^{\mbox{2}}\)ENDIST: Dynamic and disjoint ENDIST-based layer-2
                  routing algorithm for cloud datacenters},
  booktitle    = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim,
                  CA, USA, December 3-7, 2012},
  pages        = {1611--1616},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/GLOCOM.2012.6503344},
  doi          = {10.1109/GLOCOM.2012.6503344},
  timestamp    = {Wed, 30 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/globecom/LiuWW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/WuHCW12,
  author       = {Xin{-}Tian Wu and
                  Kai{-}Hua Hsu and
                  Lynn C.{-}L. Chang and
                  Charles H.{-}P. Wen},
  title        = {Spatial-correlation-aware soft error rate analysis using quasi-importance
                  sampling},
  booktitle    = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation
                  and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/VLSI-DAT.2012.6212616},
  doi          = {10.1109/VLSI-DAT.2012.6212616},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/WuHCW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/KaoLW11,
  author       = {Chen{-}Yuan Kao and
                  Chien{-}Hui Liao and
                  Charles H.{-}P. Wen},
  title        = {Diagnosing Multiple Byzantine Open-Segment Defects Using Integer Linear
                  Programming},
  journal      = {J. Electron. Test.},
  volume       = {27},
  number       = {6},
  pages        = {723--739},
  year         = {2011},
  url          = {https://doi.org/10.1007/s10836-011-5265-0},
  doi          = {10.1007/S10836-011-5265-0},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/KaoLW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KuoPW10,
  author       = {Yu{-}Shin Kuo and
                  Huan{-}Kai Peng and
                  Charles H.{-}P. Wen},
  title        = {Monte-Carlo-based statistical soft error rate {(SSER)} analysis for
                  the deep sub-micron era},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {3673--3676},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537775},
  doi          = {10.1109/ISCAS.2010.5537775},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KuoPW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KuoPW10,
  author       = {Yu{-}Hsin Kuo and
                  Huan{-}Kai Peng and
                  Charles H.{-}P. Wen},
  title        = {Accurate statistical soft error rate {(SSER)} analysis using a quasi-Monte
                  Carlo framework with quality cell models},
  booktitle    = {11th International Symposium on Quality of Electronic Design {(ISQED}
                  2010), 22-24 March 2010, San Jose, CA, {USA}},
  pages        = {831--838},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISQED.2010.5450485},
  doi          = {10.1109/ISQED.2010.5450485},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KuoPW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PengWB09,
  author       = {Huan{-}Kai Peng and
                  Charles H.{-}P. Wen and
                  Jayanta Bhadra},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {On soft error rate analysis of scaled {CMOS} designs - {A} statistical
                  perspective},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {157--163},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687428},
  doi          = {10.1145/1687399.1687428},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PengWB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChangWB09,
  author       = {Chia{-}Ling Chang and
                  Charles H.{-}P. Wen and
                  Jayanta Bhadra},
  editor       = {Gordon W. Roberts and
                  Bill Eklow},
  title        = {Speeding up bounded sequential equivalence checking with cross-timeframe
                  state-pair constraints from data learning},
  booktitle    = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX,
                  USA, November 1-6, 2009},
  pages        = {1--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/TEST.2009.5355713},
  doi          = {10.1109/TEST.2009.5355713},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ChangWB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/TorresSRWBB09,
  author       = {Francisco Torres and
                  Rohit Srivastava and
                  Javier Ruiz and
                  Charles H.{-}P. Wen and
                  Mrinal Bose and
                  Jayanta Bhadra},
  editor       = {Gordon W. Roberts and
                  Bill Eklow},
  title        = {Portable simulation/emulation stimulus on an industrial-strength SoC},
  booktitle    = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX,
                  USA, November 1-6, 2009},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/TEST.2009.5355904},
  doi          = {10.1109/TEST.2009.5355904},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/TorresSRWBB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/KaoLW09,
  author       = {Chen{-}Yuan Kao and
                  Chien{-}Hui Liao and
                  Charles H.{-}P. Wen},
  title        = {An ILP-Based Diagnosis Framework for Multiple Open-Segment Defects},
  booktitle    = {10th International Workshop on Microprocessor Test and Verification,
                  {MTV} 2009, Austin, Texas, USA, 7-9 December 2009},
  pages        = {69--72},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/MTV.2009.9},
  doi          = {10.1109/MTV.2009.9},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/KaoLW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/ChangW08,
  author       = {Chia{-}Ling Lynn Chang and
                  Charles H.{-}P. Wen},
  title        = {Mining Unreachable Cross-Timeframe State-Pairs for Bounded Sequential
                  Equivalence Checking},
  booktitle    = {Ninth International Workshop on Microprocessor Test and Verification,
                  {MTV} 2008, Austin, Texas, USA, 8-10 December 2008},
  pages        = {33--38},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/MTV.2008.23},
  doi          = {10.1109/MTV.2008.23},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/ChangW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WenWB07,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Jayanta Bhadra},
  editor       = {Georges G. E. Gielen},
  title        = {An incremental learning framework for estimating signal controllability
                  in unit-level verification},
  booktitle    = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007,
                  San Jose, CA, USA, November 5-8, 2007},
  pages        = {250--257},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCAD.2007.4397274},
  doi          = {10.1109/ICCAD.2007.4397274},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WenWB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/WenWC06,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng},
  title        = {Simulation-Based Functional Test Generation for Embedded Processors},
  journal      = {{IEEE} Trans. Computers},
  volume       = {55},
  number       = {11},
  pages        = {1335--1343},
  year         = {2006},
  url          = {https://doi.org/10.1109/TC.2006.186},
  doi          = {10.1109/TC.2006.186},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/WenWC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/GuzeyWWFA06,
  author       = {Onur Guzey and
                  Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Tao Feng and
                  Magdy S. Abadir},
  title        = {Extracting a simplified view of design functionality via vector simulation},
  booktitle    = {Eleventh Annual {IEEE} International High-Level Design Validation
                  and Test Workshop 2006, Monterey, CA, USA, Nov 9-10, 2006},
  pages        = {195--202},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/HLDVT.2006.319991},
  doi          = {10.1109/HLDVT.2006.319991},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/GuzeyWWFA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hvc/GuzeyWWFMA06,
  author       = {Onur Guzey and
                  Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Tao Feng and
                  Hillel Miller and
                  Magdy S. Abadir},
  editor       = {Eyal Bin and
                  Avi Ziv and
                  Shmuel Ur},
  title        = {Extracting a Simplified View of Design Functionality Based on Vector
                  Simulation},
  booktitle    = {Hardware and Software, Verification and Testing, Second International
                  Haifa Verification Conference, {HVC} 2006, Haifa, Israel, October
                  23-26, 2006. Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {4383},
  pages        = {34--49},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-3-540-70889-6\_3},
  doi          = {10.1007/978-3-540-70889-6\_3},
  timestamp    = {Tue, 15 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hvc/GuzeyWWFMA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WenGW06,
  author       = {Charles H.{-}P. Wen and
                  Onur Guzey and
                  Li{-}C. Wang},
  title        = {Simulation-based functional test justification using a decision-digram-based
                  Boolean data miner},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {300--307},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380832},
  doi          = {10.1109/ICCD.2006.4380832},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WenGW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/LeeWWW05,
  author       = {Leonard Lee and
                  Sean H. Wu and
                  Charles H.{-}P. Wen and
                  Li{-}C. Wang},
  title        = {On Generating Tests to Cover Diverse Worst-Case Timing Corners},
  booktitle    = {20th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2005), 3-5 October 2005, Monterey, CA, {USA}},
  pages        = {415--426},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DFTVS.2005.50},
  doi          = {10.1109/DFTVS.2005.50},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/LeeWWW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/WenWC05,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng},
  title        = {Simulation-based functional test generation for embedded processors},
  booktitle    = {Tenth {IEEE} International High-Level Design Validation and Test Workshop
                  2005, Napa Valley, CA, USA, November 30 - December 2, 2005},
  pages        = {3--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/HLDVT.2005.1568806},
  doi          = {10.1109/HLDVT.2005.1568806},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/WenWC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WenWCLC05,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Wei{-}Ting Liu and
                  Ji{-}Jan Chen},
  title        = {Simulation-based target test generation techniques for improving the
                  robustness of a software-based-self-test methodology},
  booktitle    = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005,
                  Austin, TX, USA, November 8-10, 2005},
  pages        = {10},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/TEST.2005.1584059},
  doi          = {10.1109/TEST.2005.1584059},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WenWCLC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/WenW05,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang},
  editor       = {Magdy S. Abadir and
                  Li{-}C. Wang},
  title        = {Simulation Data Mining for Functional Test Pattern Justification},
  booktitle    = {Sixth International Workshop on Microprocessor Test and Verification
                  {(MTV} 2005), Common Challenges and Solutions, 3-4 November 2005,
                  Austin, Texas, {USA}},
  pages        = {76--83},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/MTV.2005.24},
  doi          = {10.1109/MTV.2005.24},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/WenW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WenWCYLC05,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Kai Yang and
                  Wei{-}Ting Liu and
                  Ji{-}Jan Chen},
  title        = {On {A} Software-Based Self-Test Methodology and Its Application},
  booktitle    = {23rd {IEEE} {VLSI} Test Symposium {(VTS} 2005), 1-5 May 2005, Palm
                  Springs, CA, {USA}},
  pages        = {107--113},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/VTS.2005.59},
  doi          = {10.1109/VTS.2005.59},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/WenWCYLC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics