Search dblp for Publications

export results for "toc:db/conf/iscas/iscas2017.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iscas/AbbasFCT17,
  author       = {Syed Mohsin Abbas and
                  YouZhe Fan and
                  Ji Chen and
                  Chi{-}Ying Tsui},
  title        = {Concatenated LDPC-polar codes decoding through belief propagation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050835},
  doi          = {10.1109/ISCAS.2017.8050835},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbbasFCT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbbottYQJGHP17,
  author       = {Jeffrey Abbott and
                  Tianyang Ye and
                  Ling Qin and
                  Marsela Jorgolli and
                  Rona Gertner and
                  Donhee Ham and
                  Hongkun Park},
  title        = {CMOS-nano-bio interface array for cardiac and neuro technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8049752},
  doi          = {10.1109/ISCAS.2017.8049752},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbbottYQJGHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Abdzadeh-Ziabari17,
  author       = {Hamed Abdzadeh{-}Ziabari and
                  Wei{-}Ping Zhu and
                  M. N. S. Swamy},
  title        = {Integer frequency offset detection with reduced complexity in {OFDM}
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050593},
  doi          = {10.1109/ISCAS.2017.8050593},
  timestamp    = {Wed, 14 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Abdzadeh-Ziabari17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Abeysekera17,
  author       = {Saman S. Abeysekera},
  title        = {An accurate method for fourier synthesis of photoplethysmographic
                  signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050419},
  doi          = {10.1109/ISCAS.2017.8050419},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Abeysekera17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbkenarSA17,
  author       = {Masoumeh Rezaei Abkenar and
                  Hamidreza Sadreazami and
                  M. Omair Ahmad},
  title        = {Patch-based salient region detection using statistical modeling in
                  the non-subsampled contourlet domain},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050990},
  doi          = {10.1109/ISCAS.2017.8050990},
  timestamp    = {Sun, 14 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbkenarSA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbouzeidT17,
  author       = {Mohamed O. Abouzeid and
                  Ahmet Tekin},
  title        = {Adaptive 6.78-MHz {ISM} band wireless charging for small form factor
                  receivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050849},
  doi          = {10.1109/ISCAS.2017.8050849},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbouzeidT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbtahiKM17,
  author       = {Tahmid Abtahi and
                  Amey M. Kulkarni and
                  Tinoosh Mohsenin},
  title        = {Accelerating convolutional neural network with {FFT} on tiny cores},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050588},
  doi          = {10.1109/ISCAS.2017.8050588},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbtahiKM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbunahlaMJA17,
  author       = {Heba Abunahla and
                  Baker Mohammad and
                  Maguy Abi Jaoude and
                  Mahmoud Al{-}Qutayri},
  title        = {Novel hafnium oxide memristor device: Switching behaviour and size
                  effect},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050791},
  doi          = {10.1109/ISCAS.2017.8050791},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AbunahlaMJA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AcarLCA17,
  author       = {Evrim Acar and
                  Yuri Levin{-}Schwartz and
                  Vince D. Calhoun and
                  T{\"{u}}lay Adali},
  title        = {Tensor-based fusion of {EEG} and {FMRI} to understand neurological
                  changes in schizophrenia},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050303},
  doi          = {10.1109/ISCAS.2017.8050303},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AcarLCA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AfonsoSASCPZA17,
  author       = {Vladimir Afonso and
                  Altamiro Amadeu Susin and
                  Luan Audibert and
                  M{\'{a}}rio Saldanha and
                  Ruhan A. Concei{\c{c}}{\~{a}}o and
                  Marcelo Schiavon Porto and
                  Bruno Zatt and
                  Luciano Volcan Agostini},
  title        = {Low-power and high-throughput hardware design for the 3D-HEVC depth
                  intra skip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050463},
  doi          = {10.1109/ISCAS.2017.8050463},
  timestamp    = {Fri, 10 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AfonsoSASCPZA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AgwaYI17,
  author       = {Shady O. Agwa and
                  Eslam Yahya and
                  Yehea Ismail},
  title        = {Power efficient {AES} core for IoT constrained devices implemented
                  in 130nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050361},
  doi          = {10.1109/ISCAS.2017.8050361},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AgwaYI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AhlawatVGS17,
  author       = {Satyadev Ahlawat and
                  Darshit Vaghani and
                  Rohini Gulve and
                  Virendra Singh},
  title        = {A low cost technique for scan chain diagnosis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050440},
  doi          = {10.1109/ISCAS.2017.8050440},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AhlawatVGS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AhmedBB17,
  author       = {Moaaz Ahmed and
                  Farid Boussa{\"{\i}}d and
                  Amine Bermak},
  title        = {An ultra low-power capacitively-coupled chopper instrumentation amplifier
                  for wheatstone-bridge readout circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050330},
  doi          = {10.1109/ISCAS.2017.8050330},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AhmedBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AkhmetovMJ17,
  author       = {Yerbol Akhmetov and
                  Joshin John Mathew and
                  Alex Pappachen James},
  title        = {Variable pixel G-neighbor filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050772},
  doi          = {10.1109/ISCAS.2017.8050772},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AkhmetovMJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Al-RawhaniCGSNB17,
  author       = {Mohammed Al{-}Rawhani and
                  Boon Chong Cheah and
                  Christos Giagkoulovits and
                  Abdul Shakoor and
                  Bence Nagy and
                  James Beeley and
                  David R. S. Cumming},
  title        = {Wide-range optical CMOS-based diagnostics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050214},
  doi          = {10.1109/ISCAS.2017.8050214},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Al-RawhaniCGSNB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AliotoST17,
  author       = {Massimo Alioto and
                  Giuseppe Scotti and
                  Alessandro Trifiletti},
  title        = {Design-oriented models for quick estimation of path delay variability
                  via the fan-out-of-4 metric},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050910},
  doi          = {10.1109/ISCAS.2017.8050910},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AliotoST17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlsuradiY17,
  author       = {Haneen Alsuradi and
                  Jerald Yoo},
  title        = {Design and modeling of an inductive coupling wireless power transfer
                  using printed spirals on medical hydrocolloid dressings},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050942},
  doi          = {10.1109/ISCAS.2017.8050942},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlsuradiY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AmerSRBC17,
  author       = {Sherif Amer and
                  Sagarvarma Sayyaparaju and
                  Garrett S. Rose and
                  Karsten Beckmann and
                  Nathaniel C. Cady},
  title        = {A practical hafnium-oxide memristor model suitable for circuit design
                  and simulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050790},
  doi          = {10.1109/ISCAS.2017.8050790},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AmerSRBC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AminiSAS17,
  author       = {Marzieh Amini and
                  Hamidreza Sadreazami and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {Multichannel color image watermark detection utilizing vector-based
                  hidden Markov model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050596},
  doi          = {10.1109/ISCAS.2017.8050596},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AminiSAS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AngotziMMBCBB17,
  author       = {Gian Nicola Angotzi and
                  Mario Malerba and
                  Alessandro Maccione and
                  Fabio Boi and
                  Marco Crepaldi and
                  Alberto Bonanno and
                  Luca Berdondini},
  title        = {A high temporal resolution multiscale recording system for in vivo
                  neural studies},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050617},
  doi          = {10.1109/ISCAS.2017.8050617},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AngotziMMBCBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AnsariMSY17,
  author       = {Mohammad Raashid Ansari and
                  W. Thomas Miller III and
                  Chenghua She and
                  Qiaoyan Yu},
  title        = {A low-cost masquerade and replay attack detection method for {CAN}
                  in automobiles},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050833},
  doi          = {10.1109/ISCAS.2017.8050833},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AnsariMSY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AntaoHB17,
  author       = {Uldric A. Antao and
                  John Choma Ming Hsieh and
                  Theodore W. Berger},
  title        = {A 9-nW on-chip constant subthreshold {CMOS} transconductance bias
                  with fine-tuning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050291},
  doi          = {10.1109/ISCAS.2017.8050291},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AntaoHB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AntonovSR17,
  author       = {Yury Antonov and
                  Kari Stadius and
                  Jussi Ryyn{\"{a}}nen},
  title        = {A charge limiting and redistribution method for delay line locking
                  in multi-output clock generation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050740},
  doi          = {10.1109/ISCAS.2017.8050740},
  timestamp    = {Mon, 06 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AntonovSR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AntonyanPJKS17,
  author       = {Artur Antonyan and
                  Suk{-}Soo Pyo and
                  Hyuntaek Jung and
                  Gwanhyeob Koh and
                  Taejoong Song},
  title        = {28-nm 1T-1MTJ 8Mb 64 {I/O} {STT-MRAM} with symmetric 3-section reference
                  structure and cross-coupled sensing amplifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050918},
  doi          = {10.1109/ISCAS.2017.8050918},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AntonyanPJKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AnumulaNLDL17,
  author       = {Jithendar Anumula and
                  Daniel Neil and
                  Xiaoya Li and
                  Tobi Delbr{\"{u}}ck and
                  Shih{-}Chii Liu},
  title        = {Live demonstration: Event-driven real-time spoken digit recognition
                  system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050394},
  doi          = {10.1109/ISCAS.2017.8050394},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AnumulaNLDL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ArafinSS17,
  author       = {Md Tanvir Arafin and
                  Andrew Stanley and
                  Praveen Sharma},
  title        = {Hardware-based anti-counterfeiting techniques for safeguarding supply
                  chain integrity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050605},
  doi          = {10.1109/ISCAS.2017.8050605},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ArafinSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ArdakaniS17,
  author       = {Arash Ardakani and
                  Mahdi Shabany},
  title        = {A low-complexity fully scalable interleaver/address generator based
                  on a novel property of {QPP} interleavers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050837},
  doi          = {10.1109/ISCAS.2017.8050837},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ArdakaniS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ArnaudovO17,
  author       = {Pavel Arnaudov and
                  Tokunbo Ogunfunmi},
  title        = {A {CAM} enabled fast video motion estimation based on locality sensitive
                  signatures},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050259},
  doi          = {10.1109/ISCAS.2017.8050259},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ArnaudovO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AroudiMAAAGB17,
  author       = {Abdelali El Aroudi and
                  Kuntal Mandal and
                  Abdullah Abusorrah and
                  Mohammed M. Al{-}Hindawi and
                  Yusuf Al{-}Turki and
                  Damian Giaouris and
                  Soumitro Banerjee},
  title        = {A novel nonlinear modulation technique for stabilizing {DC-DC} switching
                  converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050587},
  doi          = {10.1109/ISCAS.2017.8050587},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AroudiMAAAGB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AsanoHOKN17,
  author       = {Hiroki Asano and
                  Tetsuya Hirose and
                  Toshihiro Ozaki and
                  Nobutaka Kuroki and
                  Masahiro Numa},
  title        = {An area-efficient, 0.022-mm2, fully integrated resistor-less relaxation
                  oscillator for ultra-low power real-time clock applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050350},
  doi          = {10.1109/ISCAS.2017.8050350},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AsanoHOKN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AshenafiC17,
  author       = {Emeshaw Ashenafi and
                  Masud H. Chowdhury},
  title        = {Noise voltage analysis of spiral inductor for on-chip buck converter
                  design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050820},
  doi          = {10.1109/ISCAS.2017.8050820},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AshenafiC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AshenafiEC17,
  author       = {Emeshaw Ashenafi and
                  Azzedin D. Es{-}Sakhi and
                  Masud H. Chowdhury},
  title        = {Nodal thermal analysis for multi-VT {SOFFET} based subthreshold circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050826},
  doi          = {10.1109/ISCAS.2017.8050826},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AshenafiEC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AzadNJKRJH17,
  author       = {Siavoosh Payandeh Azad and
                  Behrad Niazmand and
                  Karl Janson and
                  Thilo Kogge and
                  Jaan Raik and
                  Gert Jervan and
                  Thomas Hollstein},
  title        = {Comprehensive performance and robustness analysis of 2D turn models
                  for network-on-chips},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050634},
  doi          = {10.1109/ISCAS.2017.8050634},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AzadNJKRJH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AzadmehrMB17,
  author       = {Mehdi Azadmehr and
                  Luca Marchetti and
                  Yngvar Berg},
  title        = {A low power analog voltage similarity circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050950},
  doi          = {10.1109/ISCAS.2017.8050950},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AzadmehrMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AzarmehrAR17,
  author       = {Mahzad Azarmehr and
                  Arash Ahmadi and
                  Rashid Rashidzadeh},
  title        = {Secure authentication and access mechanism for IoT wireless sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050446},
  doi          = {10.1109/ISCAS.2017.8050446},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AzarmehrAR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaeKK17,
  author       = {Gyu Jin Bae and
                  Young Hwan Kim and
                  Suk{-}Ju Kang},
  title        = {Census transform-based static caption detection for frame rate up-conversion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050771},
  doi          = {10.1109/ISCAS.2017.8050771},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BaeKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaltoluBDBC17,
  author       = {Anthony Baltolu and
                  Jean{-}Baptiste B{\'{e}}gueret and
                  Dominique Dallet and
                  Fr{\'{e}}d{\'{e}}ric Chalet},
  title        = {A design-oriented approach for modeling integrators non-idealities
                  in discrete-time sigma-delta modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050479},
  doi          = {10.1109/ISCAS.2017.8050479},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BaltoluBDBC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BanovicC17,
  author       = {Kevin Banovic and
                  Tony Chan Carusone},
  title        = {A sub-mW spectrum sensing architecture for portable {IEEE} 802.22
                  cognitive radio applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050567},
  doi          = {10.1109/ISCAS.2017.8050567},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BanovicC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BarajasZH17,
  author       = {Oscar Barajas and
                  Amir Tofighi Zavareh and
                  Sebastian Hoyos},
  title        = {Towards an on-chip signal processing solution for the online calibration
                  of {SS-OCT} systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050241},
  doi          = {10.1109/ISCAS.2017.8050241},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BarajasZH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BasyurtBMA17,
  author       = {Pinar Basak Basyurt and
                  Edoardo Bonizzoni and
                  Franco Maloberti and
                  Devrim Yilmaz Aksin},
  title        = {A low-power low-noise {CMOS} voltage reference with improved {PSR}
                  for wearable sensor systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050266},
  doi          = {10.1109/ISCAS.2017.8050266},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BasyurtBMA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BeerSHK17,
  author       = {Maik Beer and
                  Olaf Schrey and
                  Bedrich J. Hosticka and
                  Rainer Kokozinski},
  title        = {Dead time effects in the indirect time-of-flight measurement with
                  SPADs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050357},
  doi          = {10.1109/ISCAS.2017.8050357},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BeerSHK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BehrooziA17,
  author       = {Setareh Behroozi and
                  Iraklis Anagnostopoulos},
  title        = {Application resource management for exploitation of non-volatile memory
                  in many-core systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050255},
  doi          = {10.1109/ISCAS.2017.8050255},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BehrooziA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BellasiSHB17,
  author       = {David E. Bellasi and
                  Philipp Sch{\"{o}}nle and
                  Qiuting Huang and
                  Luca Benini},
  title        = {A wide tuning-range {ADFLL} for mW-SoCs with dithering-enhanced accuracy
                  in 65 nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050284},
  doi          = {10.1109/ISCAS.2017.8050284},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BellasiSHB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BenaderoPAM17,
  author       = {Luis Benadero and
                  Enrique Ponce and
                  Abdelali El Aroudi and
                  Luis Mart{\'{\i}}nez{-}Salamero},
  title        = {Analysis of coexisting solutions and control of their bifurcations
                  in a parallel {LC} resonant inverter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050512},
  doi          = {10.1109/ISCAS.2017.8050512},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BenaderoPAM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BerdanSPP17,
  author       = {Radu Berdan and
                  Alexantrou Serb and
                  Christos Papavassiliou and
                  Themis Prodromakis},
  title        = {Live demonstration: {MNET:} {A} visually rich memristor crossbar simulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050399},
  doi          = {10.1109/ISCAS.2017.8050399},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BerdanSPP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BerkovichCICBA17,
  author       = {Andrew Berkovich and
                  Alexander Castro and
                  Mohammad Islam and
                  Fow{-}Sen Choa and
                  Geoffrey L. Barrows and
                  Pamela Abshire},
  title        = {Dark current reduction by an adaptive {CTIA} photocircuit for room
                  temperature {SWIR} sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050414},
  doi          = {10.1109/ISCAS.2017.8050414},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BerkovichCICBA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BerrimaBS17,
  author       = {Safa Berrima and
                  Yves Blaqui{\`{e}}re and
                  Yvon Savaria},
  title        = {A multi-measurements {RO-TDC} implemented in a Xilinx field programmable
                  gate array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050436},
  doi          = {10.1109/ISCAS.2017.8050436},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BerrimaBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BhavsarYA17,
  author       = {Krupa Suresh Bhavsar and
                  Hen{-}Geul Yeh and
                  Perla Ayala},
  title        = {An aided information to characterize {ECG} signals as normal or abnormal},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050423},
  doi          = {10.1109/ISCAS.2017.8050423},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BhavsarYA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BiegSGB17,
  author       = {Robert Bieg and
                  Martin Schmidt and
                  Markus Grozing and
                  Manfred Berroth},
  title        = {A 6 {V} {CMOS} switching mode amplifier for continuous-wave signals
                  from {DC} to 3 GHz},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050598},
  doi          = {10.1109/ISCAS.2017.8050598},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BiegSGB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BizzarriBCC17,
  author       = {Federico Bizzarri and
                  Angelo Brambilla and
                  Alessandro Colombo and
                  Sergio Callegari},
  title        = {Constant-time discontinuity map for forward sensitivity analysis to
                  initial conditions: Spurs detection in fractional-N {PLL} as a case
                  study},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050581},
  doi          = {10.1109/ISCAS.2017.8050581},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BizzarriBCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BjorndalL17,
  author       = {Oystein Bjorndal and
                  Tor Sverre Lande},
  title        = {Power-efficient, gate-based Digital-to-Time converter in {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050433},
  doi          = {10.1109/ISCAS.2017.8050433},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BjorndalL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BlockJHCFAHRL17,
  author       = {Scott T. Block and
                  Xiaonan Jiang and
                  Brad Harris and
                  Can Cui and
                  Jeronimo Segovia Fernandez and
                  Rajeevan Amirtharajah and
                  David A. Horsley and
                  Hooman Rashtian and
                  Xiaoguang Leo Liu},
  title        = {A 170nW {CMOS} wake-up receiver with -60 dBm sensitivity using AlN
                  high-Q piezoelectric resonators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050447},
  doi          = {10.1109/ISCAS.2017.8050447},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BlockJHCFAHRL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BluestoneKT17,
  author       = {Aaron Bluestone and
                  Ryan Kaveh and
                  Luke Theogarajan},
  title        = {An analog phase prediction based fractional-N {PLL}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050760},
  doi          = {10.1109/ISCAS.2017.8050760},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BluestoneKT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BolmeMRYJH17,
  author       = {David S. Bolme and
                  Aravind K. Mikkilineni and
                  Derek C. Rose and
                  Srikanth B. Yoginath and
                  Mohsen Judy and
                  Jeremy Holleman},
  title        = {Deep modeling: Circuit characterization using theory based models
                  in a data driven framework},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050752},
  doi          = {10.1109/ISCAS.2017.8050752},
  timestamp    = {Fri, 08 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BolmeMRYJH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BonehiAHWH17,
  author       = {Vahid Bonehi and
                  Soheil Aghaie and
                  Kai Hussmann and
                  Ralf Wunderlich and
                  Stefan Heinen},
  title        = {A 276 nW, area-eficient {CMOS} subbandgap reference circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050959},
  doi          = {10.1109/ISCAS.2017.8050959},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BonehiAHWH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BraatzAZP17,
  author       = {Luciano Almeida Braatz and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Marcelo Schiavon Porto},
  title        = {A multiplierless parallel {HEVC} quantization hardware for real-time
                  {UHD} 8K video coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050704},
  doi          = {10.1109/ISCAS.2017.8050704},
  timestamp    = {Tue, 30 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BraatzAZP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Briseno-Vidrios17,
  author       = {Carlos Briseno{-}Vidrios and
                  Dadian Zhou and
                  Suraj Prakash and
                  Qiyuan Liu and
                  Alexander Edward and
                  Jos{\'{e}} Silva{-}Mart{\'{\i}}nez},
  title        = {A 13bit 200MS/S pipeline {ADC} with current-mode MDACs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050690},
  doi          = {10.1109/ISCAS.2017.8050690},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Briseno-Vidrios17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BritoSFT17,
  author       = {Diogo Brito and
                  Jo{\~{a}}o Santos and
                  Jorge R. Fernandes and
                  Gon{\c{c}}alo Tavares},
  title        = {Live demonstration: {A} pulsar signal receiver system for navigation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050400},
  doi          = {10.1109/ISCAS.2017.8050400},
  timestamp    = {Thu, 20 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BritoSFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BudhwaniRS17,
  author       = {Rahul Kumar Budhwani and
                  Rengarajan Ragavan and
                  Olivier Sentieys},
  title        = {Taking advantage of correlation in stochastic computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050807},
  doi          = {10.1109/ISCAS.2017.8050807},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BudhwaniRS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BurrNSATLH17,
  author       = {Geoffrey W. Burr and
                  Pritish Narayanan and
                  Robert M. Shelby and
                  Stefano Ambrogio and
                  Hsinyu Tsai and
                  Scott L. Lewis and
                  Kohji Hosokawa},
  title        = {Neuromorphic devices and architectures for next-generation cognitive
                  computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050222},
  doi          = {10.1109/ISCAS.2017.8050222},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BurrNSATLH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BytynSLA17,
  author       = {Andreas Bytyn and
                  Jannik Springer and
                  Rainer Leupers and
                  Gerd Ascheid},
  title        = {{VLSI} implementation of {LS-SVM} training and classification using
                  entropy based subset-selection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050590},
  doi          = {10.1109/ISCAS.2017.8050590},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BytynSLA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaimiFWMM17,
  author       = {Luciano L. Caimi and
                  Vinicius Fochi and
                  Eduardo W{\"{a}}chter and
                  Daniel Munhoz and
                  Fernando Gehm Moraes},
  title        = {Activation of secure zones in many-core systems with dynamic rerouting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050256},
  doi          = {10.1109/ISCAS.2017.8050256},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaimiFWMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CandreaSOGT17,
  author       = {Daniel Candrea and
                  Avinash Sharma and
                  Luke Osborn and
                  Yikun Gu and
                  Nitish V. Thakor},
  title        = {Live demonstration - An adaptable prosthetic socket: Regulating independent
                  air bladders through closed-loop control},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050719},
  doi          = {10.1109/ISCAS.2017.8050719},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CandreaSOGT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CandreaSOGT17a,
  author       = {Daniel Candrea and
                  Avinash Sharma and
                  Luke Osborn and
                  Yikun Gu and
                  Nitish V. Thakor},
  title        = {An adaptable prosthetic socket: Regulating independent air bladders
                  through closed-loop control},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050727},
  doi          = {10.1109/ISCAS.2017.8050727},
  timestamp    = {Tue, 12 Dec 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CandreaSOGT17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CanzianiCP17,
  author       = {Alfredo Canziani and
                  Eugenio Culurciello and
                  Adam Paszke},
  title        = {Evaluation of neural network architectures for embedded systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050276},
  doi          = {10.1109/ISCAS.2017.8050276},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CanzianiCP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CapocciaBE17,
  author       = {Raffaele Capoccia and
                  Assim Boukhayma and
                  Christian C. Enz},
  title        = {Analysis of {CMS} noise reduction for 65 nm {CIS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050356},
  doi          = {10.1109/ISCAS.2017.8050356},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CapocciaBE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CardarilliNFGRC17,
  author       = {Gian Carlo Cardarilli and
                  Luca Di Nunzio and
                  Rocco Fazzolari and
                  Luca Gerardi and
                  Marco Re and
                  Giovanni Campolo and
                  Domenico Cascone},
  title        = {A new electric encoder position estimator based on the Chinese Remainder
                  Theorem for the {CMG} performance improvements},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050780},
  doi          = {10.1109/ISCAS.2017.8050780},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CardarilliNFGRC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CarreiraAFEK17,
  author       = {Jo{\~{a}}o Carreira and
                  Pedro A. Amado Assun{\c{c}}{\~{a}}o and
                  S{\'{e}}rgio M. M. Faria and
                  Erhan Ekmekcioglu and
                  Ahmet M. Kondoz},
  title        = {A robust video encoding scheme to enhance error concealment of intra
                  frames},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050576},
  doi          = {10.1109/ISCAS.2017.8050576},
  timestamp    = {Mon, 13 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CarreiraAFEK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CastanedaGS17,
  author       = {Oscar Casta{\~{n}}eda and
                  Tom Goldstein and
                  Christoph Studer},
  title        = {{FPGA} design of low-complexity joint channel estimation and data
                  detection for large {SIMO} wireless systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050252},
  doi          = {10.1109/ISCAS.2017.8050252},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CastanedaGS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChabchoubBNMM17,
  author       = {Emna Chabchoub and
                  Franck Badets and
                  Pascal Nouet and
                  Mohamed Masmoudi and
                  Fr{\'{e}}d{\'{e}}rick Mailly},
  title        = {A high temperature, 12-bit-time-domain sensor interface based on injection
                  locked oscillator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050504},
  doi          = {10.1109/ISCAS.2017.8050504},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChabchoubBNMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChaeWN17,
  author       = {Moo Sung Chae and
                  Tom Wilson and
                  Eric Naviasky},
  title        = {Multi-standard low-power {DDR} {I/O} circuit design in 7nm {CMOS}
                  process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050331},
  doi          = {10.1109/ISCAS.2017.8050331},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChaeWN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChakrabortyJ17,
  author       = {Dwaipayan Chakraborty and
                  Sumit Kumar Jha},
  title        = {Design of compact memristive in-memory computing systems using model
                  counting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050965},
  doi          = {10.1109/ISCAS.2017.8050965},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChakrabortyJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangC17,
  author       = {Andre Xian Ming Chang and
                  Eugenio Culurciello},
  title        = {Hardware accelerators for recurrent neural networks on {FPGA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050816},
  doi          = {10.1109/ISCAS.2017.8050816},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangCHSC17,
  author       = {Chia{-}Ning Chang and
                  Yin{-}Nien Chen and
                  Po{-}Tsang Huang and
                  Pin Su and
                  Ching{-}Te Chuang},
  title        = {Exploration and evaluation of low-dropout linear voltage regulator
                  with FinFET, {TFET} and hybrid TFET-FinFET implementations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051018},
  doi          = {10.1109/ISCAS.2017.8051018},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangCHSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangO17,
  author       = {Chun{-}hsiang Chang and
                  Marvin Onabajo},
  title        = {Low-power low-noise amplifier {IIP3} improvement under consideration
                  of the cascode stage},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050877},
  doi          = {10.1109/ISCAS.2017.8050877},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChemiakLSN17,
  author       = {Dmytro Chemiak and
                  Salvatore Levantino and
                  Carlo Samori and
                  Roberto Nonis},
  title        = {Analysis of millimeter-wave digital frequency modulators for ubiquitous
                  sensors and radars},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050549},
  doi          = {10.1109/ISCAS.2017.8050549},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChemiakLSN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenC17,
  author       = {Hung{-}Cheng Chen and
                  Tian{-}Sheuan Chang},
  title        = {Fast rate distortion optimization with adaptive context group modeling
                  for {HEVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050459},
  doi          = {10.1109/ISCAS.2017.8050459},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenGZWW17,
  author       = {Jun Chen and
                  Benqing Guo and
                  Fading Zhao and
                  Yao Wang and
                  Guangjun Wen},
  title        = {A low-voltage high-swing colpitts {VCO} with Inherent tapped capacitors
                  based dynamic body bias technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050374},
  doi          = {10.1109/ISCAS.2017.8050374},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenGZWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenL17,
  author       = {Fuqiang Chen and
                  Qiang Liu},
  title        = {Single-triggered hardware Trojan identification based on gate-level
                  circuit structural characteristics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050673},
  doi          = {10.1109/ISCAS.2017.8050673},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenMF17,
  author       = {Yong Chen and
                  Emil Mat{\'{u}}s and
                  Gerhard P. Fettweis},
  title        = {Combined packet and {TDM} circuit switching NoCs with novel connection
                  configuration mechanism},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050829},
  doi          = {10.1109/ISCAS.2017.8050829},
  timestamp    = {Mon, 08 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenMF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenQC17,
  author       = {Xi Chen and
                  Gang Qu and
                  Aijiao Cui},
  title        = {Practical {IP} watermarking and fingerprinting methods for {ASIC}
                  designs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050604},
  doi          = {10.1109/ISCAS.2017.8050604},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenQC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenTCC17,
  author       = {Hsin{-}Shu Chen and
                  Jia{-}Nan Tai and
                  Yi{-}Jan Emery Chen and
                  Jau{-}Horng Chen},
  title        = {A current average control method for transient-glitch reduction in
                  variable frequency {DC-DC} converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050586},
  doi          = {10.1109/ISCAS.2017.8050586},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenTCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenWHYR17,
  author       = {Yongzhen Chen and
                  Jingjing Wang and
                  Hang Hu and
                  Fan Ye and
                  Junyan Ren},
  title        = {A 200MS/s, 11 bit SAR-assisted pipeline {ADC} with bias-enhanced ring
                  amplifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050244},
  doi          = {10.1109/ISCAS.2017.8050244},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenWHYR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenZSZ17,
  author       = {Shiqiang Chen and
                  Xuchong Zhang and
                  Hongbin Sun and
                  Nanning Zheng},
  title        = {sWMF: Separable weighted median filter for efficient large-disparity
                  stereo matching},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050769},
  doi          = {10.1109/ISCAS.2017.8050769},
  timestamp    = {Wed, 26 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenZSZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengCW17,
  author       = {Cheng{-}Hsiang Cheng and
                  Zhi{-}Xin Chen and
                  Chung{-}Yu Wu},
  title        = {A 16-channel {CMOS} chopper-stabilized analog front-end acquisition
                  circuits for ECoG detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050954},
  doi          = {10.1109/ISCAS.2017.8050954},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengCW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengLTG17,
  author       = {Qi Cheng and
                  Weimin Li and
                  Xian Tang and
                  Jianping Guo},
  title        = {A cascode miller compensated three-stage amplifier with local Q-factor
                  control for wide capacitive load applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050491},
  doi          = {10.1109/ISCAS.2017.8050491},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengLTG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiWAO17,
  author       = {Jiazuo Chi and
                  Johannes Wagner and
                  Jens Anders and
                  Maurits Ortmanns},
  title        = {Digital interferer suppression and jitter reduction in continuous-time
                  bandpass {\(\Sigma\)}{\(\Delta\)} modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050482},
  doi          = {10.1109/ISCAS.2017.8050482},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiWAO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiccoPE17,
  author       = {Francesco Chicco and
                  Alessandro Pezzotta and
                  Christian C. Enz},
  title        = {Analysis of power consumption in {LC} oscillators based on the inversion
                  coefficient},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050648},
  doi          = {10.1109/ISCAS.2017.8050648},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiccoPE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoiB17,
  author       = {Hyomin Choi and
                  Ivan V. Bajic},
  title        = {Corner proposals from {HEVC} bitstreams},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050705},
  doi          = {10.1109/ISCAS.2017.8050705},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoiB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChuaA17,
  author       = {Adelson Chua and
                  Louis P. Alarc{\'{o}}n},
  title        = {A 450kHz PVT-resilient all-digital {BPSK} demodulator for energy harvesting
                  sensor nodes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050824},
  doi          = {10.1109/ISCAS.2017.8050824},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChuaA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CiciottiMB17,
  author       = {Fulvio Ciciotti and
                  Marcello De Matteis and
                  Andrea Baschirotto},
  title        = {A 0.9V 75MHz 2.8mW 4th-order analog filter in CMOS-bulk 28nm technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050501},
  doi          = {10.1109/ISCAS.2017.8050501},
  timestamp    = {Tue, 13 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CiciottiMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Cinco-Izquierdo17,
  author       = {Oscar J. Cinco{-}Izquierdo and
                  Maria Teresa Sanz and
                  Luis Hern{\'{a}}ndez and
                  Carlos Aristoteles De la Cruz{-}Blas},
  title        = {{CMOS} current-mode {PWL} implementation using {MAX} and {MIN} operators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050757},
  doi          = {10.1109/ISCAS.2017.8050757},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Cinco-Izquierdo17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CordovaOTKBF17,
  author       = {David Cordova and
                  Arthur Campos de Oliveira and
                  Pedro Toledo and
                  Hamilton Klimach and
                  Sergio Bampi and
                  Eric E. Fabris},
  title        = {A sub-1 V, nanopower, {ZTC} based zero-VT temperature-compensated
                  current reference},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050289},
  doi          = {10.1109/ISCAS.2017.8050289},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CordovaOTKBF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CorintoF17,
  author       = {Fernando Corinto and
                  Mauro Forti},
  title        = {Nonlinear dynamics of memristor oscillators via the flux-charge analysis
                  method},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050989},
  doi          = {10.1109/ISCAS.2017.8050989},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CorintoF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CornejoBAPM17,
  author       = {Julio Cesar Ortiz{-}Cornejo and
                  Serban Bejan and
                  St{\'{e}}phane Azou and
                  Jorge A. Pardi{\~{n}}as{-}Mir and
                  Pascal Morel},
  title        = {On envelope-tracking for {SOA} amplification of multicarrier signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050451},
  doi          = {10.1109/ISCAS.2017.8050451},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CornejoBAPM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CorreaZPA17,
  author       = {Marcel Moscarelli Corr{\^{e}}a and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Luciano Volcan Agostini},
  title        = {High-throughput {HEVC} intrapicture prediction hardware design targeting
                  {UHD} 8K videos},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050702},
  doi          = {10.1109/ISCAS.2017.8050702},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CorreaZPA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CrepaldiAMB17,
  author       = {Marco Crepaldi and
                  Gian Nicola Angotzi and
                  Antonio Maviglia and
                  Luca Berdondini},
  title        = {A 1 Gpps asynchronous logic {OOK} {IR-UWB} transmitter based on master-slave
                  {PLL} synthesis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050693},
  doi          = {10.1109/ISCAS.2017.8050693},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CrepaldiAMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CruzHLCL17,
  author       = {Hugo Cruz and
                  Hong{-}Yi Huang and
                  Ching{-}Hsing Luo and
                  Lih{-}Yih Chiou and
                  Shuenn{-}Yuh Lee},
  title        = {A novel clock-pulse-width calibration technique for charge redistribution
                  DACs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050483},
  doi          = {10.1109/ISCAS.2017.8050483},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CruzHLCL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiXLWM17,
  author       = {Jing Cui and
                  Ruiqin Xiong and
                  Falei Luo and
                  Shanshe Wang and
                  Siwei Ma},
  title        = {An adaptive and low-complexity all-zero block detection for {HEVC}
                  encoder},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050457},
  doi          = {10.1109/ISCAS.2017.8050457},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiXLWM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasC17,
  author       = {Bijit K. Das and
                  Mrityunjoy Chakraborty},
  title        = {A block-based convex combination of {NLMS} and {ZA-NLMS} for identifying
                  sparse systems with variable sparsity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050873},
  doi          = {10.1109/ISCAS.2017.8050873},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DasC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasRMCB17,
  author       = {Satyajit Das and
                  Davide Rossi and
                  Kevin J. M. Martin and
                  Philippe Coussy and
                  Luca Benini},
  title        = {A 142MOPS/mW integrated programmable array accelerator for smart visual
                  processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050238},
  doi          = {10.1109/ISCAS.2017.8050238},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DasRMCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasSGBB17,
  author       = {Devarshi Mrinal Das and
                  Abhishek Srivastava and
                  Aman Gupta and
                  Kashyap Barot and
                  Maryam Shojaei Baghini},
  title        = {A noise-power-area optimized novel programmable gain and bandwidth
                  instrumentation amplifier for biomedical applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050955},
  doi          = {10.1109/ISCAS.2017.8050955},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DasSGBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DastjerdiKS17,
  author       = {Amirhossein Esmaili Dastjerdi and
                  Mohammad Kachuee and
                  Mahdi Shabany},
  title        = {Non-invasive blood pressure estimation using phonocardiogram},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050240},
  doi          = {10.1109/ISCAS.2017.8050240},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DastjerdiKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Davila-MonteroB17,
  author       = {Sylmarie Davila{-}Montero and
                  Deren Y. Barsakcioglu and
                  Andrew Jackson and
                  Timothy G. Constandinou and
                  Andrew J. Mason},
  title        = {Real-time clustering algorithm that adapts to dynamic changes in neural
                  recordings},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050425},
  doi          = {10.1109/ISCAS.2017.8050425},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Davila-MonteroB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DawsonIVM17,
  author       = {Bryan P. Dawson and
                  Jamie K. Infantolino and
                  Manuel M. Vindiola and
                  John V. Monaco},
  title        = {Tightly integrated deep learning and symbolic programming on a single
                  neuromorphic chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050340},
  doi          = {10.1109/ISCAS.2017.8050340},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DawsonIVM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DeiFMTS17,
  author       = {Michele Dei and
                  Roger Figueras and
                  Josep Maria Margarit and
                  Llu{\'{\i}}s Ter{\'{e}}s and
                  Francisco Serra{-}Graells},
  title        = {Highly linear integrate-and-fire modulators with soft reset for low-power
                  high-speed imagers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050411},
  doi          = {10.1109/ISCAS.2017.8050411},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DeiFMTS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DeiSMSTS17,
  author       = {Michele Dei and
                  Jordi Sacrist{\'{a}}n and
                  Eloi Marig{\'{o}} and
                  Mohanraj Soundara and
                  Llu{\'{\i}}s Ter{\'{e}}s and
                  Francisco Serra{-}Graells},
  title        = {A 10-bit linearity current-controlled ring oscillator with rolling
                  regulation for smart sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050228},
  doi          = {10.1109/ISCAS.2017.8050228},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DeiSMSTS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DengFWCTG17,
  author       = {Jianghui Deng and
                  Zhuojian Fu and
                  Zhao Wang and
                  Dihu Chen and
                  Xian Tang and
                  Jianping Guo},
  title        = {Improved Nauta transconductor for wideband intermediate-frequency
                  gm-C filter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050679},
  doi          = {10.1109/ISCAS.2017.8050679},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DengFWCTG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DerouiDNK17,
  author       = {Hamza Deroui and
                  Karol Desnos and
                  Jean{-}Fran{\c{c}}ois Nezan and
                  Alix Munier Kordon},
  title        = {Throughput evaluation of {DSP} applications based on hierarchical
                  dataflow models},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050774},
  doi          = {10.1109/ISCAS.2017.8050774},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DerouiDNK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DhaouGLT17,
  author       = {Imed Ben Dhaou and
                  Tuan Nguyen Gia and
                  Pasi Liljeberg and
                  Hannu Tenhunen},
  title        = {Low-latency hardware architecture for cipher-based message authentication
                  code},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050840},
  doi          = {10.1109/ISCAS.2017.8050840},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DhaouGLT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DharZMGR17,
  author       = {Debashis Dhar and
                  Paul T. M. van Zeijl and
                  Dusan M. Milosevic and
                  Hao Gao and
                  Arthur H. M. van Roermund},
  title        = {Modeling and analysis of the effects of {PLL} phase noise on {FMCW}
                  radar performance},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050525},
  doi          = {10.1109/ISCAS.2017.8050525},
  timestamp    = {Thu, 17 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DharZMGR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Diaz-MadridDAO17,
  author       = {Jos{\'{e}} {\'{A}}ngel D{\'{\i}}az{-}Madrid and
                  Gin{\'{e}}s Dom{\'{e}}nech{-}Asensi and
                  Jos{\'{e}}{-}Alejandro L{\'{o}}pez Alcantud and
                  M. Oberst},
  title        = {An 11-bit 20-MSample/s pipelined {ADC} with {OTA} bias current regulation
                  to optimize power dissipation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050484},
  doi          = {10.1109/ISCAS.2017.8050484},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Diaz-MadridDAO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DilelloAKRG17,
  author       = {Alex Dilello and
                  Steven Andryzcik and
                  Brandon M. Kelly and
                  Brandon Rumberg and
                  David W. Graham},
  title        = {Temperature compensation of floating-gate transistors in field-programmable
                  analog arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050290},
  doi          = {10.1109/ISCAS.2017.8050290},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DilelloAKRG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DingZ17,
  author       = {Lei Ding and
                  Wei Xing Zheng},
  title        = {On network-based leader-following consensus of linear multi-agent
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050469},
  doi          = {10.1109/ISCAS.2017.8050469},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DingZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Dominguez-Morales17,
  author       = {Juan Pedro Dominguez{-}Morales and
                  Antonio Rios{-}Navarro and
                  Daniel Gutierrez{-}Galan and
                  Ricardo Tapiador{-}Morales and
                  Angel Jim{\'{e}}nez{-}Fernandez and
                  Elena Cerezuela{-}Escudero and
                  Manuel Dom{\'{\i}}nguez{-}Morales and
                  Alejandro Linares{-}Barranco},
  title        = {Live demonstration - Multilayer spiking neural network for audio samples
                  classification using SpiNNaker},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050404},
  doi          = {10.1109/ISCAS.2017.8050404},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Dominguez-Morales17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DongTYZSW17,
  author       = {Yangtao Dong and
                  Lihan Tang and
                  Xiaolin Yang and
                  Menglian Zhao and
                  Peng Sun and
                  Xiaobo Wu},
  title        = {A 1.8 {\(\mu\)}W 32 nV/{\(\surd\)}Hz current-reuse capacitively-coupled
                  instrumentation amplifier for {EEG} detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050494},
  doi          = {10.1109/ISCAS.2017.8050494},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DongTYZSW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DongYFBS17,
  author       = {Qing Dong and
                  Kaiyuan Yang and
                  Laura Fick and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Rectified-linear and recurrent neural networks built with spin devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050922},
  doi          = {10.1109/ISCAS.2017.8050922},
  timestamp    = {Tue, 02 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DongYFBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DongZ17,
  author       = {Xuan Dong and
                  Lihong Zhang},
  title        = {Analog layout retargeting with process-variation-aware rule-based
                  {OPC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051014},
  doi          = {10.1109/ISCAS.2017.8051014},
  timestamp    = {Wed, 04 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DongZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuanmuKLW17,
  author       = {Fanyi Duanmu and
                  Eymen Kurdoglu and
                  Yong Liu and
                  Yao Wang},
  title        = {View direction and bandwidth adaptive 360 degree video streaming using
                  a two-tier system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050575},
  doi          = {10.1109/ISCAS.2017.8050575},
  timestamp    = {Sun, 08 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuanmuKLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DubeyASKKN17,
  author       = {Prashant Dubey and
                  Kritika Aditya and
                  Ankur Srivastava and
                  Amit Khanuja and
                  Jamil Kawa and
                  Thu Nguyen},
  title        = {A 0.42V high bandwidth synthesizable parallel access smart memory
                  fabric for computer vision},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050235},
  doi          = {10.1109/ISCAS.2017.8050235},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DubeyASKKN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuncanE17,
  author       = {Kerron R. Duncan and
                  Ralph Etienne{-}Cummings},
  title        = {A model based approach for realizing a safe wireless biotelemetry
                  system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050366},
  doi          = {10.1109/ISCAS.2017.8050366},
  timestamp    = {Fri, 14 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuncanE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EbrahimiGR17,
  author       = {Elnaz Ebrahimi and
                  Matthew R. Guthaus and
                  Jose Renau},
  title        = {Timing speculative {SRAM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050754},
  doi          = {10.1109/ISCAS.2017.8050754},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EbrahimiGR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EbrahimiPR17,
  author       = {Elnaz Ebrahimi and
                  Rafael Trapani Possignolo and
                  Jose Renau},
  title        = {Level shifter design for voltage stacking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050831},
  doi          = {10.1109/ISCAS.2017.8050831},
  timestamp    = {Wed, 10 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EbrahimiPR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EdwardsRT17,
  author       = {Joshua S. Edwards and
                  Ravi Prakash Ramachandran and
                  Umashanger Thayasivam},
  title        = {Robust speaker verification with a two classifier format and feature
                  enhancement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050775},
  doi          = {10.1109/ISCAS.2017.8050775},
  timestamp    = {Wed, 03 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/EdwardsRT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EhteshamuddinSH17,
  author       = {Mohammed Ehteshamuddin and
                  Jebreel M. Salem and
                  Dong Sam Ha},
  title        = {A high temperature variable gain amplifier based on GaN {HEMT} devices
                  for downhole communications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050376},
  doi          = {10.1109/ISCAS.2017.8050376},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/EhteshamuddinSH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/El-ShennawyAJE17,
  author       = {Mohammed El{-}Shennawy and
                  Belal Al{-}Qudsi and
                  Niko Joram and
                  Frank Ellinger},
  title        = {A dual band {FMCW} radar receiver with integrated active balun and
                  baseband {AGC} loop},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050526},
  doi          = {10.1109/ISCAS.2017.8050526},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/El-ShennawyAJE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/El-meligyAYI17,
  author       = {Nada El{-}meligy and
                  Moustafa Amin and
                  Eslam Yahya and
                  Yehea Ismail},
  title        = {130nm Low power asynchronous {AES} core},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050832},
  doi          = {10.1109/ISCAS.2017.8050832},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/El-meligyAYI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ElsayedPSC17,
  author       = {Hesham Mostafa Elsayed and
                  Bruno U. Pedroni and
                  Sadique Sheik and
                  Gert Cauwenberghs},
  title        = {Fast classification using sparsely active spiking networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050527},
  doi          = {10.1109/ISCAS.2017.8050527},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ElsayedPSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EnemaliAA17,
  author       = {Godwin Enemali and
                  Adewale Adetomi and
                  Tughrul Arslan},
  title        = {A placement management circuit for efficient realtime hardware reuse
                  on FPGAs targeting reliable autonomous systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050796},
  doi          = {10.1109/ISCAS.2017.8050796},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EnemaliAA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ErfaniMSM17,
  author       = {Reza Erfani and
                  Fatemeh Marefat and
                  Amir M. Sodagar and
                  Pedram Mohseni},
  title        = {Transcutaneous capacitive wireless power transfer {(C-WPT)} for biomedical
                  implants},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050940},
  doi          = {10.1109/ISCAS.2017.8050940},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ErfaniMSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ErikssonKNNSYKP17,
  author       = {Jonas Eriksson and
                  Mika Kutila and
                  Tapani Nevalainen and
                  Phong Nguyen and
                  Kati Sairanen and
                  Marko Ylitolva and
                  Tero Koivisto and
                  Mikko P{\"{a}}nk{\"{a}}{\"{a}}l{\"{a}}},
  title        = {Electromechanical cardiac monitoring SoC for atrial fibrillation detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050896},
  doi          = {10.1109/ISCAS.2017.8050896},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ErikssonKNNSYKP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EspositoCNPS17,
  author       = {Darjn Esposito and
                  Davide De Caro and
                  Ettore Napoli and
                  Nicola Petra and
                  Antonio G. M. Strollo},
  title        = {On the use of approximate adders in carry-save multiplier-accumulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050437},
  doi          = {10.1109/ISCAS.2017.8050437},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/EspositoCNPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EspositoSA17,
  author       = {Darjn Esposito and
                  Antonio G. M. Strollo and
                  Massimo Alioto},
  title        = {Power-precision scalable latch memories},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050995},
  doi          = {10.1109/ISCAS.2017.8050995},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/EspositoSA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FallQCLBGG17,
  author       = {Cheikh Latyr Fall and
                  Francis Quevillon and
                  Alexandre Campeau{-}Lecours and
                  Simon Latour and
                  Martine Blouin and
                  Cl{\'{e}}ment Gosselin and
                  Benoit Gosselin},
  title        = {Live demonstration: {A} multimodal adaptive wireless control interface
                  for people with upper-body disabilities},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050725},
  doi          = {10.1109/ISCAS.2017.8050725},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FallQCLBGG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FallQCLBGG17a,
  author       = {Cheikh Latyr Fall and
                  Francis Quevillon and
                  Alexandre Campeau{-}Lecours and
                  Simon Latour and
                  Martine Blouin and
                  Cl{\'{e}}ment Gosselin and
                  Benoit Gosselin},
  title        = {A multimodal adaptive wireless control interface for people with upper-body
                  disabilities},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050731},
  doi          = {10.1109/ISCAS.2017.8050731},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FallQCLBGG17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanBLT17,
  author       = {Zhongyan Fan and
                  Xiaowen Bi and
                  Doujie Li and
                  Wallace K. S. Tang},
  title        = {Multiobjective transshipment point assignment in China express delivery
                  network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050465},
  doi          = {10.1109/ISCAS.2017.8050465},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FanBLT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanGZYG17,
  author       = {Shiquan Fan and
                  Zhuoqi Guo and
                  Jie Zhang and
                  Xu Yang and
                  Li Geng},
  title        = {An auxiliary switched-capacitor power converter {(SCPC)} applied in
                  stacked digital architecture for energy utilization enhancement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050852},
  doi          = {10.1109/ISCAS.2017.8050852},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FanGZYG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanHMLHC17,
  author       = {Hua Fan and
                  Hadi Heidari and
                  Franco Maloberti and
                  Dagang Li and
                  Daqian Hu and
                  Yuanjun Cen},
  title        = {High resolution and linearity enhanced {SAR} {ADC} for wearable sensing
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050265},
  doi          = {10.1109/ISCAS.2017.8050265},
  timestamp    = {Thu, 28 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FanHMLHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanZWGF17,
  author       = {Shiquan Fan and
                  Liuming Zhao and
                  Ran Wei and
                  Li Geng and
                  Philip X.{-}L. Feng},
  title        = {An ultra-low quiescent current power management {ASIC} with {MPPT}
                  for vibrational energy harvesting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050865},
  doi          = {10.1109/ISCAS.2017.8050865},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FanZWGF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanZWWZWF17,
  author       = {Shiquan Fan and
                  Liuming Zhao and
                  Peng Wang and
                  Ran Wei and
                  Xuqiang Zheng and
                  Zenghui Wang and
                  Philip X.{-}L. Feng},
  title        = {A battery-less, 255 nA quiescent current temperature sensor with voltage
                  regulator fully powered by harvesting ambient vibrational energy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050415},
  doi          = {10.1109/ISCAS.2017.8050415},
  timestamp    = {Thu, 25 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FanZWWZWF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FengSH17,
  author       = {Tianming Feng and
                  Jebreel M. Salem and
                  Dong Sam Ha},
  title        = {High temperature {VCO} based on GaN devices for downhole communications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050448},
  doi          = {10.1109/ISCAS.2017.8050448},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FengSH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FickSPWG17,
  author       = {Laura Fick and
                  Dennis Sylvester and
                  John W. Poulton and
                  John M. Wilson and
                  C. Thomas Gray},
  title        = {A 25 Gb/s 470 {\(\mu\)}W active inductor equalizer for ground referenced
                  signaling receivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050445},
  doi          = {10.1109/ISCAS.2017.8050445},
  timestamp    = {Mon, 23 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FickSPWG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FilanovskyOTI17,
  author       = {Igor M. Filanovsky and
                  Lu{\'{\i}}s Bica Oliveira and
                  Nikolay T. Tchamov and
                  Vadim V. Ivanov},
  title        = {A simple {LDO} with adaptable bias for internet of things applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050540},
  doi          = {10.1109/ISCAS.2017.8050540},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FilanovskyOTI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FischlFTSA17,
  author       = {Kate D. Fischl and
                  Kaitlin Lindsay Fair and
                  Wei{-}Yu Tsai and
                  Jack Sampson and
                  Andreas G. Andreou},
  title        = {Path planning on the TrueNorth neurosynaptic system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050932},
  doi          = {10.1109/ISCAS.2017.8050932},
  timestamp    = {Wed, 27 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FischlFTSA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FokCT17,
  author       = {Kai{-}Yin Fok and
                  Chi{-}Tsun Cheng and
                  Chi K. Tse},
  title        = {A refinement process for nozzle path planning in 3D printing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050471},
  doi          = {10.1109/ISCAS.2017.8050471},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FokCT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FoutoP17,
  author       = {David Fouto and
                  Nuno Paulino},
  title        = {A 3rd order {MASH} switched-capacitor {\(\Sigma\)}{\(\Delta\)}M using
                  ultra incomplete settling employing an area reduction technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050370},
  doi          = {10.1109/ISCAS.2017.8050370},
  timestamp    = {Mon, 04 Feb 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FoutoP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FreebornE17,
  author       = {Todd J. Freeborn and
                  Ahmed S. Elwakil},
  title        = {Variability of supercapacitor fractional-order parameters extracted
                  from discharging behavior using least squares optimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050647},
  doi          = {10.1109/ISCAS.2017.8050647},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FreebornE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FrenkelILB17,
  author       = {Charlotte Frenkel and
                  Giacomo Indiveri and
                  Jean{-}Didier Legat and
                  David Bol},
  title        = {A fully-synthesized 20-gate digital spike-based synapse with embedded
                  online learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050219},
  doi          = {10.1109/ISCAS.2017.8050219},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FrenkelILB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Frey17,
  author       = {Douglas R. Frey},
  title        = {A unifying perspective on phase noise and injection locking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050467},
  doi          = {10.1109/ISCAS.2017.8050467},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Frey17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FreyOMH17,
  author       = {Urs Frey and
                  Marie Engelene J. Obien and
                  Jan Mueller and
                  Andreas Hierlemann},
  title        = {Technology trends and commercialization of high-density microelectrode
                  arrays for advanced in-vitro electrophysiology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050215},
  doi          = {10.1109/ISCAS.2017.8050215},
  timestamp    = {Tue, 03 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FreyOMH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FuS17,
  author       = {Guoqing Fu and
                  Sameer Sonkusale},
  title        = {{CMOS} luminescence lifetime sensor for white {LED} multi-spectral
                  characterization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051009},
  doi          = {10.1109/ISCAS.2017.8051009},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FuS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Gagnon-Turcotte17,
  author       = {Gabriel Gagnon{-}Turcotte and
                  Leonard L. Gagnon and
                  Guillaume Bilodeau and
                  Benoit Gosselin},
  title        = {Wireless brain computer interfaces enabling synchronized optogenetics
                  and electrophysiology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050345},
  doi          = {10.1109/ISCAS.2017.8050345},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Gagnon-Turcotte17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Gagnon-Turcotte17a,
  author       = {Gabriel Gagnon{-}Turcotte and
                  Yoan LeChasseur and
                  Cyril Bories and
                  Youn{\`{e}}s Messaddeq and
                  Yves De Koninck and
                  Benoit Gosselin},
  title        = {Live demonstration: {A} wireless headstage enabling combined optogenetics
                  and multichannel electrophysiological recording},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050724},
  doi          = {10.1109/ISCAS.2017.8050724},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Gagnon-Turcotte17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GagnonGPCCG17,
  author       = {Leonard L. Gagnon and
                  Gabriel Gagnon{-}Turcotte and
                  Aude Popek and
                  Aurelien Chatelier and
                  Mohamed Chahine and
                  Benoit Gosselin},
  title        = {A wireless system for combined heart optogenetics and electrocardiography
                  recording},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050365},
  doi          = {10.1109/ISCAS.2017.8050365},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GagnonGPCCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GagnonGR17,
  author       = {Ghyslain Gagnon and
                  Fran{\c{c}}ois Gagnon and
                  Gordon W. Roberts},
  title        = {The analytic expression of the output spectrum of {\(\Delta\)}{\(\Sigma\)}
                  ADCs with nonlinear binary-weighted DACs and Gaussian input signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050691},
  doi          = {10.1109/ISCAS.2017.8050691},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GagnonGR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GalanisOA17,
  author       = {Ioannis Galanis and
                  Daniel Olsen and
                  Iraklis Anagnostopoulos},
  title        = {A multi-agent based system for run-time distributed resource management},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050298},
  doi          = {10.1109/ISCAS.2017.8050298},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GalanisOA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Galias17,
  author       = {Zbigniew Galias},
  title        = {On optimum placement of sectionalizing switches in radial distribution
                  networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050510},
  doi          = {10.1109/ISCAS.2017.8050510},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Galias17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GalluppiCPCGOLP17,
  author       = {Francesco Galluppi and
                  Guillaume Chenegros and
                  Didier Pruneau and
                  Gilles Corduri{\'{e}} and
                  Charlie Galle and
                  Nicolas Oddo and
                  Xavier Lagorce and
                  Christoph Posch and
                  Jo{\"{e}}l Chavas and
                  Ryad Benosman},
  title        = {Live demonstration: {A} stimulation platform for optogenetic and bionic
                  vision restoration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050409},
  doi          = {10.1109/ISCAS.2017.8050409},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GalluppiCPCGOLP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GalluppiPCLPCCG17,
  author       = {Francesco Galluppi and
                  Didier Pruneau and
                  Jo{\"{e}}l Chavas and
                  Xavier Lagorce and
                  Christoph Posch and
                  Guillaume Chenegros and
                  Gilles Corduri{\'{e}} and
                  Charlie Galle and
                  Nicolas Oddo and
                  Ryad Benosman},
  title        = {A stimulation platform for optogenetic and bionic vision restoration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050683},
  doi          = {10.1109/ISCAS.2017.8050683},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GalluppiPCLPCCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GanganathCIF17,
  author       = {Nuwan Ganganath and
                  Chi{-}Tsun Cheng and
                  Herbert H. C. Iu and
                  Tyrone Fernando},
  title        = {Subsystem size optimization for efficient parallel restoration of
                  power systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050925},
  doi          = {10.1109/ISCAS.2017.8050925},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GanganathCIF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GangarajaiahPEL17,
  author       = {Rakesh Gangarajaiah and
                  Hemanth Prabhu and
                  Ove Edfors and
                  Liang Liu},
  title        = {A Cholesky decomposition based massive {MIMO} uplink detector with
                  adaptive interpolation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050312},
  doi          = {10.1109/ISCAS.2017.8050312},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GangarajaiahPEL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoBTB17,
  author       = {Feng Gao and
                  Amine Bermak and
                  Chi{-}Ying Tsui and
                  Farid Boussa{\"{\i}}d},
  title        = {Dual transduction Gas sensor based on a surface acoustic wave resonator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051006},
  doi          = {10.1109/ISCAS.2017.8051006},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoBTB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoDGGSGGML17,
  author       = {Xinwei Gao and
                  Haibo Deng and
                  Yaoyao Guo and
                  Chenchen Gu and
                  Yongfang Shi and
                  Anlin Gao and
                  Licai Guo and
                  Xunan Mao and
                  Jing Lv},
  title        = {Low-lighting video enhancement using constrained spatial-temporal
                  model for real-time mobile communication},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050384},
  doi          = {10.1109/ISCAS.2017.8050384},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoDGGSGGML17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoGLC17,
  author       = {Chang Gao and
                  Sara S. Ghoreishizadeh and
                  Yan Liu and
                  Timothy G. Constandinou},
  title        = {On-chip {ID} generation for multi-node implantable devices using {SA-PUF}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050422},
  doi          = {10.1109/ISCAS.2017.8050422},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoGLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoJWGDW17,
  author       = {Shaoquan Gao and
                  Hanjun Jiang and
                  Zhaoyang Weng and
                  Yanshu Guo and
                  Jingjing Dong and
                  Zhihua Wang},
  title        = {A 7.9{\(\mu\)}A 4-bit 4Msps successive approximation phase-domain
                  {ADC} for {GFSK} demodulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050562},
  doi          = {10.1109/ISCAS.2017.8050562},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoJWGDW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoQ17,
  author       = {Mingze Gao and
                  Gang Qu},
  title        = {A novel approximate computing based security primitive for the Internet
                  of Things},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050360},
  doi          = {10.1109/ISCAS.2017.8050360},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoQ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GarciaMN17,
  author       = {Jos{\'{e}} C. Garc{\'{\i}}a and
                  Juan A. Montiel{-}Nelson and
                  Saeid Nooshabadi},
  title        = {Single supply {CMOS} Up level shifter for dual voltage system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050825},
  doi          = {10.1109/ISCAS.2017.8050825},
  timestamp    = {Thu, 04 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GarciaMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GarciaZPG17,
  author       = {Missael Garcia and
                  Mohamed Zayed and
                  Kyoung{-}mi Park and
                  Viktor Gruev},
  title        = {A 1600 by 1200, 300 mW, 40 fps multi-spectral imager for near-infrared
                  fluorescence image-guided surgery},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050354},
  doi          = {10.1109/ISCAS.2017.8050354},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GarciaZPG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GarciaZPG17a,
  author       = {Missael Garcia and
                  Mohamed Zayed and
                  Kyoung{-}mi Park and
                  Viktor Gruev},
  title        = {Live demonstration: {A} 1600 by 1200, 300 mW, 40 fps multi-spectral
                  imager for near-infrared fluorescence image-guided surgery},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050393},
  doi          = {10.1109/ISCAS.2017.8050393},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GarciaZPG17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaribottiRSWB17,
  author       = {Rafael Garibotti and
                  Brandon Reagen and
                  Yakun Sophia Shao and
                  Gu{-}Yeon Wei and
                  David M. Brooks},
  title        = {Using dynamic dependence analysis to improve the quality of high-level
                  synthesis designs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050748},
  doi          = {10.1109/ISCAS.2017.8050748},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaribottiRSWB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GeCK17,
  author       = {Xinyuan Ge and
                  Lin Cheng and
                  Wing{-}Hung Ki},
  title        = {A 13.56 MHz one-stage high-efficiency 0X/1X {R3} rectifier for implatable
                  medical devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050848},
  doi          = {10.1109/ISCAS.2017.8050848},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GeCK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GeLY17,
  author       = {Xinyuan Ge and
                  Tsz Ngai Lin and
                  Jie Yuan},
  title        = {A power-area-efficient impedance sensor design for 10 {\texttimes}
                  10 microelectrode array sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050304},
  doi          = {10.1109/ISCAS.2017.8050304},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GeLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GeZKC17,
  author       = {Tong Ge and
                  Jia Zhou and
                  Yang Kang and
                  Joseph S. Chang},
  title        = {Review: {A} fully-additive printed electronics process with very-low
                  process variations (Bent and unbent substrates) and {PDK}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050614},
  doi          = {10.1109/ISCAS.2017.8050614},
  timestamp    = {Fri, 29 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GeZKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GhafarianG17,
  author       = {Hossein Ghafarian and
                  Friedel Gerfers},
  title        = {A digital calibration technique canceling non-linear switch and package
                  impedance effects of a 1.6GS/s {TX-DAC} in 28 nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050689},
  doi          = {10.1109/ISCAS.2017.8050689},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GhafarianG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GharehbaghiF17,
  author       = {Amir Masoud Gharehbaghi and
                  Masahiro Fujita},
  title        = {A new approach for diagnosing bridging faults in logic designs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050914},
  doi          = {10.1109/ISCAS.2017.8050914},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GharehbaghiF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GharzaiHSHB17,
  author       = {Mahir Kabeer Gharzai and
                  Dingyi Hong and
                  Joseph A. Schmitz and
                  Michael W. Hoffman and
                  Sina Balkir},
  title        = {Real-time trajectory calculation and prediction using neighborhood-level
                  parallel processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050413},
  doi          = {10.1109/ISCAS.2017.8050413},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GharzaiHSHB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GokhaleZCC17,
  author       = {Vinayak Gokhale and
                  Aliasger Zaidy and
                  Andre Xian Ming Chang and
                  Eugenio Culurciello},
  title        = {Snowflake: An efficient hardware accelerator for convolutional neural
                  networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050809},
  doi          = {10.1109/ISCAS.2017.8050809},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GokhaleZCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GoncalvesRM17,
  author       = {Stephano Machado Moreira Goncalves and
                  Leomar S. da Rosa Jr. and
                  Felipe de Souza Marques},
  title        = {A survey of path search algorithms for {VLSI} detailed routing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050432},
  doi          = {10.1109/ISCAS.2017.8050432},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GoncalvesRM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GongQW17,
  author       = {Yanping Gong and
                  Fengyu Qian and
                  Lei Wang},
  title        = {A secure scan chain test scheme exploiting retention loss of memristors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050911},
  doi          = {10.1109/ISCAS.2017.8050911},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GongQW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GosselinPCGBD17,
  author       = {Paul Gosselin and
                  Roberto Puddu and
                  Alexis Carreira and
                  Mehrdad A. Ghanad and
                  Massimo Barbaro and
                  Catherine Dehollain},
  title        = {A {CMOS} automatic tuning system to maximize remote powering efficiency},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050554},
  doi          = {10.1109/ISCAS.2017.8050554},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GosselinPCGBD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GriffithRKGHY17,
  author       = {Danielle Griffith and
                  Per Torstein R{\o}ine and
                  Torjus Kallerud and
                  Brian Goodlin and
                  Zachary Hughes and
                  Ernest Ting{-}Ta Yen},
  title        = {A {\(\pm\)}10ppm -40 to 125{\textdegree}C BAW-based frequency reference
                  system for crystal-less wireless sensor nodes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050282},
  doi          = {10.1109/ISCAS.2017.8050282},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GriffithRKGHY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GronroosNPP17,
  author       = {Mari Gr{\"{o}}nroos and
                  Tapani Nevalainen and
                  Jonne Poikonen and
                  Ari Paasio},
  title        = {Fast thermopile readout circuit arrangement for array processors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050591},
  doi          = {10.1109/ISCAS.2017.8050591},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GronroosNPP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GtatPM17,
  author       = {Yousef Gtat and
                  Sina Parsnejad and
                  Andrew J. Mason},
  title        = {Live demonstration: Automated data acquisition and digital curation
                  platform for enhancing research precision, productivity and reproducibility},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050711},
  doi          = {10.1109/ISCAS.2017.8050711},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GtatPM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuHO17,
  author       = {Chongyan Gu and
                  Neil Hanley and
                  M{\'{a}}ire O'Neill},
  title        = {FPGA-based strong {PUF} with increased uniqueness and entropy properties},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050838},
  doi          = {10.1109/ISCAS.2017.8050838},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuHO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuglielmiCZAMMS17,
  author       = {Emanuele Guglielmi and
                  Marco Carminati and
                  Francesco Zanetto and
                  Andrea Annoni and
                  Francesco Morichetti and
                  Andrea Melloni and
                  Marco Sampietro and
                  Giorgio Ferrari},
  title        = {16-Channel modular platform for automatic control and reconfiguration
                  of complex photonic circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050453},
  doi          = {10.1109/ISCAS.2017.8050453},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuglielmiCZAMMS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuicqueroA17,
  author       = {William Guicquero and
                  Laurent Alacoque},
  title        = {Impact of fixed pattern noise on embedded image compression techniques},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050547},
  doi          = {10.1109/ISCAS.2017.8050547},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuicqueroA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoHC17,
  author       = {Menghan Guo and
                  Jing Huang and
                  Shoushun Chen},
  title        = {Live demonstration: {A} 768 {\texttimes} 640 pixels 200Meps dynamic
                  vision sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050397},
  doi          = {10.1109/ISCAS.2017.8050397},
  timestamp    = {Tue, 30 Oct 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoMWLJW17,
  author       = {Yanshu Guo and
                  Songping Mai and
                  Zhaoyang Weng and
                  Heng Liu and
                  Hanjun Jiang and
                  Zhihua Wang},
  title        = {A 9.4 pJ/bit 432 MHz 16-QAM/MSK transmitter based on edge-combining
                  power amplifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050449},
  doi          = {10.1109/ISCAS.2017.8050449},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoMWLJW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoYCHYLAH17,
  author       = {Lingyi Guo and
                  Le Ye and
                  Cheng Chen and
                  Qianqian Huang and
                  Libo Yang and
                  Zhu Lv and
                  Xia An and
                  Ru Huang},
  title        = {Benchmarking {TFET} from a circuit level perspective: Applications
                  and guideline},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051028},
  doi          = {10.1109/ISCAS.2017.8051028},
  timestamp    = {Mon, 03 Jun 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoYCHYLAH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuptaSKP17,
  author       = {Isha Gupta and
                  Alexantrou Serb and
                  Ali Khiat and
                  Themistoklis Prodromakis},
  title        = {Mitigating noise effects in volatile nano-metal oxide neural detector},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050987},
  doi          = {10.1109/ISCAS.2017.8050987},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuptaSKP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuvenEKC17,
  author       = {Onur Guven and
                  Amir Eftekhar and
                  Wilko J. Kindt and
                  Timothy G. Constandinou},
  title        = {Low-power real-time {ECG} baseline wander removal: Hardware implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050663},
  doi          = {10.1109/ISCAS.2017.8050663},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuvenEKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaapalaPSH17,
  author       = {Tuomas Haapala and
                  Mika Pulkkinen and
                  Jarno Salomaa and
                  Kari Halonen},
  title        = {A 180-nW static power {UWB} {IR} transmitter front-end for energy
                  harvesting applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050876},
  doi          = {10.1109/ISCAS.2017.8050876},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaapalaPSH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaciLC17,
  author       = {Dorian Haci and
                  Yan Liu and
                  Timothy G. Constandinou},
  title        = {32-Channel ultra-low-noise arbitrary signal generation platform for
                  biopotential emulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050427},
  doi          = {10.1109/ISCAS.2017.8050427},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaciLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaddadGR17,
  author       = {Fayrouz Haddad and
                  Imen Ghorbel and
                  Wenceslas Rahajandraibe},
  title        = {Multi-band inductor-less {VCO} for IoT applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050738},
  doi          = {10.1109/ISCAS.2017.8050738},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaddadGR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HagerRWB17,
  author       = {Pascal Alexander Hager and
                  Christoph Risser and
                  Peter{-}Karl Weber and
                  Luca Benini},
  title        = {LightProbe: {A} 64-channel programmable ultrasound transducer head
                  with an integrated front-end and a 26.4 Gb/s optical link},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050300},
  doi          = {10.1109/ISCAS.2017.8050300},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HagerRWB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HailesellasieH17,
  author       = {Muluken Hailesellasie and
                  Syed Rafay Hasan},
  title        = {A fast FPGA-based deep convolutional neural network using pseudo parallel
                  memories},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050317},
  doi          = {10.1109/ISCAS.2017.8050317},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HailesellasieH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Hajj17,
  author       = {Ibrahim N. Hajj},
  title        = {Beyond {SPICE}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050857},
  doi          = {10.1109/ISCAS.2017.8050857},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Hajj17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HamerskiAROA17,
  author       = {Jean Carlo Hamerski and
                  Geancarlo Abich and
                  Ricardo Reis and
                  Luciano Ost and
                  Alexandre M. Amory},
  title        = {Publish-subscribe programming for a NoC-based multiprocessor system-on-chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050967},
  doi          = {10.1109/ISCAS.2017.8050967},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HamerskiAROA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HandwerkerPOSA17,
  author       = {Jonas Handwerker and
                  Marlon Perez{-}Rodas and
                  Maurits Ortmanns and
                  Klaus Scheffler and
                  Jens Anders},
  title        = {Towards CMOS-based in-vivo {NMR} spectroscopy and microscopy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8049753},
  doi          = {10.1109/ISCAS.2017.8049753},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HandwerkerPOSA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaqESKRKO17,
  author       = {Faizan Ul Haq and
                  Mikko Englund and
                  Kari Stadius and
                  Marko Kosunen and
                  Jussi Ryyn{\"{a}}nen and
                  Kimmo Koli and
                  Kim B. Ostman},
  title        = {A wideband blocker-resilient direct {\(\Delta\)}{\(\Sigma\)} receiver
                  with selective input-impedance matching},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050249},
  doi          = {10.1109/ISCAS.2017.8050249},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaqESKRKO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HasanMKW17,
  author       = {Rashedul Hasan and
                  Shahed K. Mohammed and
                  Alimul Haque Khan and
                  Khan A. Wahid},
  title        = {A color frame reproduction technique for IoT-based video surveillance
                  application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050236},
  doi          = {10.1109/ISCAS.2017.8050236},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HasanMKW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HasanT17,
  author       = {Saad Ul Hasan and
                  Graham E. Town},
  title        = {An FPGA-based aperiodic modulation strategy for {EMI} suppression
                  in quasi-Z-source {DC-DC} converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050509},
  doi          = {10.1109/ISCAS.2017.8050509},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HasanT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HasegawaYT17,
  author       = {Kento Hasegawa and
                  Masao Yanagisawa and
                  Nozomu Togawa},
  title        = {Trojan-feature extraction at gate-level netlists and its application
                  to hardware-Trojan detection using random forest classifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050827},
  doi          = {10.1109/ISCAS.2017.8050827},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HasegawaYT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Hashemgeloogerdi17,
  author       = {Sahar Hashemgeloogerdi and
                  Mark F. Bocko},
  title        = {Least-squares estimation of the common acoustical poles in room acoustics
                  and head related transfer functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050778},
  doi          = {10.1109/ISCAS.2017.8050778},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Hashemgeloogerdi17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeC17,
  author       = {Jiacong He and
                  Joseph Callenes{-}Sloan},
  title        = {TCache: An energy-efficient {DRAM} cache design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050698},
  doi          = {10.1109/ISCAS.2017.8050698},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeL17,
  author       = {Yongcheng He and
                  Shuguo Li},
  title        = {A 3DES implementation especially for {CBC} feedback loop mode},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050565},
  doi          = {10.1109/ISCAS.2017.8050565},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HemsiP17,
  author       = {Cyro S. Hemsi and
                  Cristiano M. Panazio},
  title        = {Adaptive baseband fre-equalization for {RF} impedance matching correction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050450},
  doi          = {10.1109/ISCAS.2017.8050450},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HemsiP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HernandezCSSN17,
  author       = {Hugo Daniel Hern{\'{a}}ndez and
                  Dionisio Carvalho and
                  Bruno Sanches and
                  Lucas C. Severo and
                  Wilhelmus A. M. Van Noije},
  title        = {Current mode 1.2-Gbps {SLVS} transceiver for readout front-end {ASIC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050227},
  doi          = {10.1109/ISCAS.2017.8050227},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HernandezCSSN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HerzelEBNK17,
  author       = {Frank Herzel and
                  Arzu Ergintav and
                  Johannes Borngr{\"{a}}ber and
                  Herman Jalli Ng and
                  Dietmar Kissinger},
  title        = {Design of a low-jitter wideband frequency synthesizer for 802.11ad
                  wireless {OFDM} systems using a frequency sixtupler},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051000},
  doi          = {10.1109/ISCAS.2017.8051000},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HerzelEBNK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HerzelK17,
  author       = {Frank Herzel and
                  Dietmar Kissinger},
  title        = {Phase noise analysis of a homodyne radar system driven by a phase-locked
                  loop},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050454},
  doi          = {10.1109/ISCAS.2017.8050454},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HerzelK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HillN17,
  author       = {Jesse Hill and
                  Chika Nwankpa},
  title        = {Battery energy storage dispatch analysis within the storage placement
                  problem},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050927},
  doi          = {10.1109/ISCAS.2017.8050927},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HillN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HinamotoDL17,
  author       = {Takao Hinamoto and
                  Akimitsu Doi and
                  Wu{-}Sheng Lu},
  title        = {Roundoff noise minimization for 2-D separable-denominator digital
                  filters using jointly optimal high-order error feedback and realization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050934},
  doi          = {10.1109/ISCAS.2017.8050934},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HinamotoDL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoppnerYVDPJNHS17,
  author       = {Sebastian H{\"{o}}ppner and
                  Yexin Yan and
                  Bernhard Vogginger and
                  Andreas Dixius and
                  Johannes Partzsch and
                  Prateek Joshi and
                  Felix Neum{\"{a}}rker and
                  Stephan Hartmann and
                  Stefan Schiefer and
                  Stefan Scholze and
                  Georg Ellguth and
                  Love Cederstroem and
                  Matthias Eberlein and
                  Christian Mayr and
                  Steve Temple and
                  Luis A. Plana and
                  Jim D. Garside and
                  Simon Davidson and
                  David R. Lester and
                  Steve B. Furber},
  title        = {Live demonstration: Dynamic voltage and frequency scaling for neuromorphic
                  many-core systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050396},
  doi          = {10.1109/ISCAS.2017.8050396},
  timestamp    = {Thu, 06 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HoppnerYVDPJNHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoppnerYVDPNHSS17,
  author       = {Sebastian H{\"{o}}ppner and
                  Yexin Yan and
                  Bernhard Vogginger and
                  Andreas Dixius and
                  Johannes Partzsch and
                  Felix Neum{\"{a}}rker and
                  Stephan Hartmann and
                  Stefan Schiefer and
                  Stefan Scholze and
                  Georg Ellguth and
                  Love Cederstroem and
                  Matthias Eberlein and
                  Christian Mayr and
                  Steve Temple and
                  Luis A. Plana and
                  Jim D. Garside and
                  Simon Davidson and
                  David R. Lester and
                  Steve B. Furber},
  title        = {Dynamic voltage and frequency scaling for neuromorphic many-core systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050656},
  doi          = {10.1109/ISCAS.2017.8050656},
  timestamp    = {Thu, 06 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HoppnerYVDPNHSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HouHYW17,
  author       = {Zong{-}You Hou and
                  Zong{-}Ying Ho and
                  Jhih{-}Cheng You and
                  Chua{-}Chin Wang},
  title        = {A primary-side output current estimator with process compensator for
                  flyback {LED} drivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050850},
  doi          = {10.1109/ISCAS.2017.8050850},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HouHYW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HouWC17,
  author       = {Zejiang Hou and
                  Ho{-}Chun Wu and
                  Shing{-}Chow Chan},
  title        = {Distributed optimal power flow: An Augmented Lagrangian-Sequential
                  Quadratic Programming approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050508},
  doi          = {10.1109/ISCAS.2017.8050508},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HouWC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoweRKO17,
  author       = {James Howe and
                  Ciara Rafferty and
                  Ayesha Khalid and
                  M{\'{a}}ire O'Neill},
  title        = {Compact and provably secure lattice-based signatures in hardware},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050566},
  doi          = {10.1109/ISCAS.2017.8050566},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoweRKO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsuCHC17,
  author       = {Chung{-}Wei Hsu and
                  Li{-}Jen Chang and
                  Chun{-}Po Huang and
                  Soon{-}Jyh Chang},
  title        = {A 12-bit 40-MS/s calibration-free {SAR} {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050307},
  doi          = {10.1109/ISCAS.2017.8050307},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsuCHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuF17,
  author       = {Xuan Hu and
                  Joseph S. Friedman},
  title        = {Closed-form model for dual-gate ambipolar {CNTFET} circuit design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050646},
  doi          = {10.1109/ISCAS.2017.8050646},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuGHH17,
  author       = {Li Hu and
                  Jiawei Gu and
                  Guanghui He and
                  Weifeng He},
  title        = {A hardware-friendly hierarchical {HEVC} motion estimation algorithm
                  for {UHD} applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050322},
  doi          = {10.1109/ISCAS.2017.8050322},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuGHH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuL17,
  author       = {John Hu and
                  Suming Lai},
  title        = {Master-slave battery charging system using parallel {DC-DC} converters
                  for thermal safety},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050651},
  doi          = {10.1109/ISCAS.2017.8050651},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangBFN17,
  author       = {Yi Huang and
                  Daniel Browe and
                  Joseph Freeman and
                  Laleh Najafizadeh},
  title        = {Live demonstration: {A} frequency-based system for wireless electrical
                  stimulation of iEAPs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050726},
  doi          = {10.1109/ISCAS.2017.8050726},
  timestamp    = {Wed, 12 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangBFN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangC17,
  author       = {Chao{-}Yen Huang and
                  Chern{-}Lin Chen},
  title        = {Analysis and implementation of wireless power transfer system with
                  phase and supply modulation control},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050847},
  doi          = {10.1109/ISCAS.2017.8050847},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangCC17,
  author       = {Xiaonan Huang and
                  Aijiao Cui and
                  Chip{-}Hong Chang},
  title        = {A new watermarking scheme on scan chain ordering for hard {IP} protection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050823},
  doi          = {10.1109/ISCAS.2017.8050823},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangGC17,
  author       = {Jing Huang and
                  Menghan Guo and
                  Shoushun Chen},
  title        = {A dynamic vision sensor with direct logarithmic output and full-frame
                  picture-on-demand},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050546},
  doi          = {10.1109/ISCAS.2017.8050546},
  timestamp    = {Tue, 30 Oct 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangGC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangHC17,
  author       = {Shih{-}Lun Huang and
                  Sheng{-}Yi Hung and
                  Chung{-}Ping Chen},
  title        = {An efficient DFT-based algoritiim for the charger noise problem in
                  capacitive touch applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050812},
  doi          = {10.1109/ISCAS.2017.8050812},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangHLLJCCCYW17,
  author       = {Chun{-}Ming Huang and
                  Yi{-}Jie Hsieh and
                  Wei{-}Lin Lai and
                  Yi{-}Jun Liu and
                  Chun{-}Ying Juan and
                  Ssu{-}Ying Chen and
                  Chun{-}Yu Chen and
                  Jin{-}Ju Chue and
                  Chih{-}Chyau Yang and
                  Chien{-}Ming Wu},
  title        = {A modular wireless sensor platform and its applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050611},
  doi          = {10.1109/ISCAS.2017.8050611},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangHLLJCCCYW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangHWHLSCLCCC17,
  author       = {Po{-}Tsang Huang and
                  Yu{-}Chieh Huang and
                  Shang{-}Lin Wu and
                  Yu{-}Chen Hu and
                  Ming{-}Wei Lu and
                  Ting{-}Wei Sheng and
                  Fung{-}Kai Chang and
                  Chun{-}Pin Lin and
                  Nien{-}Shang Chang and
                  Hung{-}Lieh Chen and
                  Chi{-}Shi Chen and
                  Jeng{-}Ren Duann and
                  Tzai{-}Wen Chiu and
                  Wei Hwang and
                  Kuan{-}Neng Chen and
                  Ching{-}Te Chuang and
                  Jin{-}Chern Chiou},
  title        = {An implantable 128-channel wireless neural-sensing microsystem using
                  TSV-embedded dissolvable {\(\mu\)}-needle array and flexible interposer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050687},
  doi          = {10.1109/ISCAS.2017.8050687},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangHWHLSCLCCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangLWL17,
  author       = {Letian Huang and
                  Xinxin Lin and
                  Junshi Wang and
                  Qiang Li},
  title        = {A low latency fault tolerant transmission mechanism for Network-on-Chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050631},
  doi          = {10.1109/ISCAS.2017.8050631},
  timestamp    = {Fri, 16 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangLWL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangSLBBC17,
  author       = {Tsung{-}Ching Huang and
                  Leilai Shao and
                  Ting Lei and
                  Raymond G. Beausoleil and
                  Zhenan Bao and
                  Kwang{-}Ting Cheng},
  title        = {Robust design and design automation for flexible hybrid electronics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050681},
  doi          = {10.1109/ISCAS.2017.8050681},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangSLBBC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangWCSPL17,
  author       = {Yang{-}Jing Huang and
                  Heng{-}Ching Wu and
                  Po{-}Shen Chen and
                  Hsu{-}Tao Shen and
                  Sheng{-}Yu Peng and
                  Chii{-}Wann Lin},
  title        = {A non-invasive material sensing system and its integrated interface
                  circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051008},
  doi          = {10.1109/ISCAS.2017.8051008},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangWCSPL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HwuKZ17,
  author       = {Tiffany Hwu and
                  Jeffrey L. Krichmar and
                  Xinyun Zou},
  title        = {A complete neuromorphic solution to outdoor navigation and path planning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050981},
  doi          = {10.1109/ISCAS.2017.8050981},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HwuKZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IbrahimMK17,
  author       = {Ahmed Ibrahim and
                  Miao Meng and
                  Mehdi Kiani},
  title        = {Inductive and ultrasonic wireless power transmission to biomedical
                  implants},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050939},
  doi          = {10.1109/ISCAS.2017.8050939},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IbrahimMK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IbrahimO17,
  author       = {Mahmoud A. A. Ibrahim and
                  Marvin Onabajo},
  title        = {Linear input range extension for low-voltage operational transconductance
                  amplifiers in Gm-C filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050495},
  doi          = {10.1109/ISCAS.2017.8050495},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/IbrahimO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IslamPK17,
  author       = {Md. Nazmul Islam and
                  Vinay C. Patil and
                  Sandip Kundu},
  title        = {A guide to graceful aging: How not to overindulge in post-silicon
                  burn-in for enhancing reliability of weak {PUF}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050881},
  doi          = {10.1109/ISCAS.2017.8050881},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/IslamPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IsmailiAGN17,
  author       = {Zakaria El Alaoui Ismaili and
                  Wessam Ajib and
                  Fran{\c{c}}ois Gagnon and
                  Frederic Nabki},
  title        = {A 0.13 {\(\mu\)}m {CMOS} fully integrated 0.1 {\(\sim\)} 12 GHz frequency
                  synthesizer for avionic {SDR} applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050739},
  doi          = {10.1109/ISCAS.2017.8050739},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IsmailiAGN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JabasonAS17,
  author       = {Emimal Jabason and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {Statistical modeling of multimodal neuroimaging data in non-subsampled
                  shearlet domain using the student's t location-scale distribution},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050661},
  doi          = {10.1109/ISCAS.2017.8050661},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JabasonAS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JafariGKHOM17,
  author       = {Ali Jafari and
                  Sunil Gandhi and
                  Sri Harsha Konuru and
                  W. David Hairston and
                  Tim Oates and
                  Tinoosh Mohsenin},
  title        = {An {EEG} artifact identification embedded system using {ICA} and multi-instance
                  learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050346},
  doi          = {10.1109/ISCAS.2017.8050346},
  timestamp    = {Sun, 16 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JafariGKHOM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JavvajiT17,
  author       = {Pavan Kumar Javvaji and
                  Spyros Tragoudas},
  title        = {Efficient computation of the sensitization probability of a critical
                  path considering process variations and path correlation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050439},
  doi          = {10.1109/ISCAS.2017.8050439},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JavvajiT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JayaC17,
  author       = {Gibran Limi Jaya and
                  Shoushun Chen},
  title        = {A 40 nm {CMOS} T/H-less flash-like stroboscopic {ADC} with 23dB {THD}
                  and {\textgreater}50 GHz effective resolution bandwidth},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050486},
  doi          = {10.1109/ISCAS.2017.8050486},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JayaC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JedariRS17,
  author       = {Esrafil Jedari and
                  Rashid Rashidzadeh and
                  Mehrdad Saif},
  title        = {A {PVT} resistant coarse-fine time-to-digital converter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050742},
  doi          = {10.1109/ISCAS.2017.8050742},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/JedariRS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JenkinsS17,
  author       = {W. Kenneth Jenkins and
                  Michael A. Soderstrand},
  title        = {A historical overview of Dr. Sanjit Mitra's academic, research and
                  professional activities},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050269},
  doi          = {10.1109/ISCAS.2017.8050269},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JenkinsS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JeonK17,
  author       = {Gyunam Jeon and
                  Yong{-}Bin Kim},
  title        = {A 4Gb/s half-rate {DFE} with switched-cap and {IIR} summation for
                  data correction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050891},
  doi          = {10.1109/ISCAS.2017.8050891},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JeonK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiaC17,
  author       = {Xiangdong Jia and
                  Glenn E. R. Cowan},
  title        = {A 8-Gb/s 0.256-pJ/b transceiver for 5-mm on-chip interconnects in
                  130-nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050443},
  doi          = {10.1109/ISCAS.2017.8050443},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiaC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangGMN17,
  author       = {Hui Jiang and
                  Burak Gonen and
                  Kofi A. A. Makinwa and
                  Stoyan N. Nihtianov},
  title        = {Chopping in continuous-time sigma-delta modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050951},
  doi          = {10.1109/ISCAS.2017.8050951},
  timestamp    = {Fri, 08 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangGMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangLL17,
  author       = {Mengdi Jiang and
                  Wei Liu and
                  Yi Li},
  title        = {Study of wind profile prediction with a combination of signal processing
                  and computational fluid dynamics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050595},
  doi          = {10.1109/ISCAS.2017.8050595},
  timestamp    = {Mon, 30 Mar 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangN17,
  author       = {Caoyang Jiang and
                  Saeid Nooshabadi},
  title        = {{H.265/HEVC} encoder optimization with parallel-efficient algorithm
                  and QP-based early termination},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050321},
  doi          = {10.1109/ISCAS.2017.8050321},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangNDFZW17,
  author       = {Rong Jiang and
                  Hossein Noori and
                  Fa Foster Dai and
                  Jun Fu and
                  Wei Zhou and
                  Yudong Wang},
  title        = {A low phase noise 8.8 GHz {VCO} based on {ISF} manipulation and dual-tank
                  technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050669},
  doi          = {10.1109/ISCAS.2017.8050669},
  timestamp    = {Thu, 25 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangNDFZW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangYC17,
  author       = {Xiaoxue Jiang and
                  Xiaojian Yu and
                  Jie Chen},
  title        = {A low-voltage charge pump with improved pumping efficiency},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050583},
  doi          = {10.1109/ISCAS.2017.8050583},
  timestamp    = {Thu, 27 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangYC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JinJKKJKC17,
  author       = {Ja{-}Hoon Jin and
                  Xuefan Jin and
                  Sang{-}Hoon Kim and
                  Ik{-}Hwan Kim and
                  Jaehong Jung and
                  Kiwon Kwon and
                  Jung{-}Hoon Chun},
  title        = {A 17.5-Gb/s transceiver with a MaxEye-based autonomous adaptation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050444},
  doi          = {10.1109/ISCAS.2017.8050444},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JinJKKJKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JinJZJH17,
  author       = {Xuwei Jin and
                  Wei Jin and
                  Hao Zhang and
                  Jianfei Jiang and
                  Weifeng He},
  title        = {A 0.2V 2.3pJ/Cycle 28dB output {SNR} hybrid Markov random field probabilistic-based
                  circuit for noise immunity and energy efficiency},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050894},
  doi          = {10.1109/ISCAS.2017.8050894},
  timestamp    = {Sun, 23 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JinJZJH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JingYWYZ17,
  author       = {Shusen Jing and
                  Junmei Yang and
                  Zhongfeng Wang and
                  Xiaohu You and
                  Chuan Zhang},
  title        = {Algorithm and architecture for joint detection and decoding for {MIMO}
                  with {LDPC} codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050314},
  doi          = {10.1109/ISCAS.2017.8050314},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JingYWYZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JokicM17,
  author       = {Petar Jokic and
                  Michele Magno},
  title        = {Powering smart wearable systems with flexible solar energy harvesting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050615},
  doi          = {10.1109/ISCAS.2017.8050615},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JokicM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JulienBSKC17,
  author       = {Mohan Julien and
                  Serge Bernard and
                  Fabien Soulier and
                  Vincent Kerzerho and
                  Guy Cath{\'{e}}bras},
  title        = {Formal analysis of high-performance stabilized active-input current
                  mirror},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051012},
  doi          = {10.1109/ISCAS.2017.8051012},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JulienBSKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KadayintiBS17,
  author       = {Naveen Kadayinti and
                  Amitalok J. Budkuley and
                  Dinesh Kumar Sharma},
  title        = {Settling time of mesochronous clock re-timing circuits in the presence
                  of timing jitter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050694},
  doi          = {10.1109/ISCAS.2017.8050694},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KadayintiBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KalaniBRK17,
  author       = {Sarthak Kalani and
                  Alessandro Bertolini and
                  Anna Richelli and
                  Peter R. Kinget},
  title        = {A 0.2V 492nW VCO-based {OTA} with 60kHz {UGB} and 207 {\(\mu\)}Vrms
                  noise},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050503},
  doi          = {10.1109/ISCAS.2017.8050503},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KalaniBRK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KamaleldinSNGSI17,
  author       = {Ahmed Kamaleldin and
                  Ahmed M. Soliman and
                  Ahmed Nagy and
                  Youssef Gamal and
                  Ahmed Shalash and
                  Yehea Ismail and
                  Hassan Mostafa},
  title        = {Design guidelines for the high-speed dynamic partial reconfiguration
                  based software defined radio implementations on Xilinx Zynq {FPGA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050456},
  doi          = {10.1109/ISCAS.2017.8050456},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KamaleldinSNGSI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Kaneko17,
  author       = {Mineo Kaneko},
  title        = {KKT-condition inspired solution of {DVFS} with limited number of voltage
                  levels},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050893},
  doi          = {10.1109/ISCAS.2017.8050893},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Kaneko17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KangLBKY17,
  author       = {Sanghoon Kang and
                  Jinmook Lee and
                  Kyeongryeol Bong and
                  Changhyeon Kim and
                  Hoi{-}Jun Yoo},
  title        = {A 0.53mW ultra-low-power 3D face frontalization processor for face
                  recognition with human-level accuracy in wearable devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050764},
  doi          = {10.1109/ISCAS.2017.8050764},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KangLBKY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaracaA17,
  author       = {Timu{\c{c}}in Karaca and
                  Mario Auer},
  title        = {A Class-D output bridge with dynamic dead-time, small delay and reduced
                  {EMI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050585},
  doi          = {10.1109/ISCAS.2017.8050585},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KaracaA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaramiGBB17,
  author       = {Armine Karami and
                  Dimitri Galayko and
                  Mohammed Bedier and
                  Philippe Basset},
  title        = {Analysis and comparison of charge-pump conditioning circuits for capacitive
                  electromechanical energy conversion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050650},
  doi          = {10.1109/ISCAS.2017.8050650},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KaramiGBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KargaranMC17,
  author       = {Ehsan Kargaran and
                  Danilo Manstretta and
                  Rinaldo Castello},
  title        = {A 30{\(\mu\)}W, 3.3dB {NF} {CMOS} {LNA} for wearable {WSN} applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050597},
  doi          = {10.1109/ISCAS.2017.8050597},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KargaranMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Kauderer-Abrams17,
  author       = {Eric Kauderer{-}Abrams and
                  Kwabena Boahen},
  title        = {Calibrating silicon-synapse dynamics using Time-Encoding and Decoding
                  Machines},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050931},
  doi          = {10.1109/ISCAS.2017.8050931},
  timestamp    = {Tue, 16 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Kauderer-Abrams17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Kauderer-Abrams17a,
  author       = {Eric Kauderer{-}Abrams and
                  Andrew Gilbert and
                  Aaron Voelker and
                  Ben Varkey Benjamin and
                  Terrence C. Stewart and
                  Kwabena Boahen},
  title        = {A population-level approach to temperature robustness in neuromorphic
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050985},
  doi          = {10.1109/ISCAS.2017.8050985},
  timestamp    = {Tue, 16 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Kauderer-Abrams17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KawaiYHSSOI17,
  author       = {Shusuke Kawai and
                  Toshiyuki Yamagishi and
                  Yosuke Hagiwara and
                  Shigehito Saigusa and
                  Ichiro Seto and
                  Shoji Otaka and
                  Shuichi Ito},
  title        = {A 1024-QAM capable {WLAN} receiver with -56.3 dB image rejection ratio
                  using self-calibration technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050600},
  doi          = {10.1109/ISCAS.2017.8050600},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KawaiYHSSOI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KennedyMM17,
  author       = {Michael Peter Kennedy and
                  Hongjia Mo and
                  Dawei Mai},
  title        = {Nonlinearity-induced spurious tones and noise in digitally-assisted
                  frequency synthesizers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050553},
  doi          = {10.1109/ISCAS.2017.8050553},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KennedyMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KeshavarzH17,
  author       = {Shahrzad Keshavarz and
                  Daniel E. Holcomb},
  title        = {Privacy leakages in approximate adders},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050882},
  doi          = {10.1109/ISCAS.2017.8050882},
  timestamp    = {Fri, 07 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KeshavarzH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhalifaCKSE17,
  author       = {Adam Khalifa and
                  Sherry Chiu and
                  Yasha Karimi and
                  Milutin Stanacevic and
                  Ralph Etienne{-}Cummings},
  title        = {Live demonstration: {A} wirelessly powered highly miniaturized neural
                  stimulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050716},
  doi          = {10.1109/ISCAS.2017.8050716},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhalifaCKSE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhalifaKWGCSTE17,
  author       = {Adam Khalifa and
                  Yasha Karimi and
                  Qihong Wang and
                  Elliot Greenwald and
                  Sherry Chiu and
                  Milutin Stanacevic and
                  Nitish V. Thakor and
                  Ralph Etienne{-}Cummings},
  title        = {In-vivo tests of an inductively powered miniaturized neural stimulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050557},
  doi          = {10.1109/ISCAS.2017.8050557},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhalifaKWGCSTE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhaterAWAP17,
  author       = {Mohammad Abu Khater and
                  Mahmoud Abdelfattah and
                  Yu{-}Chiao Wu and
                  Wesley Allen and
                  Dimitrios Peroulis},
  title        = {Transient response enhancement of {RF} {MEMS} tuners using digital
                  signal processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050784},
  doi          = {10.1109/ISCAS.2017.8050784},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhaterAWAP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhiarakMKG17,
  author       = {Mehdi Noormohammadi Khiarak and
                  Sylvain Martel and
                  Yves De Koninck and
                  Benoit Gosselin},
  title        = {A high-sensitivity {CMOS} biophotometry sensor with embedded continuous-time
                  {\(\Sigma\)}{\(\Delta\)} modulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050556},
  doi          = {10.1109/ISCAS.2017.8050556},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhiarakMKG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KiharaYY17,
  author       = {Takao Kihara and
                  Hiroyuki Yano and
                  Tsutomu Yoshimura},
  title        = {Design of cascaded integrator-comb decimation filters for direct-RF
                  sampling receivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050937},
  doi          = {10.1109/ISCAS.2017.8050937},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KiharaYY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimMKSWSHCS17,
  author       = {Minkyu Kim and
                  Abinash Mohanty and
                  Deepak Kadetotad and
                  Naveen Suda and
                  Luning Wei and
                  Pooja Saseendran and
                  Xiaofei He and
                  Yu Cao and
                  Jae{-}sun Seo},
  title        = {A real-time 17-scale object detection accelerator with adaptive 2000-stage
                  classification in 65nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050798},
  doi          = {10.1109/ISCAS.2017.8050798},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimMKSWSHCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimSH17,
  author       = {Sihwan Kim and
                  Sahil Shah and
                  Jennifer Hasler},
  title        = {Floating-gate {FPAA} calibration for analog system design and built-in
                  self test},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050334},
  doi          = {10.1109/ISCAS.2017.8050334},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimSH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimWHY17,
  author       = {Byung{-}Su Kim and
                  Hyo{-}Sig Won and
                  Tae Hee Han and
                  Joon{-}Sung Yang},
  title        = {Non-linear library characterization method for FinFET logic cells
                  by L1-minimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050429},
  doi          = {10.1109/ISCAS.2017.8050429},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KimWHY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KinugasaSISSK17,
  author       = {Yasutomo Kinugasa and
                  Tapio Saram{\"{a}}ki and
                  Yoshio Itoh and
                  Naoto Sasaoka and
                  Kazuki Shiogai and
                  Masaki Kobayashi},
  title        = {Modified subband adaptive notch filters for eliminating multiple sinusoids
                  with reduced bias and faster convergence},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050871},
  doi          = {10.1109/ISCAS.2017.8050871},
  timestamp    = {Sat, 10 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KinugasaSISSK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KnopfS17,
  author       = {George K. Knopf and
                  Dogan Sinar},
  title        = {Flexible hydrogel actuated graphene-cellulose biosensor for monitoring
                  pH},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050613},
  doi          = {10.1109/ISCAS.2017.8050613},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KnopfS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KocamanG17,
  author       = {Namik Kocaman and
                  Michael M. Green},
  title        = {Asychnronous sampling based hybrid equalizer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050375},
  doi          = {10.1109/ISCAS.2017.8050375},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KocamanG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KohSYCJC17,
  author       = {Seok{-}Tae Koh and
                  Se{-}un Shin and
                  Yu{-}Jin Yang and
                  Minseong Choi and
                  Seungchul Jung and
                  Gyu{-}Hyeong Cho},
  title        = {A 5mW batteryless start-up boost charger for wireless power transfer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050746},
  doi          = {10.1109/ISCAS.2017.8050746},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KohSYCJC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KohliC17,
  author       = {Siddharth Kohli and
                  Alexander J. Casson},
  title        = {Towards signal processing assisted hardware for continuous in-band
                  electrode impedance monitoring (Invited paper)},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050348},
  doi          = {10.1109/ISCAS.2017.8050348},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KohliC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KolonkoVWK17,
  author       = {Lech Kolonko and
                  J{\"{o}}rg Velten and
                  Daniel Wagner and
                  Anton Kummert},
  title        = {{FPGA} implementation of 2-D wave digital filters for real time motion
                  feature extraction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050936},
  doi          = {10.1109/ISCAS.2017.8050936},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KolonkoVWK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KomalanSBRKTGKF17,
  author       = {Manu Komalan and
                  Sushil Sakhare and
                  Trong Huynh Bao and
                  Siddharth Rao and
                  Woojin Kim and
                  Christian Tenllado and
                  Jos{\'{e}} Ignacio G{\'{o}}mez and
                  Gouri Sankar Kar and
                  Arnaud Furn{\'{e}}mont and
                  Francky Catthoor},
  title        = {Cross-layer design and analysis of a low power, high density {STT-MRAM}
                  for embedded systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050923},
  doi          = {10.1109/ISCAS.2017.8050923},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KomalanSBRKTGKF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KondapalliZC17,
  author       = {Sri Harsha Kondapalli and
                  Xuan Zhang and
                  Shantanu Chakrabartty},
  title        = {Variance-based digital logic for energy harvesting Internet-of-Things},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050359},
  doi          = {10.1109/ISCAS.2017.8050359},
  timestamp    = {Tue, 16 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KondapalliZC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoskinGFB17,
  author       = {Eugene Koskin and
                  Dimitri Galayko and
                  Orla Feely and
                  Elena Blokhina},
  title        = {Semianalytical model for high speed analysis of all-digital {PLL}
                  clock-generating networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050582},
  doi          = {10.1109/ISCAS.2017.8050582},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KoskinGFB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoteshwaraDP17,
  author       = {Sandhya Koteshwara and
                  Amitabh Das and
                  Keshab K. Parhi},
  title        = {{FPGA} implementation and comparison of {AES-GCM} and Deoxys authenticated
                  encryption schemes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050315},
  doi          = {10.1109/ISCAS.2017.8050315},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoteshwaraDP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoteshwaraKP17,
  author       = {Sandhya Koteshwara and
                  Chris H. Kim and
                  Keshab K. Parhi},
  title        = {Hierarchical functional obfuscation of integratec circuits using a
                  mode-based approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050319},
  doi          = {10.1109/ISCAS.2017.8050319},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoteshwaraKP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoulH17,
  author       = {Shashikant Koul and
                  Timothy K. Horiuchi},
  title        = {Effect of synaptic charge convergence on path planning over a neural
                  network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050982},
  doi          = {10.1109/ISCAS.2017.8050982},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoulH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoyilyZKP17,
  author       = {Anoop Koyily and
                  Chen Zhou and
                  Chris H. Kim and
                  Keshab K. Parhi},
  title        = {An entropy test for determining whether a {MUX} {PUF} is linear or
                  nonlinear},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050670},
  doi          = {10.1109/ISCAS.2017.8050670},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoyilyZKP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Kozloski17,
  author       = {James R. Kozloski},
  title        = {Synaptic integrators implement inhibitory plasticity, eliminate loops
                  and create a "winnerless" Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050979},
  doi          = {10.1109/ISCAS.2017.8050979},
  timestamp    = {Wed, 01 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Kozloski17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KrylovF17,
  author       = {Gleb Krylov and
                  Eby G. Friedman},
  title        = {Test point insertion for {RSFQ} circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050794},
  doi          = {10.1109/ISCAS.2017.8050794},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KrylovF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KulkarniASKM17,
  author       = {Adwaya Kulkarni and
                  Tahmid Abtahi and
                  Colin Shea and
                  Amey M. Kulkarni and
                  Tinoosh Mohsenin},
  title        = {PACENet: Energy efficient acceleration for convolutional network on
                  embedded platform},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050342},
  doi          = {10.1109/ISCAS.2017.8050342},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KulkarniASKM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumarK17,
  author       = {Sumit Kumar and
                  Nagendra Krishnapura},
  title        = {Optimum scaling of stages in a frequency divider chain for best jitter
                  FoM},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051001},
  doi          = {10.1109/ISCAS.2017.8051001},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KumarK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumarKPB17,
  author       = {Vinay Kumar and
                  Rakesh Kumar and
                  Deepraj Patkar and
                  Ajit S. Bopardikar},
  title        = {A method to identify dynamic zones for efficient control of {HVAC}
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050507},
  doi          = {10.1109/ISCAS.2017.8050507},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KumarKPB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KunduK17,
  author       = {Somnath Kundu and
                  Chris H. Kim},
  title        = {A multi-phase {VCO} quantizer based adaptive digital {LDO} in 65nm
                  {CMOS} technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050960},
  doi          = {10.1109/ISCAS.2017.8050960},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KunduK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KuttappaFLT17,
  author       = {Ragh Kuttappa and
                  Leo Filippini and
                  Scott Lerner and
                  Baris Taskin},
  title        = {Stability of Rotary Traveling Wave Oscillators under process variations
                  and {NBTI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050435},
  doi          = {10.1109/ISCAS.2017.8050435},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KuttappaFLT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LabradoTL17,
  author       = {Carson Labrado and
                  Himanshu Thapliyal and
                  Fabrizio Lombardi},
  title        = {Design of majority logic based approximate arithmetic circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050819},
  doi          = {10.1109/ISCAS.2017.8050819},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LabradoTL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LahmiriB17,
  author       = {Salim Lahmiri and
                  Mounir Boukadoum},
  title        = {An accurate automatic system for distinguishing neuropathy and healthy
                  electromyography signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050424},
  doi          = {10.1109/ISCAS.2017.8050424},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LahmiriB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaihoGPLKTAT17,
  author       = {Mika Laiho and
                  Mika Gr{\"{o}}nroos and
                  Jussi H. Poikonen and
                  Eero Lehtonen and
                  Reon Katsumura and
                  Atsushi T.{-}Fukuchi and
                  Masashi Arita and
                  Yasuo Takahashi},
  title        = {Associative search using pseudo-analog memristors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050986},
  doi          = {10.1109/ISCAS.2017.8050986},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaihoGPLKTAT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lakshminarayanan17,
  author       = {Sreekesh Lakshminarayanan and
                  Klaus Hofmann},
  title        = {A wideband {RF} power detector with -56 dB sensitivity and 64 dB dynamic
                  range in SiGe BiCMOS technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050666},
  doi          = {10.1109/ISCAS.2017.8050666},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lakshminarayanan17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LarrasCLSA17,
  author       = {Benoit Larras and
                  Paul Chollet and
                  Cyril Lahuec and
                  Fabrice Seguin and
                  Matthieu Arzel},
  title        = {A 65-nm {CMOS} 7fJ per synaptic event clique-based neural network
                  in scalable architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050658},
  doi          = {10.1109/ISCAS.2017.8050658},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LarrasCLSA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lau17,
  author       = {Kin Keung Jeff Lau},
  title        = {A 7{\(\mu\)}{\(\Alpha\)} 1.6ppm/ {\textdegree}C bandgap design realizable
                  in {CMOS} process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050741},
  doi          = {10.1109/ISCAS.2017.8050741},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lau17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeLTXC17,
  author       = {Nhu Y. Le and
                  Zhiping Lin and
                  David B. H. Tay and
                  Li Xu and
                  Jiuwen Cao},
  title        = {Design of orthogonal filterbanks with rational coefficients using
                  Grobner bases},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050938},
  doi          = {10.1109/ISCAS.2017.8050938},
  timestamp    = {Tue, 19 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeLTXC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeTrungGDV17,
  author       = {Khoa Le and
                  Fakhreddine Ghaffari and
                  David Declercq and
                  Bane Vasic},
  title        = {Hardware optimization of the perturbation for probabilistic gradient
                  descent bit flipping decoders},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050695},
  doi          = {10.1109/ISCAS.2017.8050695},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LeTrungGDV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lee17,
  author       = {Wai Lee},
  title        = {3D machine vision in IoT for factory and building automation (Invited)},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050234},
  doi          = {10.1109/ISCAS.2017.8050234},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lee17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lee17a,
  author       = {Edward K. F. Lee},
  title        = {A rectifier/AC shunt regulator combo circuit with inherent {AM} demodulation
                  front-end for wireless powered implants},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050363},
  doi          = {10.1109/ISCAS.2017.8050363},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lee17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeCS17,
  author       = {Tsz{-}Kwan Lee and
                  Yui{-}Lam Chan and
                  Wan{-}Chi Siu},
  title        = {Depth-projected determination for adaptive search range in motion
                  estimation for {HEVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050261},
  doi          = {10.1109/ISCAS.2017.8050261},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeKK17,
  author       = {Jaehan Lee and
                  Kyung{-}Rae Kim and
                  Chang{-}Su Kim},
  title        = {Subpixel rendering without color distortions for diamond-shaped PenTile
                  displays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050386},
  doi          = {10.1109/ISCAS.2017.8050386},
  timestamp    = {Thu, 13 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeKLCC17,
  author       = {Yueh{-}Ying Lee and
                  Pin{-}Hung Kuo and
                  Chia{-}Han Lee and
                  Yen{-}Kuang Chen and
                  Shao{-}Yi Chien},
  title        = {Distributed video codec with spatiotemporal side information},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050299},
  doi          = {10.1109/ISCAS.2017.8050299},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeKLCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeneC17,
  author       = {Lieuwe B. Leene and
                  Timothy G. Constandinou},
  title        = {A 0.5V time-domain instrumentation circuit with clocked and unclocked
                  {\(\Delta\)}{\(\Sigma\)} operation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050956},
  doi          = {10.1109/ISCAS.2017.8050956},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeneC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeiMM17,
  author       = {Ka{-}Meng Lei and
                  Pui{-}In Mak and
                  Rui Paulo Martins},
  title        = {A 0.4V 4.8{\(\mu\)}W 16MHz {CMOS} crystal oscillator achieving 74-fold
                  startup-time reduction using momentary detuning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051002},
  doi          = {10.1109/ISCAS.2017.8051002},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LeiMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeistnerWELBAAH17,
  author       = {Martina Leistner and
                  Samantha Wang and
                  Ralph Etienne{-}Cummings and
                  Frank Lay and
                  Louis Born and
                  Zahra Alikhassy and
                  Ali Karim Ahmed and
                  John W. Harmon},
  title        = {Iontophoresis instrumentation for the enhancement of gene therapy
                  in wound healing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050729},
  doi          = {10.1109/ISCAS.2017.8050729},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeistnerWELBAAH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LellisSS17,
  author       = {Rodrigo Lellis and
                  Rafael Iankowski Soares and
                  Ad{\~{a}}o Ant{\^{o}}nio de Souza Jr.},
  title        = {An energy-based attack flow for temporal misalignment coutermeasures
                  on cryptosystems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050844},
  doi          = {10.1109/ISCAS.2017.8050844},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LellisSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lenero-Bardallo17,
  author       = {Juan A. Le{\~{n}}ero{-}Bardallo and
                  Fernando Perez{-}Pe{\~{n}}a and
                  Ricardo Carmona{-}Gal{\'{a}}n and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {Pipeline {AER} arbitration with event aging},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050977},
  doi          = {10.1109/ISCAS.2017.8050977},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lenero-Bardallo17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Leuciuc17,
  author       = {Adrian Leuciuc},
  title        = {Sampling time calibration method for multi-channel interleaved ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050247},
  doi          = {10.1109/ISCAS.2017.8050247},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Leuciuc17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiG17,
  author       = {Jinbo Li and
                  Qun Jane Gu},
  title        = {A low-noise cartesian error feedback architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050253},
  doi          = {10.1109/ISCAS.2017.8050253},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiGWW17,
  author       = {Hao Li and
                  Jie Guo and
                  Zhigang Wang and
                  Houjun Wang},
  title        = {An efficient parallel resampling structure based on iterated short
                  convolution algorithm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050373},
  doi          = {10.1109/ISCAS.2017.8050373},
  timestamp    = {Thu, 16 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiGWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiL17,
  author       = {Lijuan Li and
                  Shuguo Li},
  title        = {Fast inversion in GF(2m) with polynomial basis using optimal addition
                  chains},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050627},
  doi          = {10.1109/ISCAS.2017.8050627},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLGQ17,
  author       = {Min Li and
                  Dake Liu and
                  Chen Gong and
                  Wan Qiao},
  title        = {A 3-coil simultaneous power and uplink data transmission inductive
                  link for battery-less implantable devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050362},
  doi          = {10.1109/ISCAS.2017.8050362},
  timestamp    = {Mon, 28 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLGQ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLL17,
  author       = {Xiaojie Li and
                  Cong Li and
                  Xiang Li},
  title        = {Vaccinating {SIS} epidemics in networks with zero-determinant strategy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050858},
  doi          = {10.1109/ISCAS.2017.8050858},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLQWWWY17,
  author       = {Qin Li and
                  Zheyu Liu and
                  Fei Qiao and
                  Xing Wu and
                  Chaolun Wang and
                  Qi Wei and
                  Huazhong Yang},
  title        = {From "MISSION: IMPOSSIBLE" to mission possible: Fully flexible intelligent
                  contact lens for image classification with analog-to-information processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050607},
  doi          = {10.1109/ISCAS.2017.8050607},
  timestamp    = {Tue, 05 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLQWWWY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLXLMW17,
  author       = {Zhuo Li and
                  Xingtong Liu and
                  Xiang Xie and
                  Guolin Li and
                  Songping Mai and
                  Zhihua Wang},
  title        = {An optical tracker based registration method using feedback for robot-assisted
                  insertion surgeries},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050420},
  doi          = {10.1109/ISCAS.2017.8050420},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLXLMW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiWYZ17,
  author       = {Dengrong Li and
                  Liji Wu and
                  Shuai Yuan and
                  Xiangmin Zhang},
  title        = {A 50Gb/s repeater and 2 {\texttimes} 50Gb/s 27-1 {PRBS} generator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050971},
  doi          = {10.1109/ISCAS.2017.8050971},
  timestamp    = {Fri, 23 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiWYZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiXLW17,
  author       = {Sen Li and
                  Xiang Xie and
                  Guolin Li and
                  Zhihua Wang},
  title        = {Bare-finger Projector-Camera-Touchpad {(PCT)} {HCI} system using color
                  structured light},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050814},
  doi          = {10.1109/ISCAS.2017.8050814},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiXLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiXSJX17,
  author       = {Qingjiang Li and
                  Jinling Xing and
                  Zhaolin Sun and
                  Fei Jing and
                  Hui Xu},
  title        = {A compliance current circuit with nanosecond response time for ReRAM
                  characterization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050783},
  doi          = {10.1109/ISCAS.2017.8050783},
  timestamp    = {Fri, 27 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiXSJX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiXT17,
  author       = {Rui Li and
                  Yongxiang Xia and
                  Chi K. Tse},
  title        = {Optimal resource allocation with node and link capacity constraints
                  in complex networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050861},
  doi          = {10.1109/ISCAS.2017.8050861},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiXT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiYS17,
  author       = {Jiangyi Li and
                  Teng Yang and
                  Mingoo Seok},
  title        = {A technique to transform 6T-SRAM arrays into robust analog {PUF} with
                  minimal overhead},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050630},
  doi          = {10.1109/ISCAS.2017.8050630},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiYS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiangLSC17,
  author       = {Yunfeng Liang and
                  Zhiping Lin and
                  Lei Sun and
                  Jiuwen Cao},
  title        = {Document image binarization via optimized hybrid thresholding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050993},
  doi          = {10.1109/ISCAS.2017.8050993},
  timestamp    = {Tue, 19 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiangLSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LimHCG17,
  author       = {James Lim and
                  Weng{-}Geng Ho and
                  Kwen{-}Siong Chong and
                  Bah{-}Hwee Gwee},
  title        = {DPA-resistant {QDI} dual-rail {AES} S-Box based on power-balanced
                  weak-conditioned half-buffer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050749},
  doi          = {10.1109/ISCAS.2017.8050749},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LimHCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinCYHCLLT17,
  author       = {Li{-}Chi Lin and
                  Kuan{-}Yu Chen and
                  Wen{-}Hau Yang and
                  Ru{-}Yu Huang and
                  Ke{-}Horng Chen and
                  Ying{-}Hsi Lin and
                  Shian{-}Ru Lin and
                  Tsung{-}Yen Tsai},
  title        = {A digital reverse current self-calibration technique in 90{\%} high
                  efficiency rectified power supply for near field communication through
                  magnetic field induction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050866},
  doi          = {10.1109/ISCAS.2017.8050866},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinCYHCLLT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinJC17,
  author       = {Jianfu Lin and
                  Hanjun Jiang and
                  Baoyong Chi},
  title        = {A 5-bit phase-interpolator-based fractional-N frequency divider for
                  digital phase-locked loops},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050351},
  doi          = {10.1109/ISCAS.2017.8050351},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinJC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinL17,
  author       = {Yu{-}Jin Lin and
                  Shuenn{-}Yuh Lee},
  title        = {A microstimulator with parameter adjustment for bladder dysfunction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050301},
  doi          = {10.1109/ISCAS.2017.8050301},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinLSTC17,
  author       = {Dongyun Lin and
                  Zhiping Lin and
                  Lei Sun and
                  Kar{-}Ann Toh and
                  Jiuwen Cao},
  title        = {{LLC} encoded BoW features and softmax regression for microscopic
                  image classification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050243},
  doi          = {10.1109/ISCAS.2017.8050243},
  timestamp    = {Tue, 19 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinLSTC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinLTC17,
  author       = {Shih{-}Ting Lin and
                  Yuan{-}Hsin Liao and
                  Yu Tsao and
                  Shao{-}Yi Chien},
  title        = {Object-based on-line video summarization for internet of video things},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050237},
  doi          = {10.1109/ISCAS.2017.8050237},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinLTC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinLVO17,
  author       = {Dongyun Lin and
                  Zhiping Lin and
                  Ramraj Velmurugan and
                  Raimund J. Ober},
  title        = {Automatic endosomal structure detection and localization in fluorescence
                  microscopic images},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050242},
  doi          = {10.1109/ISCAS.2017.8050242},
  timestamp    = {Tue, 19 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinLVO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinQA17,
  author       = {Longyang Lin and
                  Kien Trinh Quang and
                  Massimo Alioto},
  title        = {Transistor sizing strategy for simultaneous energy-delay optimization
                  in {CMOS} buffers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050997},
  doi          = {10.1109/ISCAS.2017.8050997},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LinQA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinSKS17,
  author       = {Yingyan Lin and
                  Charbel Sakr and
                  Yongjune Kim and
                  Naresh R. Shanbhag},
  title        = {PredictiveNet: An energy-efficient convolutional neural network via
                  zero prediction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050797},
  doi          = {10.1109/ISCAS.2017.8050797},
  timestamp    = {Tue, 23 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinSKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinWC17,
  author       = {Jian{-}Qiang Lin and
                  Ho{-}Chun Wu and
                  Shing{-}Chow Chan},
  title        = {A new regularized recursive dynamic factor analysis with variable
                  forgetting factor for wireless sensor networks with missing data},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050594},
  doi          = {10.1109/ISCAS.2017.8050594},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LinWC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinWHY17,
  author       = {Hsin{-}Tzu Lin and
                  Yi{-}Chung Wu and
                  Ping{-}Hsuan Hsieh and
                  Chia{-}Hsiang Yang},
  title        = {Integration of energy-recycling logic and wireless power transfer
                  for ultra-low-power implantables},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050378},
  doi          = {10.1109/ISCAS.2017.8050378},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LinWHY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuAGC17,
  author       = {Qianqian Liu and
                  Victor Adrian and
                  Bah{-}Hwee Gwee and
                  Joseph S. Chang},
  title        = {A class-E {RF} power amplifier with a novel matching network for high-efficiency
                  dynamic load modulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050498},
  doi          = {10.1109/ISCAS.2017.8050498},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuAGC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuBXS17,
  author       = {Yuntao Liu and
                  Chongxi Bao and
                  Yang Xie and
                  Ankur Srivastava},
  title        = {Introducing {TFUE:} The trusted foundry and untrusted employee model
                  in {IC} supply chain security},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050884},
  doi          = {10.1109/ISCAS.2017.8050884},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuBXS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuC17,
  author       = {Zhiqiang Liu and
                  Degang Chen},
  title        = {A voltage reference generator targeted at extracting the silicon bandgap
                  Vgo from Vbe},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050750},
  doi          = {10.1109/ISCAS.2017.8050750},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuCY17,
  author       = {Rui Liu and
                  Pai{-}Yu Chen and
                  Shimeng Yu},
  title        = {Design and optimization of a strong {PUF} exploiting sneak paths in
                  resistive cross-point array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050792},
  doi          = {10.1109/ISCAS.2017.8050792},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuCY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuD17,
  author       = {Min Liu and
                  Tobi Delbr{\"{u}}ck},
  title        = {Block-matching optical flow for dynamic vision sensors: Algorithm
                  and {FPGA} implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050295},
  doi          = {10.1109/ISCAS.2017.8050295},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuLCCM17,
  author       = {Zhiqiang Liu and
                  Nanqi Liu and
                  Shravan K. Chaganti and
                  Degang Chen and
                  Amitava Majumdar},
  title        = {A digital clock-less pulse stretcher with application in deep sub-nanosecond
                  pulse detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050822},
  doi          = {10.1109/ISCAS.2017.8050822},
  timestamp    = {Thu, 18 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuLCCM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuLLL17,
  author       = {Qinglai Liu and
                  Yong Ching Lim and
                  Zhiping Lin and
                  Xiaoping Lai},
  title        = {Design of {IIR} frequency-response masking filters with near linear
                  phase using constrained optimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050935},
  doi          = {10.1109/ISCAS.2017.8050935},
  timestamp    = {Tue, 19 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuLLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuRH17,
  author       = {Maoqiang Liu and
                  Arthur H. M. van Roermund and
                  Pieter Harpe},
  title        = {A 0.9V-VDD sub-nW resistor-less duty-cycled {CMOS} voltage reference
                  in 65nm for IoT},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050287},
  doi          = {10.1109/ISCAS.2017.8050287},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuRH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuSC17,
  author       = {Zhi{-}Song Liu and
                  Wan{-}Chi Siu and
                  Yui{-}Lam Chan},
  title        = {Fast image super-resolution via Randomized Multi-split Forests},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050991},
  doi          = {10.1109/ISCAS.2017.8050991},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYJHLL17,
  author       = {Zexue Liu and
                  Fan Yang and
                  Haoyun Jiang and
                  Xiucheng Hao and
                  Junhua Liu and
                  Huailin Liao},
  title        = {An 89 {\(\mu\)}W {MICS/ISM} band receiver for ultra-low-power applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050667},
  doi          = {10.1109/ISCAS.2017.8050667},
  timestamp    = {Wed, 27 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYJHLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYSLDJJ17,
  author       = {Chun{-}Yi Liu and
                  Yu{-}Cheng Yao and
                  Meng{-}Siou Sie and
                  Edmund Wen Jen Leong and
                  Henry Lopez Davila and
                  Chih{-}Wei Jen and
                  Shyh{-}Jye Jou},
  title        = {Residual sampling clocking offset estimation and compensation for
                  {FBMC-OQAM} baseband receiver in the 60 GHz band},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050817},
  doi          = {10.1109/ISCAS.2017.8050817},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYSLDJJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZC17,
  author       = {Chao Qun Liu and
                  Yue Zheng and
                  Chip{-}Hong Chang},
  title        = {A new write-contention based dual-port {SRAM} {PUF} with multiple
                  response bits per cell},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050700},
  doi          = {10.1109/ISCAS.2017.8050700},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZWRMDGLS17,
  author       = {Xilin Liu and
                  Milin Zhang and
                  Xiaotie Wu and
                  Andrew G. Richardson and
                  Solymar T. Maldonado and
                  Sam DeLuccia and
                  Yohannes Ghenbot and
                  Timothy H. Lucas and
                  Jan Van der Spiegel},
  title        = {A wireless neuroprosthetic for augmenting perception through modulated
                  electrical stimulation of somatosensory cortex},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050364},
  doi          = {10.1109/ISCAS.2017.8050364},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZWRMDGLS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZZT17,
  author       = {Dong Liu and
                  Xi Zhang and
                  Choujun Zhan and
                  Chi K. Tse},
  title        = {Modeling of cascading failures in cyber-coupled power systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050860},
  doi          = {10.1109/ISCAS.2017.8050860},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZZT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZZWRSGSLS17,
  author       = {Xilin Liu and
                  Hongjie Zhu and
                  Milin Zhang and
                  Xiaotie Wu and
                  Andrew G. Richardson and
                  Srihari Y. Sritharan and
                  Dengteng Ge and
                  Yang Shu and
                  Timothy H. Lucas and
                  Jan Van der Spiegel},
  title        = {A fully integrated wireless sensor-brain interface system to restore
                  finger sensation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050619},
  doi          = {10.1109/ISCAS.2017.8050619},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZZWRSGSLS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LoC17,
  author       = {Shen{-}Li Lo and
                  Ching{-}Te Chiu},
  title        = {Single image super-resolution using hybrid patch search and local
                  self-similarity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050765},
  doi          = {10.1109/ISCAS.2017.8050765},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LoC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LodiSS17,
  author       = {Matteo Lodi and
                  Andrey Shilnikov and
                  Marco Storace},
  title        = {{CEPAGE:} {A} toolbox for Central Pattern Generator analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050580},
  doi          = {10.1109/ISCAS.2017.8050580},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LodiSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuH17,
  author       = {Wu{-}Sheng Lu and
                  Takao Hinamoto},
  title        = {Design of composite filters with equiripple passbands and least-squares
                  stopbands},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050766},
  doi          = {10.1109/ISCAS.2017.8050766},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuLLCLDL17,
  author       = {Zelun Lu and
                  Wenxuan Li and
                  Zhen Li and
                  Xi Chen and
                  Herbert H. C. Iu and
                  Ning Dong and
                  Xiangdong Liu},
  title        = {Adaptive droop control with self-adjusted virtual impedance for three-phase
                  inverter under unbalanced conditions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050928},
  doi          = {10.1109/ISCAS.2017.8050928},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LuLLCLDL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuPWZWX17,
  author       = {Tao Lu and
                  Lanlan Pan and
                  Hao Wang and
                  Yanduo Zhang and
                  Bo Wang and
                  Zixiang Xiong},
  title        = {Face hallucination using deep collaborative representation for local
                  and non-local patches},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050763},
  doi          = {10.1109/ISCAS.2017.8050763},
  timestamp    = {Fri, 10 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LuPWZWX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuQZCJ17,
  author       = {Yuehai Lu and
                  Dong Yuan Qiu and
                  Bo Zhang and
                  Yanfeng Chen and
                  Yanwei Jiang},
  title        = {Implementation of power factor corrector with fractional capacitor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050924},
  doi          = {10.1109/ISCAS.2017.8050924},
  timestamp    = {Wed, 07 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LuQZCJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuWWZ17,
  author       = {Guangyi Lu and
                  Yuan Wang and
                  Yize Wang and
                  Xing Zhang},
  title        = {Power-rail {ESD} clamp circuit with hybrid-detection enhanced triggering
                  in a 65-nm, 1.2-V {CMOS} process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050381},
  doi          = {10.1109/ISCAS.2017.8050381},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LuWWZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuYYXLW17,
  author       = {Tianyi Lu and
                  Shouyi Yin and
                  Xianqing Yao and
                  Zhicong Xie and
                  Leibo Liu and
                  Shaojun Wei},
  title        = {Memory fartitioning-based modulo scheduling for high-level synthesis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050969},
  doi          = {10.1109/ISCAS.2017.8050969},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LuYYXLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LunglmayrHH17,
  author       = {Michael Lunglmayr and
                  Bernhard Hiptmair and
                  Mario Huemer},
  title        = {Scaled linearized Bregman iterations for fixed point implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050534},
  doi          = {10.1109/ISCAS.2017.8050534},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LunglmayrHH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LunguCD17,
  author       = {Iulia{-}Alexandra Lungu and
                  Federico Corradi and
                  Tobi Delbr{\"{u}}ck},
  title        = {Live demonstration: Convolutional neural network driven by dynamic
                  vision sensor playing RoShamBo},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050403},
  doi          = {10.1109/ISCAS.2017.8050403},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LunguCD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoH17,
  author       = {Yuxuan Luo and
                  Chun{-}Huat Heng},
  title        = {A mixed-signal adaptive filter for level-crossing analog-to-digital
                  converter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050872},
  doi          = {10.1109/ISCAS.2017.8050872},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoM17,
  author       = {Yi Luo and
                  Shahriar Mirabbasi},
  title        = {Always-on {CMOS} image sensor pixel design for pixel-wise binary coded
                  exposure},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050545},
  doi          = {10.1109/ISCAS.2017.8050545},
  timestamp    = {Sat, 26 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoMG17,
  author       = {Yingyi Luo and
                  Seda Ogrenci Memik and
                  Jie Gu},
  title        = {Cell-to-array thermal-aware analysis of stacked {RRAM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050966},
  doi          = {10.1109/ISCAS.2017.8050966},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoMG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoS17,
  author       = {Hao Luo and
                  Liter Siek},
  title        = {A 0.9-V input {PWM} {DCM} boost converter with low output ripples
                  and fast load transient response based on a novel square-root voltage
                  mode {(SRVM)} control approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050653},
  doi          = {10.1109/ISCAS.2017.8050653},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoWMZZG17,
  author       = {Falei Luo and
                  Shanshe Wang and
                  Siwei Ma and
                  Nan Zhang and
                  Yun Zhou and
                  Wen Gao},
  title        = {Fast intra coding unit size decision for {HEVC} with {GPU} based keypoint
                  detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050260},
  doi          = {10.1109/ISCAS.2017.8050260},
  timestamp    = {Sun, 01 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoWMZZG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaKC17,
  author       = {Lin Ma and
                  Kuan Chuang Koay and
                  Pak Kwong Chan},
  title        = {A merged window comparator based relaxation oscillator with low temperature
                  coefficient},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050737},
  doi          = {10.1109/ISCAS.2017.8050737},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaKCVS17,
  author       = {Yufei Ma and
                  Minkyu Kim and
                  Yu Cao and
                  Sarma B. K. Vrudhula and
                  Jae{-}sun Seo},
  title        = {End-to-end scalable {FPGA} accelerator for deep residual networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050344},
  doi          = {10.1109/ISCAS.2017.8050344},
  timestamp    = {Tue, 16 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaKCVS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MacKayGC17,
  author       = {John MacKay and
                  Ahana Gangopadhyay and
                  Shantanu Chakrabartty},
  title        = {{FPGA} demonstration of spiking support vector networks based on growth
                  transform neurons},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050401},
  doi          = {10.1109/ISCAS.2017.8050401},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MacKayGC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaceraC17,
  author       = {Giuseppe Macera and
                  Patrick Crowley},
  title        = {A new 1.8V fierce-gate crystal oscillator based on the constant cell
                  in 28nm {CMOS} technology for automotive radar applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050736},
  doi          = {10.1109/ISCAS.2017.8050736},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaceraC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MadaniB17,
  author       = {Siroos Madani and
                  Magdy A. Bayoumi},
  title        = {Fault tolerant techniques for TSV-based interconnects in 3-D ICs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050944},
  doi          = {10.1109/ISCAS.2017.8050944},
  timestamp    = {Mon, 20 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MadaniB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaghamiPMMZF17,
  author       = {Hamidreza Maghami and
                  Pedram Payandehnia and
                  Hossein Mirzaie and
                  Kartikeya Mayaram and
                  Ramin Zanbaghi and
                  Terri S. Fiez},
  title        = {A highly linear OTA-free VCO-based 1-1 {MASH} {\(\Delta\)}{\(\Sigma\)}
                  {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050625},
  doi          = {10.1109/ISCAS.2017.8050625},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaghamiPMMZF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaghsoudlooRG17,
  author       = {Esmaeel Maghsoudloo and
                  Masoud Rezaei and
                  Benoit Gosselin},
  title        = {A wirelessly powered high-speed transceiver for high-density bidirectional
                  neural interfaces},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050941},
  doi          = {10.1109/ISCAS.2017.8050941},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaghsoudlooRG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MahdaviEOL17,
  author       = {Mojtaba Mahdavi and
                  Ove Edfors and
                  Viktor {\"{O}}wall and
                  Liang Liu},
  title        = {A low latency and area efficient {FFT} processor for massive {MIMO}
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050692},
  doi          = {10.1109/ISCAS.2017.8050692},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MahdaviEOL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MajdaraN17,
  author       = {Aref Majdara and
                  Saeid Nooshabadi},
  title        = {Efficient data structures for density estimation for large high-dimensional
                  data},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050592},
  doi          = {10.1109/ISCAS.2017.8050592},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MajdaraN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Makur17,
  author       = {Anamitra Makur},
  title        = {Tunable {FIR} digital filters using {FIR} approximation of spectral
                  transformation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050339},
  doi          = {10.1109/ISCAS.2017.8050339},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Makur17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Malvar17,
  author       = {Henrique S. Malvar},
  title        = {Tidbits on tunable analog filters and image demosaicing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050335},
  doi          = {10.1109/ISCAS.2017.8050335},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Malvar17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MamdouhP17,
  author       = {Pezhman Mamdouh and
                  Alice C. Parker},
  title        = {A switched-capacitor dendritic arbor for low-power neuromorphic applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050806},
  doi          = {10.1109/ISCAS.2017.8050806},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MamdouhP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MandalAAAAGB17,
  author       = {Kuntal Mandal and
                  Abdullah Abusorrah and
                  Mohammed M. Al{-}Hindawi and
                  Yusuf Al{-}Turki and
                  Abdelali El Aroudi and
                  Damian Giaouris and
                  Soumitro Banerjee},
  title        = {Control-oriented design guidelines to extend the stability margin
                  of switching converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050578},
  doi          = {10.1109/ISCAS.2017.8050578},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MandalAAAAGB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MangiaPRS17,
  author       = {Mauro Mangia and
                  Fabio Pareschi and
                  Riccardo Rovatti and
                  Gianluca Setti},
  title        = {Countering the false myth of democracy: Boosting compressed sensing
                  performance with maximum-energy approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050532},
  doi          = {10.1109/ISCAS.2017.8050532},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MangiaPRS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaoLHL17,
  author       = {Wei Mao and
                  Yongfu Li and
                  Chun{-}Huat Heng and
                  Yong Lian},
  title        = {Zero-bias true random number generator using LFSR-based scrambler},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050474},
  doi          = {10.1109/ISCAS.2017.8050474},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaoLHL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaqboolLZLYY17,
  author       = {Khawaja Qasim Maqbool and
                  Duona Luo and
                  Guang Zhu and
                  Xingyun Luo and
                  Huichun Yu and
                  C. Patrick Yue},
  title        = {{EMI} common-mode {(CM)} noise suppression from self-calibration of
                  high-speed {SST} driver using on-chip process monitoring circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050879},
  doi          = {10.1109/ISCAS.2017.8050879},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaqboolLZLYY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarinakisHNGA17,
  author       = {Theodoros Marinakis and
                  Alexandros{-}Herodotos Haritatos and
                  Konstantinos Nikas and
                  Georgios I. Goumas and
                  Iraklis Anagnostopoulos},
  title        = {An efficient and fair scheduling policy for multiprocessor platforms},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050758},
  doi          = {10.1109/ISCAS.2017.8050758},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MarinakisHNGA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarquesSLBRR17,
  author       = {Wagner dos Santos Marques and
                  Paulo Silas Severo de Souza and
                  Arthur Francisco Lorenzon and
                  Antonio Carlos Schneider Beck and
                  Mateus Beck Rutzig and
                  F{\'{a}}bio Diniz Rossi},
  title        = {Improving {EDP} in multi-core embedded systems through multidimensional
                  frequency scaling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050515},
  doi          = {10.1109/ISCAS.2017.8050515},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MarquesSLBRR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MartelMCD17,
  author       = {Julien N. P. Martel and
                  Lorenz K. M{\"{u}}ller and
                  Stephen J. Carey and
                  Piotr Dudek},
  title        = {High-speed depth from focus on a programmable vision chip using a
                  focus tunable lens},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050548},
  doi          = {10.1109/ISCAS.2017.8050548},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MartelMCD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MartelMCMSD17,
  author       = {Julien N. P. Martel and
                  Lorenz K. M{\"{u}}ller and
                  Stephen J. Carey and
                  Jonathan M{\"{u}}ller and
                  Yulia Sandamirskaya and
                  Piotr Dudek},
  title        = {Live demonstration: Depth from focus on a focal plane processor using
                  a focus tunable liquid lens},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050715},
  doi          = {10.1109/ISCAS.2017.8050715},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MartelMCMSD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MartevHS17,
  author       = {Dimo Martev and
                  Sven Hampel and
                  Ulf Schlichtmann},
  title        = {Methodology for automated phase noise minimization in {RF} circuit
                  interconnect trees},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051013},
  doi          = {10.1109/ISCAS.2017.8051013},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MartevHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarthiHWMMIMG17,
  author       = {Poorna Marthi and
                  Nazir Hossain and
                  Huan Wang and
                  Jean{-}Fran{\c{c}}ois Millithaler and
                  Martin Margala and
                  Ignacio I{\~{n}}iguez{-}de{-}la{-}Torre and
                  Javier Mateos and
                  Tom{\'{a}}s Gonz{\'{a}}lez},
  title        = {A high performance Full Adder based on Ballistic Deflection Transistor
                  technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050782},
  doi          = {10.1109/ISCAS.2017.8050782},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MarthiHWMMIMG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Martin-LloretTM17,
  author       = {Pablo Mart{\'{\i}}n{-}Lloret and
                  Antonio Toro{-}Fr{\'{\i}}as and
                  Javier Mart{\'{\i}}n{-}Mart{\'{\i}}nez and
                  Rafael Castro{-}L{\'{o}}pez and
                  Elisenda Roca and
                  Rosana Rodr{\'{\i}}guez and
                  Montserrat Nafr{\'{\i}}a and
                  Francisco V. Fern{\'{a}}ndez},
  title        = {A size-adaptive time-step algorithm for accurate simulation of aging
                  in analog ICs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050753},
  doi          = {10.1109/ISCAS.2017.8050753},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Martin-LloretTM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Martinez-Trevino17,
  author       = {Blanca Areli Mart{\'{\i}}nez{-}Trevi{\~{n}}o and
                  Abdelali El Aroudi and
                  Luis Mart{\'{\i}}nez{-}Salamero},
  title        = {Sliding-mode approach for start-up control and voltage regulation
                  of a boost converter driving a constant power load},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050516},
  doi          = {10.1109/ISCAS.2017.8050516},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Martinez-Trevino17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MartinsMAH17,
  author       = {Isadora F. Martins and
                  Jos{\'{e}} Edil G. de Medeiros and
                  Jos{\'{e}} A. A. de Andrade and
                  Sandro A. P. Haddad},
  title        = {A novel wavelet-based analog-to-digital converter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050622},
  doi          = {10.1109/ISCAS.2017.8050622},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MartinsMAH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MartinsRSM17,
  author       = {Andre L. M. Martins and
                  Marcelo Ruaro and
                  Anderson C. Sant'Ana and
                  Fernando Gehm Moraes},
  title        = {Runtime energy management under real-time constraints in MPSoCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050947},
  doi          = {10.1109/ISCAS.2017.8050947},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MartinsRSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MasALSB17,
  author       = {Alexandre Mas and
                  Eric Andre and
                  Caroline Lelandais{-}Perrault and
                  Filipe Vinci dos Santos and
                  Philippe B{\'{e}}nab{\`{e}}s},
  title        = {Analog bandwidth mismatch compensation for time-interleaved ADCs using
                  {FD-SOI} technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050246},
  doi          = {10.1109/ISCAS.2017.8050246},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MasALSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaslikLLC17,
  author       = {Michal Maslik and
                  Yan Liu and
                  Tor Sverre Lande and
                  Timothy G. Constandinou},
  title        = {A charge-based ultra-low power continuous-time {ADC} for data driven
                  neural spike processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050620},
  doi          = {10.1109/ISCAS.2017.8050620},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaslikLLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatosPCLP17,
  author       = {Roberto de Matos and
                  Rogerio Paludo and
                  Nikolay I. Chervyakov and
                  Pavel Alekseevich Lyakhov and
                  H{\'{e}}ctor Pettenghi},
  title        = {Efficient implementation of modular multiplication by constants applied
                  to {RNS} reverse converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050779},
  doi          = {10.1109/ISCAS.2017.8050779},
  timestamp    = {Mon, 08 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatosPCLP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatteisDCB17,
  author       = {Marcello De Matteis and
                  Antonio A. D'Amico and
                  Fulvio Ciciotti and
                  Andrea Baschirotto},
  title        = {Closed-loop continuous-time analog filter with almost constant {IIP3}
                  over the pass-band},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050505},
  doi          = {10.1109/ISCAS.2017.8050505},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatteisDCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/McNeillLGP17,
  author       = {John A. McNeill and
                  Sulin Li and
                  Jianping Gong and
                  Long Pham},
  title        = {Fundamental limits on energy efficiency performance of VCO-based ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050481},
  doi          = {10.1109/ISCAS.2017.8050481},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/McNeillLGP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/McNeillSMCMAHKL17,
  author       = {John A. McNeill and
                  Devdip Sen and
                  Yitzhak Mendelson and
                  Matthew Crivello and
                  Shamsur Mazumder and
                  Amanda Agdeppa and
                  Syed Ali Hussein and
                  Hyunsoo Kim and
                  Victoria Loehle and
                  Raymond Dunn and
                  Kelli Hickle},
  title        = {Wearable wireless sensor patch for continuous monitoring of skin temperature,
                  pressure, and relative humidity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050898},
  doi          = {10.1109/ISCAS.2017.8050898},
  timestamp    = {Tue, 15 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/McNeillSMCMAHKL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MeadeZZPJ17,
  author       = {Travis Meade and
                  Zheng Zhao and
                  Shaojie Zhang and
                  David Z. Pan and
                  Yier Jin},
  title        = {Revisit sequential logic obfuscation: Attacks and defenses},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050606},
  doi          = {10.1109/ISCAS.2017.8050606},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MeadeZZPJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MedeirosH17,
  author       = {Jos{\'{e}} E. G. Medeiros and
                  Sandro A. P. Haddad},
  title        = {Nonlinear quantizer design in data conversion systems using the unscented
                  transform},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050478},
  doi          = {10.1109/ISCAS.2017.8050478},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MedeirosH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MehtaACRC17,
  author       = {Darshit Mehta and
                  Ege Altan and
                  Rishabh Chandak and
                  Baranidharan Raman and
                  Shantanu Chakrabartty},
  title        = {Behaving cyborg locusts for standoff chemical sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050610},
  doi          = {10.1109/ISCAS.2017.8050610},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MehtaACRC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MehtaACRC17a,
  author       = {Darshit Mehta and
                  Ege Altan and
                  Rishabh Chandak and
                  Baranidharan Raman and
                  Shantanu Chakrabartty},
  title        = {Live demonstration: Behaving cyborg locusts for standoff chemical
                  sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050717},
  doi          = {10.1109/ISCAS.2017.8050717},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MehtaACRC17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MelzerHO17,
  author       = {Alexander Melzer and
                  Mario Huemer and
                  Alexander Onic},
  title        = {Novel mixed-signal based short-range leakage canceler for {FMCW} radar
                  transceiver MMICs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050524},
  doi          = {10.1109/ISCAS.2017.8050524},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MelzerHO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MessarisNSSGKP17,
  author       = {Ioannis Messaris and
                  Spyridon Nikolaidis and
                  Alexandru Serb and
                  Spyros Stathopoulos and
                  Isha Gupta and
                  Ali Khiat and
                  Themistoklis Prodromakis},
  title        = {Live demonstration: {A} TiO2 ReRAM parameter extraction method},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050398},
  doi          = {10.1109/ISCAS.2017.8050398},
  timestamp    = {Tue, 04 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MessarisNSSGKP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MiaoK17,
  author       = {Yun Miao and
                  Valencia Joyner Koomson},
  title        = {A silicon based fdNIRS system with integrated tDCS on chip for non-invasive
                  closed-loop neuro stimulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050618},
  doi          = {10.1109/ISCAS.2017.8050618},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MiaoK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MildeDBIS17,
  author       = {Moritz B. Milde and
                  Alexander Dietm{\"{u}}ller and
                  Hermann Blum and
                  Giacomo Indiveri and
                  Yulia Sandamirskaya},
  title        = {Obstacle avoidance and target acquisition in mobile robots equipped
                  with neuromorphic sensory-processing systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050984},
  doi          = {10.1109/ISCAS.2017.8050984},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MildeDBIS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MinaeeW17,
  author       = {Shervin Minaee and
                  Yao Wang},
  title        = {Palmprint recognition using deep scattering network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050421},
  doi          = {10.1109/ISCAS.2017.8050421},
  timestamp    = {Mon, 26 Mar 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MinaeeW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MinaeeW17a,
  author       = {Shervin Minaee and
                  Yao Wang},
  title        = {Subspace learning in the presence of sparse structured outliers and
                  noise},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050533},
  doi          = {10.1109/ISCAS.2017.8050533},
  timestamp    = {Mon, 26 Mar 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MinaeeW17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Minch17,
  author       = {Bradley A. Minch},
  title        = {A {CMOS} differential-difference amplifier with class-AB input stages
                  featuring wide differential-mode input range},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050488},
  doi          = {10.1109/ISCAS.2017.8050488},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Minch17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MiniskarGCK17,
  author       = {Narasinga Rao Miniskar and
                  Raj Narayana Gadde and
                  Young{-}chul Rams Cho and
                  Sukjin Kim},
  title        = {Fast cycle-accurate compile based simulator for reconfigurable processor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050318},
  doi          = {10.1109/ISCAS.2017.8050318},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MiniskarGCK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MitraMDSB17,
  author       = {Debasish Mitra and
                  Dusan M. Milosevic and
                  Salvatore Drago and
                  Jan van Sinderen and
                  Lucien J. Breems},
  title        = {Impact of amplifier bandwidth limitations on gain-boosted N-path receivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050601},
  doi          = {10.1109/ISCAS.2017.8050601},
  timestamp    = {Fri, 08 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MitraMDSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoeysLMBLMBD17,
  author       = {Diederik Paul Moeys and
                  Cheng{-}Han Li and
                  Julien N. P. Martel and
                  Simeon A. Bamford and
                  Luca Longinotti and
                  Vasyl Motsnyi and
                  David San Segundo Bello and
                  Tobi Delbr{\"{u}}ck},
  title        = {Color temporal contrast sensitivity in dynamic vision sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050412},
  doi          = {10.1109/ISCAS.2017.8050412},
  timestamp    = {Wed, 31 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MoeysLMBLMBD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohamadWYB17,
  author       = {Saqib Mohamad and
                  Chao Wu and
                  Jie Yuan and
                  Amine Bermak},
  title        = {A power minimized 74 fJ/conversion-step 88.6 dB {SNR} incremental
                  {\(\Sigma\)}{\(\Delta\)} {ADC} with an asynchronous {SAR} quantizer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050248},
  doi          = {10.1109/ISCAS.2017.8050248},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohamadWYB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohanGLLC17,
  author       = {Anup Mohan and
                  Kent Gauen and
                  Yung{-}Hsiang Lu and
                  Wei Wayne Li and
                  Xuemin Chen},
  title        = {Internet of video things in 2030: {A} world with many cameras},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050296},
  doi          = {10.1109/ISCAS.2017.8050296},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MohanGLLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohsenpourS17,
  author       = {Mohammad{-}Mahdi Mohsenpour and
                  Carlos E. Saavedra},
  title        = {Highly linear reconfigurable mixer designed for environment-aware
                  receiver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050880},
  doi          = {10.1109/ISCAS.2017.8050880},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MohsenpourS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MolinEE17,
  author       = {Jamal Lottier Molin and
                  Adebayo Eisape and
                  Ralph Etienne{-}Cummings},
  title        = {Live demonstration: Event-based image processing on {CMOS} Mihalas-Niebur
                  neuron array transceiver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050406},
  doi          = {10.1109/ISCAS.2017.8050406},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MolinEE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MolinETVBE17,
  author       = {Jamal Lottier Molin and
                  Adebayo Eisape and
                  Chetan Singh Thakur and
                  Vigil Varghese and
                  Christian Brandli and
                  Ralph Etienne{-}Cummings},
  title        = {Low-power, low-mismatch, highly-dense array of {VLSI} Mihalas-Niebur
                  neurons},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050933},
  doi          = {10.1109/ISCAS.2017.8050933},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MolinETVBE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MolinRE17,
  author       = {Jamal Lottier Molin and
                  John M. Rattray and
                  Ralph Etienne{-}Cummings},
  title        = {Live demonstration: {FPGA} neural array emulation for real-time, event-based
                  simultaneous dewarping and filtering for aerial vehicles},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050407},
  doi          = {10.1109/ISCAS.2017.8050407},
  timestamp    = {Wed, 31 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MolinRE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MolinSNE17,
  author       = {Jamal Lottier Molin and
                  Christopher Simmons and
                  Garrett Nixon and
                  Ralph Etienne{-}Cummings},
  title        = {Live demonstration: Real-time, dynamic visual saliency computation
                  in a {VR} environment seeing through the eyes of a mobile robot},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050720},
  doi          = {10.1109/ISCAS.2017.8050720},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MolinSNE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MonacoV17,
  author       = {John V. Monaco and
                  Manuel M. Vindiola},
  title        = {Integer factorization with a neuromorphic sieve},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050978},
  doi          = {10.1109/ISCAS.2017.8050978},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MonacoV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MondalH17,
  author       = {Somok Mondal and
                  Drew A. Hall},
  title        = {An {ECG} chopper amplifier achieving 0.92 {NEF} and 0.85 {PEF} with
                  AC-coupled inverter-stacking for noise efficiency enhancement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050957},
  doi          = {10.1109/ISCAS.2017.8050957},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MondalH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MontgomeryLN17,
  author       = {Byron J. Montgomery and
                  Yifei Li and
                  Nathan M. Neihart},
  title        = {Common-mode termination requirements in concurrent dual-band push-pull
                  power amplifiers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050599},
  doi          = {10.1109/ISCAS.2017.8050599},
  timestamp    = {Mon, 11 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MontgomeryLN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MooreWGF17,
  author       = {Conrad J. Moore and
                  Peikun Wang and
                  Amir Masoud Gharehbaghi and
                  Masahiro Fujita},
  title        = {Test pattern generation for multiple stuck-at faults not covered by
                  test patterns for single faults},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050913},
  doi          = {10.1109/ISCAS.2017.8050913},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MooreWGF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoserLHBG17,
  author       = {Nicolas Moser and
                  Chi Leng Leong and
                  Yuanqi Hu and
                  Martyn G. Boutelle and
                  Pantelis Georgiou},
  title        = {Live demonstration: Real-time chemical imaging of ionic solutions
                  using an {ISFET} array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050722},
  doi          = {10.1109/ISCAS.2017.8050722},
  timestamp    = {Fri, 19 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MoserLHBG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoserRYKMLLTG17,
  author       = {Nicolas Moser and
                  Jesus Rodriguez{-}Manzano and
                  Ling{-}Shan Yu and
                  Melpomeni Kalofonou and
                  Sara de Mateo and
                  Xiaoxiang Li and
                  Tor Sverre Lande and
                  Christofer Toumazou and
                  Pantelis Georgiou},
  title        = {Live demonstration: {A} CMOS-based {ISFET} array for rapid diagnosis
                  of the Zika virus},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050721},
  doi          = {10.1109/ISCAS.2017.8050721},
  timestamp    = {Fri, 19 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MoserRYKMLLTG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoyRHWSV17,
  author       = {Tiffany Moy and
                  Warren Rieutort{-}Louis and
                  Liechao Huang and
                  Sigurd Wagner and
                  James C. Sturm and
                  Naveen Verma},
  title        = {Information-processing-driven interfaces in hybrid large-area electronics
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050267},
  doi          = {10.1109/ISCAS.2017.8050267},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoyRHWSV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MozaffariTH17,
  author       = {Seyed Nima Mozaffari and
                  Spyros Tragoudas and
                  Themistoklis Haniotakis},
  title        = {Reducing power, area, and delay of threshold logic gates considering
                  non-integer weights},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050380},
  doi          = {10.1109/ISCAS.2017.8050380},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MozaffariTH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MuhlesteinFVM17,
  author       = {Jason Muhlestein and
                  Farshad Farahbakhshian and
                  Praveen Kumar Venkatachala and
                  Un{-}Ku Moon},
  title        = {A multi-path ring amplifier with dynamic biasing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050677},
  doi          = {10.1109/ISCAS.2017.8050677},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MuhlesteinFVM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Mukhopadhyay17,
  author       = {Jayanta Mukhopadhyay},
  title        = {Filtering and enhancement of color images in the block {DCT} domain},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050270},
  doi          = {10.1109/ISCAS.2017.8050270},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Mukhopadhyay17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MullerNI17,
  author       = {Lorenz K. M{\"{u}}ller and
                  Manu V. Nair and
                  Giacomo Indiveri},
  title        = {Randomized unregulated step descent for limited precision synaptic
                  elements},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050217},
  doi          = {10.1109/ISCAS.2017.8050217},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MullerNI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MunagalaV17,
  author       = {Raga Lasya Munagala and
                  U. K. Vijay},
  title        = {A novel 3-tap adaptive feed forward equalizer for high speed wireline
                  receivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050225},
  doi          = {10.1109/ISCAS.2017.8050225},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MunagalaV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NakagawaK17,
  author       = {Yuya Nakagawa and
                  Hirotaka Koizumi},
  title        = {A hybrid nine-level inverter with series/parallel conversion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050652},
  doi          = {10.1109/ISCAS.2017.8050652},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NakagawaK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NaminMNRA17,
  author       = {Shoaleh Hashemi Namin and
                  Ankit Mehta and
                  Parham Hosseinzadeh Namin and
                  Rashid Rashidzadeh and
                  Majid Ahmadi},
  title        = {A secure test solution for sensor nodes containing crypto-cores},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050885},
  doi          = {10.1109/ISCAS.2017.8050885},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NaminMNRA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NarayananSFSAJH17,
  author       = {Pritish Narayanan and
                  Lucas L. Sanches and
                  Alessandro Fumarola and
                  Robert M. Shelby and
                  Stefano Ambrogio and
                  Jun{-}Woo Jang and
                  Hyunsang Hwang and
                  Yusuf Leblebici and
                  Geoffrey W. Burr},
  title        = {Reducing circuit design complexity for neuromorphic machine learning
                  systems based on Non-Volatile Memory arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050988},
  doi          = {10.1109/ISCAS.2017.8050988},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NarayananSFSAJH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Narieda17,
  author       = {Shusuke Narieda},
  title        = {Computational complexity reduction for signal cyclostationarity detection
                  based spectrum sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050564},
  doi          = {10.1109/ISCAS.2017.8050564},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Narieda17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NasriSYRS17,
  author       = {Bayan Nasri and
                  Sunit P. Sebastian and
                  Kae{-}Dyi You and
                  RamKumar RanjithKumar and
                  Davood Shahrjerdi},
  title        = {A 700 {\(\mu\)}W 1GS/s 4-bit folding-flash {ADC} in 65nm {CMOS} for
                  wideband wireless communications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050624},
  doi          = {10.1109/ISCAS.2017.8050624},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NasriSYRS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NatarajLGNMPG17,
  author       = {Mahesh Nataraj and
                  Alexandre Levisse and
                  Bastien Giraud and
                  Jean{-}Philippe No{\"{e}}l and
                  Pascal Andreas Meinerzhagen and
                  Jean{-}Michel Portal and
                  Pierre{-}Emmanuel Gaillardon},
  title        = {Design methodology for area and energy efficient OxRAM-based non-volatile
                  flip-flop},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050759},
  doi          = {10.1109/ISCAS.2017.8050759},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NatarajLGNMPG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NatarajanH17,
  author       = {Aishwarya Natarajan and
                  Jennifer Hasler},
  title        = {Using SoC {FPAA} and integrated simulator for implementation of circuits
                  and systems in education},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050518},
  doi          = {10.1109/ISCAS.2017.8050518},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NatarajanH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NausTGBMC17,
  author       = {Sebastien Naus and
                  Ioulia Tzouvadaki and
                  Pierre{-}Emmanuel Gaillardon and
                  Armando Biscontini and
                  Giovanni De Micheli and
                  Sandro Carrara},
  title        = {An efficient electronic measurement interface for memristive biosensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050685},
  doi          = {10.1109/ISCAS.2017.8050685},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NausTGBMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NavidiG17,
  author       = {Mir Mohammad Navidi and
                  David W. Graham},
  title        = {A regulated charge pump for injecting floating-gate transistors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050856},
  doi          = {10.1109/ISCAS.2017.8050856},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NavidiG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NavidiGR17,
  author       = {Mir Mohammad Navidi and
                  David W. Graham and
                  Brandon Rumberg},
  title        = {Below-ground injection of floating-gate transistors for programmable
                  analog circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050352},
  doi          = {10.1109/ISCAS.2017.8050352},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NavidiGR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NeftciAPD17,
  author       = {Emre Neftci and
                  Charles Augustine and
                  Somnath Paul and
                  Georgios Detorakis},
  title        = {Event-driven random backpropagation: Enabling neuromorphic deep learning
                  machines},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050529},
  doi          = {10.1109/ISCAS.2017.8050529},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NeftciAPD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NeriBCC17,
  author       = {Alessandro Neri and
                  Federica Battisti and
                  Federico Colangelo and
                  Marco Carli},
  title        = {Unsupervised video orchestration based on aesthetic features},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050337},
  doi          = {10.1109/ISCAS.2017.8050337},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NeriBCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NesslerMM17,
  author       = {Sebastian Nessler and
                  Maximilian Marx and
                  Yiannos Manoli},
  title        = {A self-test on wafer level for a {MEM} gyroscope readout based on
                  {\(\Delta\)}{\(\Sigma\)} modulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050332},
  doi          = {10.1109/ISCAS.2017.8050332},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NesslerMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Newcomb17,
  author       = {Robert W. Newcomb},
  title        = {Reminiscence: 60 Years of teaching within 84 years of life},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050521},
  doi          = {10.1109/ISCAS.2017.8050521},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Newcomb17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ng17,
  author       = {Tse Nga Tina Ng},
  title        = {Printed organic {TFT} sensor tags},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050680},
  doi          = {10.1109/ISCAS.2017.8050680},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ng17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NgY17,
  author       = {Waichiu Ng and
                  Jie Yuan},
  title        = {A 1-8 Gb/s optical wireless communication dual-mode receiver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050452},
  doi          = {10.1109/ISCAS.2017.8050452},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NgY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NguyenNISP17,
  author       = {Xuan{-}Thuan Nguyen and
                  Hong{-}Thu Nguyen and
                  Katsumi Inoue and
                  Osamu Shimojo and
                  Cong{-}Kha Pham},
  title        = {Highly parallel bitmap-based regular expression matching for text
                  analytics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050968},
  doi          = {10.1109/ISCAS.2017.8050968},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NguyenNISP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NiCC17,
  author       = {Yun Ni and
                  Jie Chen and
                  Lap{-}Pui Chau},
  title        = {Reflection removal based on single light field capture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050813},
  doi          = {10.1109/ISCAS.2017.8050813},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NiCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NingZHRWCH17,
  author       = {Guanghan Ning and
                  Zhi Zhang and
                  Chen Huang and
                  Xiaobo Ren and
                  Haohong Wang and
                  Canhui Cai and
                  Zhihai He},
  title        = {Spatially supervised recurrent convolutional neural networks for visual
                  object tracking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050867},
  doi          = {10.1109/ISCAS.2017.8050867},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NingZHRWCH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NonteCGH17,
  author       = {Michael W. Nonte and
                  Joseph K. Conroy and
                  Peter Gadfort and
                  William D. Hairston},
  title        = {Online adaptive data acquisition enabling ultra-low power real-world
                  {EEG}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050347},
  doi          = {10.1109/ISCAS.2017.8050347},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NonteCGH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NoshahrS17,
  author       = {Fereidoon Hashemi Noshahr and
                  Mohamad Sawan},
  title        = {A compact and low power bandpass amplifier for low bandwidth signal
                  applications in 65-nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050492},
  doi          = {10.1109/ISCAS.2017.8050492},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NoshahrS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NunezA17,
  author       = {Juan N{\'{u}}{\~{n}}ez and
                  Maria J. Avedillo},
  title        = {Exploring logic architectures suitable for TFETs devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050781},
  doi          = {10.1109/ISCAS.2017.8050781},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NunezA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ObahiagbonSAKC17,
  author       = {Uwadiae Obahiagbon and
                  Joseph T. Smith and
                  Hany M. Arafa and
                  Dixie E. Kullman and
                  Jennifer Blain Christen},
  title        = {Live demonstration: {A} highly sensitive and quantitative fluorescence
                  sensing platform, for disease diagnosis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050723},
  doi          = {10.1109/ISCAS.2017.8050723},
  timestamp    = {Tue, 16 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ObahiagbonSAKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OliveraP17,
  author       = {Fabian Olivera and
                  Antonio Petraglia},
  title        = {Analytic modeling of static noise margin considering {DIBL} and body
                  bias effects},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050353},
  doi          = {10.1109/ISCAS.2017.8050353},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/OliveraP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OlumodejiG17,
  author       = {Olufemi Akindele Olumodeji and
                  Massimo Gottardi},
  title        = {A pulse-based memristor programming circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050793},
  doi          = {10.1109/ISCAS.2017.8050793},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OlumodejiG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OsbornNKT17,
  author       = {Luke Osborn and
                  Harrison Nguyen and
                  Rahul R. Kaliki and
                  Nitish V. Thakor},
  title        = {Live demonstration: Prosthesis grip force modulation using neuromorphic
                  tactile sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050718},
  doi          = {10.1109/ISCAS.2017.8050718},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OsbornNKT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Paasio17,
  author       = {Ari Paasio},
  title        = {Local memory and logic arrangement for ultra-low power array processors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050224},
  doi          = {10.1109/ISCAS.2017.8050224},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Paasio17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PalBMC17,
  author       = {Chandrajit Pal and
                  Dwaipayan Biswas and
                  Koushik Maharatna and
                  Amlan Chakrabarti},
  title        = {Architecture for complex network measures of brain connectivity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050239},
  doi          = {10.1109/ISCAS.2017.8050239},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PalBMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PallaresSTS17,
  author       = {Jofre Pallares and
                  Keith Sabine and
                  Llu{\'{\i}}s Ter{\'{e}}s and
                  Francisco Serra{-}Graells},
  title        = {An academic {EDA} suite for the full-custom design of mixed-mode integrated
                  circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050519},
  doi          = {10.1109/ISCAS.2017.8050519},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PallaresSTS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PammuCG17,
  author       = {Ali Akbar Pammu and
                  Kwen{-}Siong Chong and
                  Bah{-}Hwee Gwee},
  title        = {Highly secured state-shift local clock circuit to countermeasure against
                  side channel attack},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050845},
  doi          = {10.1109/ISCAS.2017.8050845},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PammuCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanM17,
  author       = {Sining Pan and
                  Kofi A. A. Makinwa},
  title        = {Optimum synchronous phase detection and its application in smart sensor
                  interfaces},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050417},
  doi          = {10.1109/ISCAS.2017.8050417},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PanM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PantK17,
  author       = {Jeevan K. Pant and
                  Sridhar Krishnan},
  title        = {Two-pass {\(\mathscr{l}\)}p-regularized least-squares algorithm for
                  compressive sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050535},
  doi          = {10.1109/ISCAS.2017.8050535},
  timestamp    = {Thu, 25 Oct 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PantK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PapistasP17,
  author       = {Ioannis A. Papistas and
                  Vasilis F. Pavlidis},
  title        = {Contactless inter-tier communication for heterogeneous 3-D ICs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050946},
  doi          = {10.1109/ISCAS.2017.8050946},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PapistasP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Parhi17,
  author       = {Keshab K. Parhi},
  title        = {Analysis of stochastic logic circuits in unipolar, bipolar and hybrid
                  formats},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050568},
  doi          = {10.1109/ISCAS.2017.8050568},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Parhi17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Park17,
  author       = {Jaeyoung Park},
  title        = {Area-efficient {STT/CMOS} non-volatile flip-flop},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050697},
  doi          = {10.1109/ISCAS.2017.8050697},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Park17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PartzschHESMLF17,
  author       = {Johannes Partzsch and
                  Sebastian H{\"{o}}ppner and
                  Matthias Eberlein and
                  Ren{\'{e}} Sch{\"{u}}ffny and
                  Christian Mayr and
                  David R. Lester and
                  Steve B. Furber},
  title        = {A fixed point exponential function accelerator for a neuromorphic
                  many-core system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050528},
  doi          = {10.1109/ISCAS.2017.8050528},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PartzschHESMLF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParveenAHF17,
  author       = {Farhana Parveen and
                  Shaahin Angizi and
                  Zhezhi He and
                  Deliang Fan},
  title        = {Hybrid polymorphic logic gate using 6 terminal magnetic domain wall
                  motion device},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050921},
  doi          = {10.1109/ISCAS.2017.8050921},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParveenAHF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Pavan17,
  author       = {Shanthi Pavan},
  title        = {On linear periodically time varying {(LPTV)} systems with modulated
                  inputs, and their application to smoothing filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050952},
  doi          = {10.1109/ISCAS.2017.8050952},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Pavan17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PazhouhandehSG17,
  author       = {Reza Pazhouhandeh and
                  Omid Shoaei and
                  Roman Genov},
  title        = {Two-electrode impedance-sensing cardiac rhythm monitor for charge-aware
                  shock delivery in cardiac arrest},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050953},
  doi          = {10.1109/ISCAS.2017.8050953},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PazhouhandehSG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PechlivanidisPE17,
  author       = {Nikolaos G. Pechlivanidis and
                  Konstantinos I. Papadimitriou and
                  Daniel Evans and
                  Nikolaos Vasilakis and
                  Themistoklis Prodromakis},
  title        = {Towards a smartphone-aided electronic {ELISA} for real-time electrochemical
                  monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050616},
  doi          = {10.1109/ISCAS.2017.8050616},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PechlivanidisPE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PedroniSC17,
  author       = {Bruno U. Pedroni and
                  Sadique Sheik and
                  Gert Cauwenberghs},
  title        = {Pipelined parallel contrastive divergence for continuous generative
                  model learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050273},
  doi          = {10.1109/ISCAS.2017.8050273},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PedroniSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PengWLLLZ17,
  author       = {Qi Peng and
                  Kun Wang and
                  Xuelian Liu and
                  Weifeng Liu and
                  Xiaoming Li and
                  Yiqi Zhuang},
  title        = {A low power read-out circuit with frequency accuracy of 0.2{\%} for
                  capacitive and resistive sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050473},
  doi          = {10.1109/ISCAS.2017.8050473},
  timestamp    = {Tue, 17 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PengWLLLZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PereiraSG17,
  author       = {Nuno Pereira and
                  Hugo Serra and
                  Jo{\~{a}}o Goes},
  title        = {A two-step radio receiver architecture fully embedded into a charge-sharing
                  {SAR} {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050563},
  doi          = {10.1109/ISCAS.2017.8050563},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PereiraSG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Perez-BailonMCM17,
  author       = {Jorge P{\'{e}}rez{-}Bail{\'{o}}n and
                  Alejandro M{\'{a}}rquez and
                  Bel{\'{e}}n Calvo and
                  Nicol{\'{a}}s J. Medrano{-}Marqu{\'{e}}s},
  title        = {Transient-enhanced output-capacitorless {CMOS} {LDO} regulator for
                  battery-operated systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050961},
  doi          = {10.1109/ISCAS.2017.8050961},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Perez-BailonMCM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Perez-PenaLLC17,
  author       = {Fernando Perez{-}Pe{\~{n}}a and
                  Juan Antonio Le{\~{n}}ero{-}Bardallo and
                  Alejandro Linares{-}Barranco and
                  Elisabetta Chicca},
  title        = {Towards bioinspired close-loop local motor control: {A} simulated
                  approach supporting neuromorphic implementations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050808},
  doi          = {10.1109/ISCAS.2017.8050808},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Perez-PenaLLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PerezACT17,
  author       = {Jos{\'{e}}{-}Cruz Nu{\~{n}}ez P{\'{e}}rez and
                  Edgar Allende{-}Chavez and
                  Jos{\'{e}} Ricardo C{\'{a}}rdenas{-}Valdez and
                  Esteban Tlelo{-}Cuautle},
  title        = {Coefficient extraction for {MPM} using LSE, {ORLS} and {SLS} applied
                  to {RF-PA} modeling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050649},
  doi          = {10.1109/ISCAS.2017.8050649},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PerezACT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PetragliaCGP17,
  author       = {Antonio Petraglia and
                  Roberto Campos and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and
                  Mariane R. Petraglia},
  title        = {Pipeline tracking and event classification for an automatic inspection
                  vision system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050761},
  doi          = {10.1109/ISCAS.2017.8050761},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PetragliaCGP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PetragliaPP17,
  author       = {Antonio Petraglia and
                  Mariane R. Petraglia and
                  Manoel C. A. Perez},
  title        = {Second-order analog filter sections with independently tunable center
                  frequency and bandwidth},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050336},
  doi          = {10.1109/ISCAS.2017.8050336},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PetragliaPP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PetroviciSKLSBB17,
  author       = {Mihai A. Petrovici and
                  Sebastian Schmitt and
                  Johann Kl{\"{a}}hn and
                  Robert D. St. Louis and
                  Anna Schroeder and
                  Guillaume Bellec and
                  Johannes Bill and
                  Oliver Breitwieser and
                  Ilja Bytschok and
                  Andreas Gr{\"{u}}bl and
                  Maurice G{\"{u}}ttler and
                  Andreas Hartel and
                  Stephan Hartmann and
                  Dan Husmann de Oliveira and
                  Kai Husmann and
                  Sebastian Jeltsch and
                  Vitali Karasenko and
                  Mitja Kleider and
                  Christoph Koke and
                  Alexander Kononov and
                  Christian Mauch and
                  Eric M{\"{u}}ller and
                  Paul M{\"{u}}ller and
                  Johannes Partzsch and
                  Thomas Pfeil and
                  Stefan Schiefer and
                  Stefan Scholze and
                  Anand Subramoney and
                  Vasilis N. Thanasoulis and
                  Bernhard Vogginger and
                  Robert Legenstein and
                  Wolfgang Maass and
                  Ren{\'{e}} Sch{\"{u}}ffny and
                  Christian Mayr and
                  Johannes Schemmel and
                  Karlheinz Meier},
  title        = {Pattern representation and recognition with accelerated analog neuromorphic
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050530},
  doi          = {10.1109/ISCAS.2017.8050530},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PetroviciSKLSBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PeturaMBF17,
  author       = {Oto Petura and
                  Ugo Mureddu and
                  Nathalie Bochard and
                  Viktor Fischer},
  title        = {Optimization of the {PLL} based {TRNG} design using the genetic algorithm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050839},
  doi          = {10.1109/ISCAS.2017.8050839},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PeturaMBF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PillonnetFH17,
  author       = {Ga{\"{e}}l Pillonnet and
                  Herv{\'{e}} Fanet and
                  Samer Houri},
  title        = {Adiabatic capacitive logic: {A} paradigm for low-power logic},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050996},
  doi          = {10.1109/ISCAS.2017.8050996},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PillonnetFH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PligouroudisPEP17,
  author       = {Michail Pligouroudis and
                  Konstantinos I. Papadimitriou and
                  Daniel Evans and
                  Themistoklis Prodromakis},
  title        = {A dual switched-capacitor integrator architecture for versatile, real-time
                  amperometric biosensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050728},
  doi          = {10.1109/ISCAS.2017.8050728},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PligouroudisPEP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PoikonenL17,
  author       = {Jussi H. Poikonen and
                  Mika Laiho},
  title        = {A mixed-mode array computing architecture for online dictionary learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050589},
  doi          = {10.1109/ISCAS.2017.8050589},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PoikonenL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PoppMJKMP17,
  author       = {Michael Popp and
                  Wolfgang Mathis and
                  Malte John and
                  Olga Korolova and
                  Axel Mertens and
                  Bernd Ponick},
  title        = {A modified {CCM} approach for simulating hierarchical interconnected
                  dynamical systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050579},
  doi          = {10.1109/ISCAS.2017.8050579},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PoppMJKMP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PotkonjakQKC17,
  author       = {Miodrag Potkonjak and
                  Gang Qu and
                  Farinaz Koushanfar and
                  Chip{-}Hong Chang},
  title        = {20 Years of research on intellectual property protection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050602},
  doi          = {10.1109/ISCAS.2017.8050602},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PotkonjakQKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PrabhaR17,
  author       = {Rajiv Damodaran Prabha and
                  Gabriel A. Rinc{\'{o}}n{-}Mora},
  title        = {How to design battery-assisted photovoltaic switched-inductor {CMOS}
                  charger-supplies},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050863},
  doi          = {10.1109/ISCAS.2017.8050863},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PrabhaR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PrasadKCC17,
  author       = {N. Prasad and
                  Rajit Karmakar and
                  Santanu Chattopadhyay and
                  Indrajit Chakrabarti},
  title        = {Runtime mitigation of illegal packet request attacks in Networks-on-Chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050633},
  doi          = {10.1109/ISCAS.2017.8050633},
  timestamp    = {Tue, 25 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PrasadKCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PulkkinenSMHH17,
  author       = {Mika Pulkkinen and
                  Jarno Salomaa and
                  Mohammad Mehdi Moayer and
                  Tuomas Haapala and
                  Kari Halonen},
  title        = {462-nW 2-axis gesture sensor interface based on capacitively controlled
                  ring oscillators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051005},
  doi          = {10.1109/ISCAS.2017.8051005},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PulkkinenSMHH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PunjiyaNMS17,
  author       = {Meera Punjiya and
                  Hojatollah Rezaei Nejad and
                  Pooria Mostafalu and
                  Sameer Sonkusale},
  title        = {pH sensing threads with {CMOS} readout for Smart Bandages},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050730},
  doi          = {10.1109/ISCAS.2017.8050730},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PunjiyaNMS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QianGW17,
  author       = {Fengyu Qian and
                  Yanping Gong and
                  Lei Wang},
  title        = {A memristor based image sensor exploiting compressive measurement
                  for low-power video streaming},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050795},
  doi          = {10.1109/ISCAS.2017.8050795},
  timestamp    = {Wed, 30 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/QianGW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QueirozF17,
  author       = {Ant{\^{o}}nio Carlos M. de Queiroz and
                  Luiz Carlos Macedo de Oliveira Filho},
  title        = {Live demonstration: Unipolar symmetrical variable-capacitance generators
                  for energy harvesting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050712},
  doi          = {10.1109/ISCAS.2017.8050712},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QueirozF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RabbaHKG17,
  author       = {Salah Rabba and
                  Yifeng He and
                  Matthew J. Kyan and
                  Ling Guan},
  title        = {Pupil localization for gaze estimation using unsupervised graph-based
                  model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050660},
  doi          = {10.1109/ISCAS.2017.8050660},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RabbaHKG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RahulVS17,
  author       = {Rahul Thottathil and
                  Veeresh Babu Vulligaddala and
                  Bibhudatta Sahoo},
  title        = {{CMOS} mixed signal SoC for low-side current sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050496},
  doi          = {10.1109/ISCAS.2017.8050496},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RahulVS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Rajendran17,
  author       = {Jeyavijayan (JV) Rajendran},
  title        = {An overview of hardware intellectual property protection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050883},
  doi          = {10.1109/ISCAS.2017.8050883},
  timestamp    = {Wed, 15 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Rajendran17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RaviJSSI17,
  author       = {Chithira Ravi and
                  Diego James and
                  Vineeth Sarma and
                  Bibhudatta Sahoo and
                  Amol Inamdar},
  title        = {Thermal noise canceling pipelined {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050626},
  doi          = {10.1109/ISCAS.2017.8050626},
  timestamp    = {Thu, 22 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RaviJSSI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Regalia17,
  author       = {Phillip A. Regalia},
  title        = {On secure communications without eavesdropper channel state},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050271},
  doi          = {10.1109/ISCAS.2017.8050271},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Regalia17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RezaZB17,
  author       = {Md Farhadur Reza and
                  Dan Zhao and
                  Magdy A. Bayoumi},
  title        = {Dark silicon-power-thermal aware runtime mapping and configuration
                  in heterogeneous many-core NoC},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050254},
  doi          = {10.1109/ISCAS.2017.8050254},
  timestamp    = {Thu, 16 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RezaZB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RizkTHBPA17,
  author       = {Charbel G. Rizk and
                  Francisco Tejada and
                  John Hughes and
                  David Barbehenn and
                  Philippe O. Pouliquen and
                  Andreas G. Andreou},
  title        = {Characterization of {RTN} noise in the analog front-end of digital
                  pixel imagers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050294},
  doi          = {10.1109/ISCAS.2017.8050294},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RizkTHBPA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RoseLCSTFCA17,
  author       = {Raffaele De Rose and
                  Marco Lanuzza and
                  Felice Crupi and
                  Giulio Siracusano and
                  Riccardo Tomasello and
                  Giovanni Finocchio and
                  Mario Carpentieri and
                  Massimo Alioto},
  title        = {A variation-aware simulation framework for hybrid CMOS/spintronic
                  circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050920},
  doi          = {10.1109/ISCAS.2017.8050920},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RoseLCSTFCA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RosinhaAB17,
  author       = {Juliano B. Rosinha and
                  S{\'{e}}rgio J. M. de Almeida and
                  Jos{\'{e}} C. M. Bermudez},
  title        = {A new kernel Kalman filter algorithm for estimating time-varying nonlinear
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050875},
  doi          = {10.1109/ISCAS.2017.8050875},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RosinhaAB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RouhaniMK17,
  author       = {Bita Darvish Rouhani and
                  Azalia Mirhoseini and
                  Farinaz Koushanfar},
  title        = {TinyDL: Just-in-time deep learning solution for constrained embedded
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050343},
  doi          = {10.1109/ISCAS.2017.8050343},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RouhaniMK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RoutS17,
  author       = {Samprajani Rout and
                  Wouter A. Serdijn},
  title        = {Structured electronic design of high-pass {\(\Sigma\)}{\(\Delta\)}
                  converters and their application to cardiac signal acquisition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050897},
  doi          = {10.1109/ISCAS.2017.8050897},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RoutS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuaroM17,
  author       = {Marcelo Ruaro and
                  Fernando Gehm Moraes},
  title        = {Demystifying the cost of task migration in distributed memory many-core
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050257},
  doi          = {10.1109/ISCAS.2017.8050257},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuaroM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuedaBHM17,
  author       = {Luis E. Rueda G. and
                  Marco Ballini and
                  Nick Van Helleputte and
                  Srinjoy Mitra},
  title        = {Analysis of passive charge balancing for safe current-mode neural
                  stimulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050621},
  doi          = {10.1109/ISCAS.2017.8050621},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuedaBHM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RungeG17,
  author       = {Marcel Runge and
                  Friedel Gerfers},
  title        = {A digital compensation method canceling static and non-linear time-variant
                  feedback {DAC} errors in {\(\Sigma\)}{\(\Delta\)} analog-to-digital
                  converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050485},
  doi          = {10.1109/ISCAS.2017.8050485},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RungeG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaadBA17,
  author       = {Mohamed Saad and
                  Elisenda Bou{-}Balust and
                  Eduard Alarc{\'{o}}n},
  title        = {Switch-mode gyrator-based emulated inductor enabling self-tunability
                  in {WPT} receivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050853},
  doi          = {10.1109/ISCAS.2017.8050853},
  timestamp    = {Wed, 07 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaadBA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SadiKET17,
  author       = {Mehdi Sadi and
                  Sukeshwar Kannan and
                  Luke England and
                  Mark M. Tehranipoor},
  title        = {Design of a digital {IP} for 3D-IC die-to-die clock synchronization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050431},
  doi          = {10.1109/ISCAS.2017.8050431},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SadiKET17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SadreazamiAM17,
  author       = {Hamidreza Sadreazami and
                  Amir Asif and
                  Arash Mohammadi},
  title        = {Data-adaptive color image denoising and enhancement using graph-based
                  filtering},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050992},
  doi          = {10.1109/ISCAS.2017.8050992},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SadreazamiAM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SahebEB17,
  author       = {Zina Saheb and
                  Ezz I. El{-}Masry and
                  Jean{-}Francois Bousquet},
  title        = {A 69-Mbps dual tuning 8PSK/QPSK transmitter using injection locking
                  and {RF} phase modulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051004},
  doi          = {10.1109/ISCAS.2017.8051004},
  timestamp    = {Mon, 23 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SahebEB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Sahoo17,
  author       = {Bibhu Datta Sahoo},
  title        = {Ring oscillator based sub-1V leaky integrate-and-fire neuron circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050980},
  doi          = {10.1109/ISCAS.2017.8050980},
  timestamp    = {Thu, 29 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Sahoo17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaiHZ17,
  author       = {Gaole Sai and
                  Basel Halak and
                  Mark Zwolinski},
  title        = {A cost-efficient delay-fault monitor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050830},
  doi          = {10.1109/ISCAS.2017.8050830},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaiHZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalehiD17,
  author       = {Soheil Salehi and
                  Ronald F. DeMara},
  title        = {Process variation immune and energy aware sense amplifiers for resistive
                  non-volatile memories},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050788},
  doi          = {10.1109/ISCAS.2017.8050788},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SalehiD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalibCF17,
  author       = {Armia Salib and
                  Barry Cardiff and
                  Mark F. Flanagan},
  title        = {A low-complexity correlation-based time skew estimation technique
                  for time-interleaved {SAR} ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050309},
  doi          = {10.1109/ISCAS.2017.8050309},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SalibCF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalimathGBBBM17,
  author       = {Arunkumar Salimath and
                  Giovanni Gonano and
                  Edoardo Bonizzoni and
                  Davide Luigi Brambilla and
                  Edoardo Botti and
                  Franco Maloberti},
  title        = {A high-speed level shifting technique and its application in high-voltage,
                  synchronous {DC-DC} converters with quasi-ZVS},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050654},
  doi          = {10.1109/ISCAS.2017.8050654},
  timestamp    = {Mon, 09 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SalimathGBBBM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaltIS17,
  author       = {Llewyn Salt and
                  Giacomo Indiveri and
                  Yulia Sandamirskaya},
  title        = {Obstacle avoidance with {LGMD} neuron: Towards a neuromorphic {UAV}
                  implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050976},
  doi          = {10.1109/ISCAS.2017.8050976},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaltIS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SammoudKBE17,
  author       = {Ahmed Sammoud and
                  Ashok Kumar and
                  Magdy A. Bayoumi and
                  Tarek A. Elarabi},
  title        = {Real-time streaming challenges in Internet of Video Things (IoVT)},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050815},
  doi          = {10.1109/ISCAS.2017.8050815},
  timestamp    = {Mon, 20 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SammoudKBE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SanchezAM17,
  author       = {Gustavo Sanchez and
                  Luciano Volcan Agostini and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Complexity reduction by modes reduction in RD-list for intra-frame
                  prediction in 3D-HEVC depth maps},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050461},
  doi          = {10.1109/ISCAS.2017.8050461},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SanchezAM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SannePGYLABMR17,
  author       = {Atresh Sanne and
                  Saungeun Park and
                  Rudresh Ghosh and
                  Maruthi N. Yogeesh and
                  Chison Liu and
                  Deji Akinwande and
                  Sanjay Kumar Banerjee and
                  Leo Mathew and
                  Rajesh Rao},
  title        = {Record fT, fmax, and GHz amplification in 2dimensional {CVD} MoS2
                  embedded gate fets},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051016},
  doi          = {10.1109/ISCAS.2017.8051016},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SannePGYLABMR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SarbisheiVLS17,
  author       = {Ideh Sarbishei and
                  Shervin Vakili and
                  J. M. Pierre Langlois and
                  Yvon Savaria},
  title        = {Scalable memory-less architecture for string matching with FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050818},
  doi          = {10.1109/ISCAS.2017.8050818},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SarbisheiVLS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SauveFMTCN17,
  author       = {Quentin Sauve and
                  Damien Favre and
                  Gabriel Morin{-}Laporte and
                  Mohammad Taherzadeh{-}Sani and
                  Nicolas Constantin and
                  Frederic Nabki},
  title        = {A calibration-free 13-bit 0.9 {V} differential {SAR-ADC} with hybrid
                  {DAC} and dithering},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050308},
  doi          = {10.1109/ISCAS.2017.8050308},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SauveFMTCN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchaferRS17,
  author       = {Maximilian Schafer and
                  Rudolf Rabenstein and
                  Christian Strobl},
  title        = {A multidimensional transfer function model for frequency dependent
                  transmission lines},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050506},
  doi          = {10.1109/ISCAS.2017.8050506},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SchaferRS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchafferNKF17,
  author       = {Laszlo Sch{\"{a}}ffer and
                  Zolt{\'{a}}n Nagy and
                  Zolt{\'{a}}n Kincses and
                  Rich{\'{a}}rd Fi{\'{a}}th},
  title        = {FPGA-based neural probe positioning to improve spike sorting with
                  OSort algorithm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050608},
  doi          = {10.1109/ISCAS.2017.8050608},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchafferNKF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ScheidC17,
  author       = {Brittany Scheid and
                  Shantanu Chakrabartty},
  title        = {Feasibility of hybrid ultrasound-electrical nerve stimulation for
                  electroceuticals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050555},
  doi          = {10.1109/ISCAS.2017.8050555},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ScheidC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchmitzGBHB17,
  author       = {Joseph A. Schmitz and
                  Mahir Kabeer Gharzai and
                  Sina Balkir and
                  Michael W. Hoffman and
                  Mark Bauer},
  title        = {A low-power 10-bit multichannel analyzer chip for radiation detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051007},
  doi          = {10.1109/ISCAS.2017.8051007},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchmitzGBHB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ScribnerPM17,
  author       = {Dean Scribner and
                  Thomas Petty and
                  Peter Mui},
  title        = {Neuromorphic readout integrated circuits and related spike-based image
                  processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050293},
  doi          = {10.1109/ISCAS.2017.8050293},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ScribnerPM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SeminaraFPIVDF17,
  author       = {Lucia Seminara and
                  Marta Franceschi and
                  Luigi Pinna and
                  Ali Ibrahim and
                  Maurizio Valle and
                  Strahinja Dosen and
                  Dario Farina},
  title        = {Electronic skin and electrocutaneous stimulation to restore the sense
                  of touch in hand prosthetics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050264},
  doi          = {10.1109/ISCAS.2017.8050264},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SeminaraFPIVDF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SendiKZSSS17,
  author       = {Mohammad S. Eslampanah Sendi and
                  Siavash Kananian and
                  Elaheh Zendehrouh and
                  Mohammad Sharifkhani and
                  Amir M. Sodagar and
                  Mahdi Shabany},
  title        = {A low-power temperature-compensated {CMOS} peaking current reference
                  in subthreshold region},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050744},
  doi          = {10.1109/ISCAS.2017.8050744},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SendiKZSSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SenevirathnaLA17,
  author       = {Bathiya Senevirathna and
                  Sheung Lu and
                  Pamela Abshire},
  title        = {Characterization of a high dynamic range lab-on-CMOS capacitance sensor
                  array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050735},
  doi          = {10.1109/ISCAS.2017.8050735},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SenevirathnaLA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SerbPP17,
  author       = {Alexander Serb and
                  Christos Papavassiliou and
                  Themistoklis Prodromakis},
  title        = {A memristor-CMOS hybrid architecture concept for on-line template
                  matching},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050964},
  doi          = {10.1109/ISCAS.2017.8050964},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SerbPP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SerraOP17,
  author       = {Hugo Serra and
                  Jo{\~{a}}o Pedro Oliveira and
                  Nuno Paulino},
  title        = {A 50 Hz {SC} notch filter for IoT applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050904},
  doi          = {10.1109/ISCAS.2017.8050904},
  timestamp    = {Tue, 01 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SerraOP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SfreddoMJSBR17,
  author       = {Josimar Sfreddo and
                  Rafael Fao de Moura and
                  Michael Guilherme Jordan and
                  Jeckson Dellagostin Souza and
                  Antonio Carlos Schneider Beck and
                  Mateus Beck Rutzig},
  title        = {A framework to automatically generate heterogeneous organization reconfigurable
                  multiprocessing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050438},
  doi          = {10.1109/ISCAS.2017.8050438},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SfreddoMJSBR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShafieeO17,
  author       = {Maryam Shafiee and
                  Sule Ozev},
  title        = {Receiver echo cancellation with real-time self calibration for passive
                  implanted neuron recorders},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050426},
  doi          = {10.1109/ISCAS.2017.8050426},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShafieeO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahH17,
  author       = {Sahil Shah and
                  Jennifer Hasler},
  title        = {Low power speech detector on a {FPAA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050755},
  doi          = {10.1109/ISCAS.2017.8050755},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahabuddinJS17,
  author       = {Shahriar Shahabuddin and
                  Markku J. Juntti and
                  Christoph Studer},
  title        = {ADMM-based infinity norm detection for large {MU-MIMO:} Algorithm
                  and {VLSI} architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050311},
  doi          = {10.1109/ISCAS.2017.8050311},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahabuddinJS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahradS17,
  author       = {Mohammad Shahrad and
                  Mahdi Shabany},
  title        = {Symmetric split-row {LDPC} decoders},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050909},
  doi          = {10.1109/ISCAS.2017.8050909},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahradS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahshahaniNZ17,
  author       = {Amirhossein Shahshahani and
                  Davood Raeisi Nafchi and
                  Zeljko Zilic},
  title        = {Ultrasound sensors and its application in human heart rate monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050899},
  doi          = {10.1109/ISCAS.2017.8050899},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahshahaniNZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShaltoutG17,
  author       = {Ahmed H. Shaltout and
                  Stefano Gregori},
  title        = {Design trade-offs of integrated polygonal inductors for {DC-DC} power
                  converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050655},
  doi          = {10.1109/ISCAS.2017.8050655},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShaltoutG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SharifiT17,
  author       = {Fazel Sharifi and
                  Himanshu Thapliyal},
  title        = {Energy-efficient magnetic circuits based on nanoelectronic devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050919},
  doi          = {10.1109/ISCAS.2017.8050919},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SharifiT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SharmaN17,
  author       = {Prateek Kumar Sharma and
                  Nagarjuna Nallam},
  title        = {A widely tunable balun based on 2-port N-path bandpass filters with
                  embedded phase shifting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050500},
  doi          = {10.1109/ISCAS.2017.8050500},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SharmaN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SharmaN17a,
  author       = {Prateek Kumar Sharma and
                  Nagarjuna Nallam},
  title        = {A transformer-less duplexer with out-of-band filtering for same-channel
                  full-duplex radios},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050668},
  doi          = {10.1109/ISCAS.2017.8050668},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SharmaN17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenBJ17,
  author       = {Boyu Shen and
                  Soumya Bose and
                  Matthew L. Johnston},
  title        = {On-chip high-voltage {SPAD} bias generation using a dual-mode, closed-loop
                  charge pump},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050855},
  doi          = {10.1109/ISCAS.2017.8050855},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenBJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenZ17,
  author       = {Haihua Shen and
                  Yuehui Zhao},
  title        = {HTChecker: Detecting hardware trojans based on static characteristics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050674},
  doi          = {10.1109/ISCAS.2017.8050674},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SherifGAI17,
  author       = {Sameh Sherif and
                  Yehya H. Ghallab and
                  Hamdy Abdelhamid and
                  Yehea Ismail},
  title        = {Dielectric analysis of changes in electric properties of leukemic
                  cells through travelling and negative dielectrophoresis with 2-D electrodes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050732},
  doi          = {10.1109/ISCAS.2017.8050732},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SherifGAI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShinLPHJ17,
  author       = {Seung{-}Hun Shin and
                  Pil{-}Ho Lee and
                  Jin{-}Woo Park and
                  Yu{-}Jeong Hwang and
                  Young{-}Chan Jang},
  title        = {0.5 kHz-32 MHz digital fractional-N frequency synthesizer with burst-frequency
                  switch},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050286},
  doi          = {10.1109/ISCAS.2017.8050286},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShinLPHJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShiraiKHH17,
  author       = {Ryo Shirai and
                  Jin Kono and
                  Tetsuya Hirose and
                  Masanori Hashimoto},
  title        = {Near-field dual-use antenna for magnetic-field based communication
                  and electrical-field based distance sensing in mm3-class sensor node},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050251},
  doi          = {10.1109/ISCAS.2017.8050251},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ShiraiKHH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShirmohammadliS17,
  author       = {Vahideh Shirmohammadli and
                  Alireza Saberkari and
                  Herminio Mart{\'{\i}}nez{-}Garcia and
                  Eduard Alarc{\'{o}}n{-}Cot},
  title        = {Low power output-capacitorless class-AB {CMOS} {LDO} regulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050958},
  doi          = {10.1109/ISCAS.2017.8050958},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShirmohammadliS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShomalnasabZ17,
  author       = {Gholamreza Shomalnasab and
                  Lihong Zhang},
  title        = {Analog layout density uniformity improvement using interconnect widening
                  and dummy fill insertion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050745},
  doi          = {10.1109/ISCAS.2017.8050745},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShomalnasabZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShoufanA17,
  author       = {Abdulhadi Shoufan and
                  Abdulla Alnaqbi},
  title        = {An intrinsic complexity model for the problem of total resistance
                  determination},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050517},
  doi          = {10.1109/ISCAS.2017.8050517},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShoufanA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShrCJH17,
  author       = {Kai{-}Ting Shr and
                  Chieh{-}Yu Chen and
                  Jin{-}Wei Jhang and
                  Yuan{-}Hao Huang},
  title        = {Power-aware space-time-trellis-coded {MIMO} detector with {SNR} estimation
                  and state-purging},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050310},
  doi          = {10.1109/ISCAS.2017.8050310},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShrCJH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShuLM17,
  author       = {Chang Shu and
                  Hongsheng Liu and
                  Fanruo Meng},
  title        = {Optimizing deep neural network structure for face recognition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050275},
  doi          = {10.1109/ISCAS.2017.8050275},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShuLM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SinghBG17,
  author       = {Shreya Singh and
                  Pydi Bahubalindruni and
                  Jo{\~{a}}o Goes},
  title        = {A robust fully-dynamic residue amplifier for two-stage {SAR} assisted
                  pipeline ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050490},
  doi          = {10.1109/ISCAS.2017.8050490},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SinghBG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SkafSF17,
  author       = {Ali Skaf and
                  Jean Simatic and
                  Laurent Fesquet},
  title        = {Seeking low-power synchronous/asynchronous systems: {A} {FIR} implementation
                  case study},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050379},
  doi          = {10.1109/ISCAS.2017.8050379},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SkafSF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SmaniottoZCSMMR17,
  author       = {Gustavo H. Smaniotto and
                  Regis Zanandrea and
                  Maicon Schneider Cardoso and
                  Renato Souza de Souza and
                  Matheus T. Moreira and
                  Felipe S. Marques and
                  Leomar S. da Rosa Jr.},
  title        = {Post-processing of supergate networks aiming cell layout optimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050570},
  doi          = {10.1109/ISCAS.2017.8050570},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SmaniottoZCSMMR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SoekenGM17,
  author       = {Mathias Soeken and
                  Pierre{-}Emmanuel Gaillardon and
                  Giovanni De Micheli},
  title        = {{RM3} based logic synthesis (Special session paper)},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050223},
  doi          = {10.1109/ISCAS.2017.8050223},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SoekenGM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SoellRMWH17,
  author       = {Christopher Soell and
                  Juergen Roeber and
                  Heinrich Milosiu and
                  Robert Weigel and
                  Amelie Hagelauer},
  title        = {Area-efficient fully integrated dual-band class-E/F power amplifier
                  with switchable output power for a {BPSK/OOK} transmitter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050676},
  doi          = {10.1109/ISCAS.2017.8050676},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SoellRMWH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SolimanHP17,
  author       = {Mazen Soliman and
                  Shih{-}Chang Hung and
                  Jeyanandh Paramesh},
  title        = {A four-antenna baseband multipath emulator for millimeter-wave channels},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050472},
  doi          = {10.1109/ISCAS.2017.8050472},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SolimanHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SongZYZ17,
  author       = {Haochuan Song and
                  Shunqing Zhang and
                  Xiaohu You and
                  Chuan Zhang},
  title        = {Efficient metric sorting schemes for successive cancellation list
                  decoding of polar codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050906},
  doi          = {10.1109/ISCAS.2017.8050906},
  timestamp    = {Tue, 04 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SongZYZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SotiriadisB17,
  author       = {Paul P. Sotiriadis and
                  Charis Basetas},
  title        = {Single-bit all digital frequency synthesis with homodyne sigma-delta
                  modulation for Internet of Things applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050552},
  doi          = {10.1109/ISCAS.2017.8050552},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SotiriadisB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SousaD17,
  author       = {Miguel Angelo de Abreu de Sousa and
                  Emilio Del{-}Moral{-}Hernandez},
  title        = {Comparison of three {FPGA} architectures for embedded multidimensional
                  categorization through Kohonen's self-organizing maps},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050799},
  doi          = {10.1109/ISCAS.2017.8050799},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SousaD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Srinivasa17,
  author       = {Narayan Srinivasa},
  title        = {Implications of a spontaneously active ground state for computing
                  with brain-inspired circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050929},
  doi          = {10.1109/ISCAS.2017.8050929},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Srinivasa17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StasB17,
  author       = {Fran{\c{c}}ois Stas and
                  David Bol},
  title        = {Integration of level shifting in a {TSPC} flip-flop for low-power
                  robust timing closure in dual-Vdd {ULV} circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050571},
  doi          = {10.1109/ISCAS.2017.8050571},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StasB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StasB17a,
  author       = {Fran{\c{c}}ois Stas and
                  David Bol},
  title        = {A 0.4V 0.08fJ/cycle retentive True-Single-Phase-Clock 18T Flip-Flop
                  in 28nm {FDSOI} {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050999},
  doi          = {10.1109/ISCAS.2017.8050999},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StasB17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StorkVTGKE17,
  author       = {David G. Stork and
                  Thomas Vogelsang and
                  James Tringali and
                  Patrick R. Gill and
                  Mark Kellam and
                  Evan Erickson},
  title        = {Reducing electrical power dissipation in computational imaging systems
                  through special-purpose optics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050292},
  doi          = {10.1109/ISCAS.2017.8050292},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/StorkVTGKE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SuNDZWF17,
  author       = {Zhan Su and
                  Hossein Noori and
                  Fa Foster Dai and
                  Wei Zhou and
                  Yudong Wang and
                  Jun Fu},
  title        = {Offset based feedforward amplifier with nonlinearity compensation
                  and P1dB expansion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050489},
  doi          = {10.1109/ISCAS.2017.8050489},
  timestamp    = {Thu, 25 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SuNDZWF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SuleimanCES17,
  author       = {Amr Suleiman and
                  Yu{-}Hsin Chen and
                  Joel S. Emer and
                  Vivienne Sze},
  title        = {Towards closing the energy gap between {HOG} and {CNN} features for
                  embedded vision (Invited paper)},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050341},
  doi          = {10.1109/ISCAS.2017.8050341},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SuleimanCES17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunAC17,
  author       = {Yin Sun and
                  Victor Adrian and
                  Joseph S. Chang},
  title        = {A novel high-rate hybrid window {ADC} design for monolithic digitally-controlled
                  {DC-DC} converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050487},
  doi          = {10.1109/ISCAS.2017.8050487},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunAC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunCGKF17,
  author       = {Heming Sun and
                  Zhengxue Cheng and
                  Amir Masoud Gharehbaghi and
                  Shinji Kimura and
                  Masahiro Fujita},
  title        = {A low-cost approximate 32-point transform architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050263},
  doi          = {10.1109/ISCAS.2017.8050263},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SunCGKF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunLWMM17,
  author       = {Dapeng Sun and
                  Man{-}Kay Law and
                  Bo Wang and
                  Pui{-}In Mak and
                  Rui Paulo Martins},
  title        = {Piecewise {BJT} process spread compensation exploiting base recombination
                  current},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050475},
  doi          = {10.1109/ISCAS.2017.8050475},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunLWMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunLWZ17,
  author       = {Rongdi Sun and
                  Peilin Liu and
                  Jun Wang and
                  Zunquan Zhou},
  title        = {A low latency feature extraction accelerator with reduced internal
                  memory},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050258},
  doi          = {10.1109/ISCAS.2017.8050258},
  timestamp    = {Wed, 17 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SunLWZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunSWHS17,
  author       = {Yuchong Sun and
                  Ryoko Sugano and
                  Xiuqin Wei and
                  Takashi Hikihara and
                  Hiroo Sekiya},
  title        = {High-speed driver for SiC {MOSFET} based on class-E inverter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050851},
  doi          = {10.1109/ISCAS.2017.8050851},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SunSWHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunZQLYGZW17,
  author       = {Wenyu Sun and
                  Qinghang Zhao and
                  Fei Qiao and
                  Yongpan Liu and
                  Huazhong Yang and
                  Xiaojun Guo and
                  Lei Zhou and
                  Lei Wang},
  title        = {An 8b 0.8kS/s configurable VCO-based {ADC} using oxide TFTs with Inkjet
                  printing interconnection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050682},
  doi          = {10.1109/ISCAS.2017.8050682},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunZQLYGZW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SwilamNDKF17,
  author       = {Muhammad Swilam and
                  Ahmed Naguib Mohamed and
                  Brian Dupaix and
                  Waleed Khalil and
                  Ayman A. Fayed},
  title        = {A calibration-free low-power supply-pushing reduction circuit {(SPRC)}
                  for {LC} VCOs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050751},
  doi          = {10.1109/ISCAS.2017.8050751},
  timestamp    = {Sun, 03 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SwilamNDKF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SyedP17,
  author       = {Asif E. Syed and
                  Amit Patra},
  title        = {Dynamic ADC-quantization for oscillation-free performance of digitally
                  controlled converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050514},
  doi          = {10.1109/ISCAS.2017.8050514},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SyedP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Syllaios17,
  author       = {Ioannis L. Syllaios},
  title        = {Hybrid-DPLL-based constant-envelope modulator for Internet-of-Things
                  chipsets},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050551},
  doi          = {10.1109/ISCAS.2017.8050551},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Syllaios17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SzczupakPT17,
  author       = {Jacques Szczupak and
                  Leontina Pinto and
                  Gabriel Torres},
  title        = {Signal processing and climate understanding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050338},
  doi          = {10.1109/ISCAS.2017.8050338},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SzczupakPT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TacoLLF17,
  author       = {Ramiro Taco and
                  Itamar Levi and
                  Marco Lanuzza and
                  Alexander Fish},
  title        = {Evaluation of Dual Mode Logic in 28nm {FD-SOI} technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050998},
  doi          = {10.1109/ISCAS.2017.8050998},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TacoLLF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TaiTC17,
  author       = {Ming{-}Yi Tai and
                  Wei{-}Chih Tu and
                  Shao{-}Yi Chien},
  title        = {{VLSI} architecture design of layer-based bilateral and median filtering
                  for 4k2k videos at 30fps},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050703},
  doi          = {10.1109/ISCAS.2017.8050703},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TaiTC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TalatiWK17,
  author       = {Nishil Talati and
                  Zhiying Wang and
                  Shahar Kvatinsky},
  title        = {Rate-compatible and high-throughput architecture designs for encoding
                  {LDPC} codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050836},
  doi          = {10.1109/ISCAS.2017.8050836},
  timestamp    = {Wed, 28 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TalatiWK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanK17,
  author       = {Min Tan and
                  Wing{-}Hung Ki},
  title        = {Stability conditions for hybrid supply modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050513},
  doi          = {10.1109/ISCAS.2017.8050513},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TanK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanL17,
  author       = {Tuy Nguyen Tan and
                  Hanho Lee},
  title        = {A delay-efficient ring-LWE cryptography architecture for biometric
                  security},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050841},
  doi          = {10.1109/ISCAS.2017.8050841},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanMAN17,
  author       = {Zhichao Tan and
                  Roberto Maurino and
                  Robert Adams and
                  Khiem Nguyen},
  title        = {Subtractive dithering technique for delta-sigma modulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050371},
  doi          = {10.1109/ISCAS.2017.8050371},
  timestamp    = {Fri, 07 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanMAN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanakaFUNKAOIKN17,
  author       = {Tomonori Tanaka and
                  Kosuke Furuichi and
                  Hiromu Uemura and
                  Ryosuke Noguchi and
                  Natsuyuki Koda and
                  Koki Arauchi and
                  Daichi Omoto and
                  Hiromi Inaba and
                  Keiji Kishine and
                  Shinsuke Nakano and
                  Masafumi Nogawa and
                  Hideyuki Nosaka},
  title        = {25-Gb/s clock and data recovery {IC} using latch-load combined with
                  {CML} buffer circuit for delay generation with 65-nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050696},
  doi          = {10.1109/ISCAS.2017.8050696},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanakaFUNKAOIKN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangGSX17,
  author       = {Liangxiao Tang and
                  Weixin Gai and
                  Linqi Shi and
                  Xiao Xiang},
  title        = {A 40 Gb/s 74.9 mW {PAM4} receiver with novel clock and data recovery},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050226},
  doi          = {10.1109/ISCAS.2017.8050226},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TangGSX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanweerHK17,
  author       = {Khawaja Taimoor Tanweer and
                  Syed Rafay Hasan and
                  Awais Mehmood Kamboh},
  title        = {Motion artifact reduction from {PPG} signals during intense exercise
                  using filtered {X-LMS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050418},
  doi          = {10.1109/ISCAS.2017.8050418},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanweerHK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TaoVCS17,
  author       = {Sen Tao and
                  Naveen Verma and
                  Ryan M. Corey and
                  Andrew C. Singer},
  title        = {A 10-b statistical {ADC} employing pipelining and sub-ranging in 32nm
                  {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050245},
  doi          = {10.1109/ISCAS.2017.8050245},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TaoVCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TariqO17,
  author       = {M. Rizwan Tariq and
                  Shuichi Ohno},
  title        = {An indirect approach to synthesis of noise shaping {IIR} filters in
                  {\(\Delta\)}{\(\Sigma\)} modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050767},
  doi          = {10.1109/ISCAS.2017.8050767},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TariqO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TarverAAC17,
  author       = {Chance Tarver and
                  Mahmoud Abdelaziz and
                  Lauri Anttila and
                  Joseph R. Cavallaro},
  title        = {Multi component carrier, sub-band {DPD} and GNURadio implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050455},
  doi          = {10.1109/ISCAS.2017.8050455},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TarverAAC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TawadaKYT17,
  author       = {Masashi Tawada and
                  Shinji Kimura and
                  Masao Yanagisawa and
                  Nozomu Togawa},
  title        = {Effective write-reduction method for {MLC} non-volatile memory},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050699},
  doi          = {10.1109/ISCAS.2017.8050699},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TawadaKYT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TehranipoorKYC17,
  author       = {Fatemeh Tehranipoor and
                  Nima Karimian and
                  Wei Yan and
                  John A. Chandy},
  title        = {Investigation of {DRAM} PUFs reliability under device accelerated
                  aging effects},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050629},
  doi          = {10.1109/ISCAS.2017.8050629},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TehranipoorKYC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThakurMXZNE17,
  author       = {Chetan Singh Thakur and
                  Jamal Lottier Molin and
                  Tao Xiong and
                  Jie Zhang and
                  Ernst Niebur and
                  Ralph Etienne{-}Cummings},
  title        = {Neuromorphic visual saliency implementation using stochastic computation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050868},
  doi          = {10.1109/ISCAS.2017.8050868},
  timestamp    = {Fri, 19 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThakurMXZNE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThangL17,
  author       = {Nguyen Van Thang and
                  Hyuk{-}Jae Lee},
  title        = {An efficient non-selective adaptive motion compensated frame rate
                  up conversion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050462},
  doi          = {10.1109/ISCAS.2017.8050462},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThangL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThomazSSNK17,
  author       = {Lucas A. Thomaz and
                  Allan F. da Silva and
                  Eduardo A. B. da Silva and
                  Sergio L. Netto and
                  Hamid Krim},
  title        = {Detection of abandoned objects using robust subspace recovery with
                  intrinsic video alignment},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050385},
  doi          = {10.1109/ISCAS.2017.8050385},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThomazSSNK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThulasiramanY17,
  author       = {Krishnaiyan Thulasiraman and
                  Mamta Yadav},
  title        = {Weighted Kirchhoff index of a resistance network and generalization
                  of Foster's theorem},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051011},
  doi          = {10.1109/ISCAS.2017.8051011},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThulasiramanY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TovstoganH17,
  author       = {Philip Tovstogan and
                  Hsu{-}Feng Hsiao},
  title        = {Video streaming optimization using degradation estimation with unequal
                  error protection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050577},
  doi          = {10.1109/ISCAS.2017.8050577},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TovstoganH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TrautmannOSWK17,
  author       = {Martin Trautmann and
                  Marius Ohlendorf and
                  Benedikt Sanftl and
                  Robert Weigel and
                  Alexander Koelpin},
  title        = {Dimensioning and comparison of common compensation topologies for
                  {IPT} systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050511},
  doi          = {10.1109/ISCAS.2017.8050511},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TrautmannOSWK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TripathyCSCS17,
  author       = {Soumya Ranjan Tripathy and
                  Kingshuk Chakravarty and
                  Aniruddha Sinha and
                  Debatri Chatterjee and
                  Sanjoy Kumar Saha},
  title        = {Constrained Kalman filter for improving Kinect based measurements},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050664},
  doi          = {10.1109/ISCAS.2017.8050664},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TripathyCSCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TrujilloNCJ17,
  author       = {Zachary Trujillo and
                  Viswam Nathan and
                  Gerard L. Cot{\'{e}} and
                  Roozbeh Jafari},
  title        = {Design and parametric analysis of a wearable dual-photoplethysmograph
                  based system for pulse wave velocity detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050900},
  doi          = {10.1109/ISCAS.2017.8050900},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TrujilloNCJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsengWLC17,
  author       = {Hung{-}Yu Tseng and
                  Po{-}Chen Wu and
                  Yu{-}Sheng Lin and
                  Shao{-}Yi Chien},
  title        = {{D-PET:} {A} direct 6 DoF pose estimation and tracking system on graphics
                  processing units},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050811},
  doi          = {10.1109/ISCAS.2017.8050811},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsengWLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsiarasP17,
  author       = {Giorgos Tsiaras and
                  Vassilis Paliouras},
  title        = {Logarithmic number system addition-subtraction using fractional normalization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050569},
  doi          = {10.1109/ISCAS.2017.8050569},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsiarasP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TuominenLTKPK17,
  author       = {Jamo Tuominen and
                  Eero Lehtonen and
                  Mojtaba Jafari Tadi and
                  Juho Koskinen and
                  Mikko P{\"{a}}nk{\"{a}}{\"{a}}l{\"{a}} and
                  Tero Koivisto},
  title        = {A miniaturized low power biomedical sensor node for clinical research
                  and long term monitoring of cardiovascular signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050684},
  doi          = {10.1109/ISCAS.2017.8050684},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TuominenLTKPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UeyoshiMAMS17,
  author       = {Kodai Ueyoshi and
                  Takao Marukame and
                  Tetsuya Asai and
                  Masato Motomura and
                  Alexandre Schmid},
  title        = {Live demonstration: Feature extraction system using restricted Boltzmann
                  machines on {FPGA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050402},
  doi          = {10.1109/ISCAS.2017.8050402},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UeyoshiMAMS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UwateN17,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchronization in dynamical oscillatory networks with non-uniform
                  coupling distributions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050464},
  doi          = {10.1109/ISCAS.2017.8050464},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UwateN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VaisbandF17,
  author       = {Boris Vaisband and
                  Eby G. Friedman},
  title        = {Hybrid energy harvesting in 3-D {IC} IoT devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050943},
  doi          = {10.1109/ISCAS.2017.8050943},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VaisbandF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ValenteD17,
  author       = {Virgilio Valente and
                  Andreas Demosthenous},
  title        = {A 32-by-32 {CMOS} microelectrode array for capacitive biosensing and
                  impedance spectroscopy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050734},
  doi          = {10.1109/ISCAS.2017.8050734},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ValenteD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VashishthaVSC17,
  author       = {Vinay Vashishtha and
                  Manoj Vangala and
                  Parv Sharma and
                  Lawrence T. Clark},
  title        = {Robust 7-nm {SRAM} design on a predictive {PDK}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050316},
  doi          = {10.1109/ISCAS.2017.8050316},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VashishthaVSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VelasquezJ17,
  author       = {Alvaro Velasquez and
                  Sumit Kumar Jha},
  title        = {Computation of Boolean matrix chain products in 3D ReRAM},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050962},
  doi          = {10.1109/ISCAS.2017.8050962},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VelasquezJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VellasLMSKK17,
  author       = {Simon Vellas and
                  George Lentaris and
                  Konstantinos Maragos and
                  Dimitrios Soudris and
                  Zacharias Kandylakis and
                  Konstantinos Karantzalos},
  title        = {{FPGA} acceleration of hyperspectral image processing for high-speed
                  detection applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050773},
  doi          = {10.1109/ISCAS.2017.8050773},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VellasLMSKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VenkatachalaEXE17,
  author       = {Praveen Kumar Venkatachala and
                  Ahmed ElShater and
                  Yang Xu and
                  Manar El{-}Chammas and
                  Un{-}Ku Moon},
  title        = {Voltage domain correction technique for timing skew errors in time
                  interleaved ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050623},
  doi          = {10.1109/ISCAS.2017.8050623},
  timestamp    = {Tue, 12 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/VenkatachalaEXE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ViitamakiSVH17,
  author       = {Vili Viitam{\"{a}}ki and
                  Panu Sjovall and
                  Jarno Vanne and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {High-level synthesized 2-D {IDCT/IDST} implementation for {HEVC} codecs
                  on {FPGA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050323},
  doi          = {10.1109/ISCAS.2017.8050323},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ViitamakiSVH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Vinshtok-Melnik17,
  author       = {Natan Vinshtok{-}Melnik and
                  Robert Giterman and
                  Joseph Shor},
  title        = {Ultra miniature offset cancelled bandgap reference with {\(\pm\)}0.534{\%}
                  inaccuracy from -10{\textdegree}C to 110{\textdegree}C},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050747},
  doi          = {10.1109/ISCAS.2017.8050747},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Vinshtok-Melnik17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VoSLL17,
  author       = {Tuan Minh Vo and
                  Carlo Samori and
                  Andrea L. Lacaita and
                  Salvatore Levantino},
  title        = {A novel segmentation scheme for DTC-based {\(\Delta\)}{\(\Sigma\)}
                  fractional-N {PLL}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050285},
  doi          = {10.1109/ISCAS.2017.8050285},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/VoSLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VoelkerBSBE17,
  author       = {Aaron R. Voelker and
                  Ben Varkey Benjamin and
                  Terrence C. Stewart and
                  Kwabena Boahen and
                  Chris Eliasmith},
  title        = {Extending the neural engineering framework for nonideal silicon synapses},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050810},
  doi          = {10.1109/ISCAS.2017.8050810},
  timestamp    = {Tue, 16 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/VoelkerBSBE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Volkel17,
  author       = {Matthias V{\"{o}}lkel and
                  Marco Dietz and
                  Amelie Hagelauer and
                  Robert Weigel and
                  Dietmar Kissinger},
  title        = {A 60-GHz low-noise variable-gain amplifier in a 130-nm BiCMOS technology
                  for sixport applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050493},
  doi          = {10.1109/ISCAS.2017.8050493},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Volkel17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VornicuCR17,
  author       = {Ion Vornicu and
                  Ricardo Carmona{-}Gal{\'{a}}n and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {Live demonstration: Photon counting and direct ToF camera prototype
                  based on {CMOS} SPADs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050392},
  doi          = {10.1109/ISCAS.2017.8050392},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VornicuCR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VornicuCR17a,
  author       = {Ion Vornicu and
                  Ricardo Carmona{-}Gal{\'{a}}n and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {Photon counting and direct ToF camera prototype based on {CMOS} SPADs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050410},
  doi          = {10.1109/ISCAS.2017.8050410},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VornicuCR17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WagnerCO17,
  author       = {Johannes Wagner and
                  Jiazuo Chi and
                  Maurits Ortmanns},
  title        = {Designing {CT} bandpass {\(\Sigma\)}{\(\Delta\)} modulators with arbitrary
                  {STF} shapes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050480},
  doi          = {10.1109/ISCAS.2017.8050480},
  timestamp    = {Tue, 09 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WagnerCO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WainsteinK17,
  author       = {Nicol{\'{a}}s Wainstein and
                  Shahar Kvatinsky},
  title        = {An {RF} memristor model and memristive single-pole double-throw switches},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050963},
  doi          = {10.1109/ISCAS.2017.8050963},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WainsteinK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WalterSRK17,
  author       = {Florian Walter and
                  Marwin Sandner and
                  Florian R{\"{o}}hrbein and
                  Alois C. Knoll},
  title        = {Towards a neuromorphic implementation of hierarchical temporal memory
                  on SpiNNaker},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050983},
  doi          = {10.1109/ISCAS.2017.8050983},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WalterSRK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WalzMT17,
  author       = {Simon Walz and
                  Jens M{\"{u}}ller and
                  Ronald Tetzlaff},
  title        = {Image classification by cellular nonlinear networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050869},
  doi          = {10.1109/ISCAS.2017.8050869},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WalzMT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WanKSS17,
  author       = {Tutu Wan and
                  Yasha Karimi and
                  Milutin Stanacevic and
                  Emre Salman},
  title        = {Energy efficient {AC} computing methodology for wirelessly powered
                  IoT devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050358},
  doi          = {10.1109/ISCAS.2017.8050358},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WanKSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangCPCB17,
  author       = {Zheng Wang and
                  Yi Chen and
                  Aakash Patil and
                  Chip{-}Hong Chang and
                  Arindam Basu},
  title        = {Current mirror array: {A} novel lightweight strong {PUF} topology
                  with enhanced reliability},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050476},
  doi          = {10.1109/ISCAS.2017.8050476},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangCPCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangCZ17,
  author       = {Xueyan Wang and
                  Yici Cai and
                  Qiang Zhou},
  title        = {Cell spreading optimization for force-directed global placers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050572},
  doi          = {10.1109/ISCAS.2017.8050572},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangCZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangGCT17,
  author       = {Jing V. Wang and
                  Nuwan Ganganath and
                  Chi{-}Tsun Cheng and
                  Chi K. Tse},
  title        = {A heuristics-based {VM} allocation mechanism for cloud data centers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050470},
  doi          = {10.1109/ISCAS.2017.8050470},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangGCT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangGMB17,
  author       = {Bindi Wang and
                  Hao Gao and
                  Marion K. Matters{-}Kammerer and
                  Peter G. M. Baltus},
  title        = {Interpolation based wideband beamforming architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050834},
  doi          = {10.1109/ISCAS.2017.8050834},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangGMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHELLJ17,
  author       = {Junshi Wang and
                  Letian Huang and
                  Masoumeh Ebrahimi and
                  Qiang Li and
                  Guangjun Li and
                  Axel Jantsch},
  title        = {Non-blocking {BIST} for continuous reliability monitoring of Networks-on-Chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050828},
  doi          = {10.1109/ISCAS.2017.8050828},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHELLJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHGCLY17,
  author       = {Yong Wang and
                  Yan Hong and
                  Wang Ling Goh and
                  Kevin T. C. Chai and
                  Xin Lou and
                  Wen Bin Ye},
  title        = {A passively compensated capacitive sensor readout with biased varactor
                  temperature compensation and temperature coherent quantization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050416},
  doi          = {10.1109/ISCAS.2017.8050416},
  timestamp    = {Fri, 30 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHGCLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLC17,
  author       = {Yi Wang and
                  Hui Liu and
                  Lap{-}Pui Chau},
  title        = {Single underwater image restoration using attenuation-curve prior},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050994},
  doi          = {10.1109/ISCAS.2017.8050994},
  timestamp    = {Thu, 08 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLNLZZC17,
  author       = {Ruocheng Wang and
                  Wengao Lu and
                  Yuze Niu and
                  Zhaokai Liu and
                  Meng Zhao and
                  Yacong Zhang and
                  Zhongjian Chen},
  title        = {A2.1-ppm/{\textdegree}C current-mode {CMOS} bandgap reference with
                  piecewise curvature compensation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050288},
  doi          = {10.1109/ISCAS.2017.8050288},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLNLZZC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangN17,
  author       = {Yujia Wang and
                  Truong Nguyen},
  title        = {Photonic allpass filter: {A} versatile building block for all-optical
                  signal processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050272},
  doi          = {10.1109/ISCAS.2017.8050272},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangT17,
  author       = {Xiaozhe Wang and
                  Konstantin S. Turitsyn},
  title        = {PMU-based estimation of dynamic state Jacobian matrix},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050926},
  doi          = {10.1109/ISCAS.2017.8050926},
  timestamp    = {Wed, 08 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangWWB17,
  author       = {Gang Wang and
                  Wenmin Wang and
                  Jingzhuo Wang and
                  Yaohua Bu},
  title        = {Better deep visual attention with reinforcement learning in action
                  recognition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050638},
  doi          = {10.1109/ISCAS.2017.8050638},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangWWB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangXFWLDXJ17,
  author       = {Meng Wang and
                  Xiaodong Xie and
                  Hongfei Fan and
                  Shanshe Wang and
                  Junru Li and
                  Shengfu Dong and
                  Guoqing Xiang and
                  Huizhu Jia},
  title        = {Fast rate distortion optimized quantization method for {HEVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050460},
  doi          = {10.1109/ISCAS.2017.8050460},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangXFWLDXJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangYIB17,
  author       = {Hanfeng Wang and
                  Song Yuan and
                  Syed K. Islam and
                  Charles L. Britton Jr.},
  title        = {A novel charge sensitive pre-amplifier structure for biological temperature
                  readout applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050502},
  doi          = {10.1109/ISCAS.2017.8050502},
  timestamp    = {Thu, 23 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangYIB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZWZL17,
  author       = {Feng Wang and
                  Jiaxi Zhang and
                  Lange Wu and
                  Wentai Zhang and
                  Guojie Luo},
  title        = {Search space reduction for the non-exact projective {NPNP} Boolean
                  matching problem},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050970},
  doi          = {10.1109/ISCAS.2017.8050970},
  timestamp    = {Mon, 19 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZWZL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WatkinsT17,
  author       = {Adam Watkins and
                  Spyros Tragoudas},
  title        = {{METS:} {A} multiple event transient simulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050442},
  doi          = {10.1109/ISCAS.2017.8050442},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WatkinsT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WenL17,
  author       = {Yuejiang Wen and
                  Yingjie Lao},
  title        = {Enhancing {PUF} reliability by machine learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050672},
  doi          = {10.1109/ISCAS.2017.8050672},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WenL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WessDJ17,
  author       = {Matthias Wess and
                  Sai Manoj P. D. and
                  Axel Jantsch},
  title        = {Neural network based {ECG} anomaly detection on {FPGA} and trade-off
                  analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050805},
  doi          = {10.1109/ISCAS.2017.8050805},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WessDJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wing17,
  author       = {Omar Wing},
  title        = {Distributed circuit theory: Reminiscences},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050520},
  doi          = {10.1109/ISCAS.2017.8050520},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wing17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WoodD17,
  author       = {Theodore A. Wood and
                  Marc Dandin},
  title        = {Cybersecurity and the electric grid: Innovation and intellectual property},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050603},
  doi          = {10.1109/ISCAS.2017.8050603},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WoodD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuHLH17,
  author       = {Chung{-}Hao Wu and
                  Wei{-}Chen Hsi and
                  Henry Horng{-}Shing Lu and
                  Hsueh{-}Ming Hang},
  title        = {Online multiclass passive-aggressive learning on a fixed budget},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050803},
  doi          = {10.1109/ISCAS.2017.8050803},
  timestamp    = {Tue, 23 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WuHLH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuHWCWL17,
  author       = {Ching{-}Da Wu and
                  Jian{-}Yu Hsieh and
                  Chun{-}Han Wu and
                  Yang{-}Sheng Cheng and
                  Chun{-}Chang Wu and
                  Shey{-}Shi Lu},
  title        = {An 1.1 {V} 0.1-1.6 GHz tunable-bandwidth elliptic filter with 6 dB
                  linearity improvement by precise zero location control in 40 nm {CMOS}
                  technology for 5G applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050250},
  doi          = {10.1109/ISCAS.2017.8050250},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuHWCWL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuJBGBD17,
  author       = {Yu Wu and
                  Dai Jiang and
                  Andy Bardill and
                  Serena De Gelidi and
                  Richard H. Bayford and
                  Andreas Demosthenous},
  title        = {Live demonstration: {A} wearable {EIT} system using active electrodes
                  for monitoring respiration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050713},
  doi          = {10.1109/ISCAS.2017.8050713},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuJBGBD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuL17,
  author       = {Xufan Wu and
                  Shuguo Li},
  title        = {A new digital true random number generator based on delay chain feedback
                  loop},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050821},
  doi          = {10.1109/ISCAS.2017.8050821},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuLT17,
  author       = {Chun{-}Hun Wu and
                  Chin{-}Yi Liu and
                  Pei{-}Yun Tsai},
  title        = {Design of an {SVD} engine for 8{\texttimes}8 {MIMO} precoding systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050313},
  doi          = {10.1109/ISCAS.2017.8050313},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuLT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XanthopoulosABN17,
  author       = {Constantinos Xanthopoulos and
                  Ali Ahmadi and
                  Sirish Boddikurapati and
                  Amit Nahar and
                  Bob Orr and
                  Yiorgos Makris},
  title        = {Wafer-level adaptive trim seed forecasting based on E-tests},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050756},
  doi          = {10.1109/ISCAS.2017.8050756},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XanthopoulosABN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaoMG17,
  author       = {Wei Xiao and
                  Nicholas Miscourides and
                  Pantelis Georgiou},
  title        = {A novel {ISFET} sensor architecture using through-Silicon vias for
                  {DNA} sequencing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050609},
  doi          = {10.1109/ISCAS.2017.8050609},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaoMG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XieZZG17,
  author       = {Lan Xie and
                  Chao Zhou and
                  Xinggong Zhang and
                  Zongming Guo},
  title        = {Dynamic threshold based rate adaptation for {HTTP} live streaming},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050574},
  doi          = {10.1109/ISCAS.2017.8050574},
  timestamp    = {Fri, 23 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XieZZG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiongZTRCTE17,
  author       = {Tao Xiong and
                  Jie Zhang and
                  Chetan Singh Thakur and
                  John M. Rattray and
                  Sang Peter Chin and
                  Trac D. Tran and
                  Ralph Etienne{-}Cummings},
  title        = {Live demonstration: {A} compact all-CMOS spatiotemporal compressed
                  sensing video camera},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050405},
  doi          = {10.1109/ISCAS.2017.8050405},
  timestamp    = {Wed, 31 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiongZTRCTE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Xiu17,
  author       = {Liming Xiu},
  title        = {All digital FPGA-implementable time-average-frequency direct period
                  synthesis for IoT applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050550},
  doi          = {10.1109/ISCAS.2017.8050550},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Xiu17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuHX17,
  author       = {Yudong Xu and
                  Dong Sam Ha and
                  Ming Xu},
  title        = {Energy harvesting circuit with input matching in boundary conduction
                  mode for electromagnetic generators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050864},
  doi          = {10.1109/ISCAS.2017.8050864},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuHX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuVM17,
  author       = {Yang Xu and
                  Praveen Kumar Venkatachala and
                  Un{-}Ku Moon},
  title        = {A highly compact wideband continuous-time transimpedance low-pass
                  filter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050678},
  doi          = {10.1109/ISCAS.2017.8050678},
  timestamp    = {Tue, 12 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/XuVM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XueLGZFG17,
  author       = {Zhongming Xue and
                  Dan Li and
                  Wei Gou and
                  Lina Zhang and
                  Shiquan Fan and
                  Li Geng},
  title        = {A delay time controlled active rectifier with 95.3{\%} peak efficiency
                  for wireless power transmission systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050846},
  doi          = {10.1109/ISCAS.2017.8050846},
  timestamp    = {Tue, 10 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XueLGZFG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YamauchiSST17,
  author       = {Yoshitaka Yamauchi and
                  Toru Sai and
                  Takayasu Sakurai and
                  Makoto Takamiya},
  title        = {Modeling of 3-level buck converters in discontinuous conduction mode
                  for stand-by mode power supply},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050584},
  doi          = {10.1109/ISCAS.2017.8050584},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YamauchiSST17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanGS17,
  author       = {Chen Yan and
                  Zhihua Gan and
                  Emre Salman},
  title        = {In-package spiral inductor characterization for high efficiency buck
                  converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050892},
  doi          = {10.1109/ISCAS.2017.8050892},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanGS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanKWS17,
  author       = {Chen Yan and
                  Scott Kontak and
                  Hailang Wang and
                  Emre Salman},
  title        = {Open source cell library Mono3D to develop large-scale monolithic
                  3D integrated circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050945},
  doi          = {10.1109/ISCAS.2017.8050945},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanKWS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanLLW17,
  author       = {Ning Yan and
                  Dong Liu and
                  Houqiang Li and
                  Feng Wu},
  title        = {A convolutional neural network approach for half-pel interpolation
                  in video coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050458},
  doi          = {10.1109/ISCAS.2017.8050458},
  timestamp    = {Thu, 14 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanLLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangCYK17,
  author       = {Han Yang and
                  Jun Soo Cho and
                  Youngtae Yang and
                  Suhwan Kim},
  title        = {A load variation tolerant readout interface for high linear {MEMS}
                  capacitive microphones},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050499},
  doi          = {10.1109/ISCAS.2017.8050499},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangCYK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangCZZ17,
  author       = {Fan Yang and
                  Charles C. Chiang and
                  Xuan Zeng and
                  Dian Zhou},
  title        = {Efficient SVM-based hotspot detection using spectral clustering},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050428},
  doi          = {10.1109/ISCAS.2017.8050428},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangCZZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangJWZL17,
  author       = {Yunfan Yang and
                  Song Jia and
                  Yuan Wang and
                  Shaonan Zhang and
                  Chao Liu},
  title        = {A reliable true random number generator based on novel chaotic ring
                  oscillator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050843},
  doi          = {10.1109/ISCAS.2017.8050843},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YangJWZL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangLFXC17,
  author       = {Jianguo Yang and
                  Yinyin Lin and
                  Yarong Fu and
                  Xiaoyong Xue and
                  B. A. Chen},
  title        = {A small area and low power true random number generator using write
                  speed variation of oxidebased {RRAM} for IoT security application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051019},
  doi          = {10.1109/ISCAS.2017.8051019},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangLFXC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangLYYG17,
  author       = {Saboya Yang and
                  Jiaying Liu and
                  Shuai Yang and
                  Wenhan Yang and
                  Zongming Guo},
  title        = {Joint-domain unsupervised stylization for portraits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050770},
  doi          = {10.1109/ISCAS.2017.8050770},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangLYYG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangTC17,
  author       = {Shao{-}Wen Yang and
                  Omesh Tickoo and
                  Yen{-}Kuang Chen},
  title        = {A framework for visual fog computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050297},
  doi          = {10.1109/ISCAS.2017.8050297},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangTC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangWZY17,
  author       = {Kaiyi Yang and
                  Shihao Wang and
                  Jian{-}Bin Zhou and
                  Takeshi Yoshimura},
  title        = {Energy-efficient scheduling method with cross-loop model for resource-limited
                  {CNN} accelerator designs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050800},
  doi          = {10.1109/ISCAS.2017.8050800},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangWZY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangYLYZH17,
  author       = {Yunfeng Yang and
                  Fan Yang and
                  Wai{-}Shing Luk and
                  Changhao Yan and
                  Xuan Zeng and
                  Xiangdong Hu},
  title        = {Layout decomposition for hybrid E-beam and {DSA} double patterning
                  lithography},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050912},
  doi          = {10.1109/ISCAS.2017.8050912},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangYLYZH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YaoW17,
  author       = {Chia{-}Yu Yao and
                  Shui{-}Chin Wang},
  title        = {A {QCQP} design method of the symmetric pulse-shaping filters against
                  receiver timing jitter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050777},
  doi          = {10.1109/ISCAS.2017.8050777},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YaoW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YenCC17,
  author       = {Chun{-}Ting Yen and
                  Wan{-}Yu Chen and
                  Liang{-}Gee Chen},
  title        = {A 120 fps 1080p resolution block-based feature extraction architecture
                  implementation for real-time action recognition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050640},
  doi          = {10.1109/ISCAS.2017.8050640},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YenCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YeniceriVY17,
  author       = {Ramazan Yeniceri and
                  Alptekin Vardar and
                  M{\"{u}}stak E. Yal{\c{c}}in},
  title        = {Full digital implementation of a chaotic time-delay sampled-data system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050862},
  doi          = {10.1109/ISCAS.2017.8050862},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YeniceriVY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YimPYK17,
  author       = {Sungwon Yim and
                  Yujin Park and
                  Han Yang and
                  Suhwan Kim},
  title        = {Power efficient {SAR} {ADC} adaptive to input activity for {ECG} monitoring
                  applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050477},
  doi          = {10.1109/ISCAS.2017.8050477},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YimPYK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YinLSLW17,
  author       = {Shouyi Yin and
                  Dajiang Liu and
                  Lifeng Sun and
                  Leibo Liu and
                  Shaojun Wei},
  title        = {DFGNet: Mapping dataflow graph onto {CGRA} by a deep learning approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050274},
  doi          = {10.1109/ISCAS.2017.8050274},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YinLSLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YinWM17,
  author       = {Heyu Yin and
                  Hao Wan and
                  Andrew J. Mason},
  title        = {Separation and electrochemical detection platform for portable individual
                  {PM2.5} monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050733},
  doi          = {10.1109/ISCAS.2017.8050733},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YinWM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YousefzadehJILM17,
  author       = {Amirreza Yousefzadeh and
                  Miroslaw Jablonski and
                  Taras Iakymchuk and
                  Alejandro Linares{-}Barranco and
                  Alfredo Rosado Mu{\~{n}}oz and
                  Luis A. Plana and
                  Teresa Serrano{-}Gotarredona and
                  Steve B. Furber and
                  Bernab{\'{e}} Linares{-}Barranco},
  title        = {Live demonstration: Multiplexing {AER} asynchronous channels over
                  {LVDS} links with flow-control and clock-correction for scalable neuromorphic
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050408},
  doi          = {10.1109/ISCAS.2017.8050408},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YousefzadehJILM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YousefzadehJILM17a,
  author       = {Amirreza Yousefzadeh and
                  Miroslaw Jablonski and
                  Taras Iakymchuk and
                  Alejandro Linares{-}Barranco and
                  Alfredo Rosado Mu{\~{n}}oz and
                  Luis A. Plana and
                  Teresa Serrano{-}Gotarredona and
                  Stephen B. Furber and
                  Bernab{\'{e}} Linares{-}Barranco},
  title        = {Multiplexing {AER} asynchronous channels over {LVDS} links with flow-control
                  and clock-correction for scalable neuromorphic systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050802},
  doi          = {10.1109/ISCAS.2017.8050802},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YousefzadehJILM17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YousefzadehMSL17,
  author       = {Amirreza Yousefzadeh and
                  Timoth{\'{e}}e Masquelier and
                  Teresa Serrano{-}Gotarredona and
                  Bernab{\'{e}} Linares{-}Barranco},
  title        = {Live demonstration: Hardware implementation of convolutional {STDP}
                  for on-line visual feature learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050395},
  doi          = {10.1109/ISCAS.2017.8050395},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YousefzadehMSL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YousefzadehMSL17a,
  author       = {Amirreza Yousefzadeh and
                  Timoth{\'{e}}e Masquelier and
                  Teresa Serrano{-}Gotarredona and
                  Bernab{\'{e}} Linares{-}Barranco},
  title        = {Hardware implementation of convolutional {STDP} for on-line visual
                  feature learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050870},
  doi          = {10.1109/ISCAS.2017.8050870},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YousefzadehMSL17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YousifYKH17,
  author       = {Hayder Yousif and
                  Jianhe Yuan and
                  Roland Kays and
                  Zhihai He},
  title        = {Fast human-animal detection from highly cluttered camera-trap images
                  using joint background modeling and deep learning classification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050762},
  doi          = {10.1109/ISCAS.2017.8050762},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YousifYKH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YousufHC17,
  author       = {Abdul Hamid Bin Yousuf and
                  Nahid M. Hossain and
                  Masud H. Chowdhury},
  title        = {Impacts of different shapes of through-silicon-via core on 3D {IC}
                  performance},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050434},
  doi          = {10.1109/ISCAS.2017.8050434},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YousufHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuK17,
  author       = {Weize Yu and
                  Sel{\c{c}}uk K{\"{o}}se},
  title        = {Implications of noise insertion mechanisms of different countermeasures
                  against side-channel attacks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050635},
  doi          = {10.1109/ISCAS.2017.8050635},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuanLSC17,
  author       = {Mingquan Yuan and
                  Keng{-}ku Liu and
                  Srikanth Singamaneni and
                  Shantanu Chakrabartty},
  title        = {Analyte sampling in paper biosensors powered by graphite-based light
                  absorption},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050686},
  doi          = {10.1109/ISCAS.2017.8050686},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuanLSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZahraiDO17,
  author       = {Seyed Alireza Zahrai and
                  Nicolas Le Dortz and
                  Marvin Onabajo},
  title        = {Design of clock generation circuitry for high-speed subranging time-interleaved
                  ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050895},
  doi          = {10.1109/ISCAS.2017.8050895},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZahraiDO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZalivakaIC17,
  author       = {Siarhei S. Zalivaka and
                  Alexander A. Ivaniuk and
                  Chip{-}Hong Chang},
  title        = {Low-cost fortification of arbiter {PUF} against modeling attack},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050671},
  doi          = {10.1109/ISCAS.2017.8050671},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZalivakaIC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZamaniBGM17,
  author       = {Hossein Zamani and
                  Hamid Bahrami and
                  Paul A. Garris and
                  Pedram Mohseni},
  title        = {On the use of compressive sensing {(CS)} for brain dopamine recording
                  with fast-scan cyclic voltammetry {(FSCV)}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050302},
  doi          = {10.1109/ISCAS.2017.8050302},
  timestamp    = {Fri, 04 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZamaniBGM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhanSK17,
  author       = {Huijing Zhan and
                  Boxin Shi and
                  Alex C. Kot},
  title        = {Cross-domain shoe retrieval using a three-level deep feature representation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050639},
  doi          = {10.1109/ISCAS.2017.8050639},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhanSK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangBRBLHR17,
  author       = {Chenming Zhang and
                  Lucien J. Breems and
                  Georgi I. Radulov and
                  Muhammed Bolatkale and
                  Qilong Liu and
                  Hans Hegt and
                  Arthur H. M. van Roermund},
  title        = {Current-mode multi-path excess loop delay compensation for GHz sampling
                  {CT} {\(\Sigma\)}{\(\Delta\)} ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050369},
  doi          = {10.1109/ISCAS.2017.8050369},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangBRBLHR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLRJW17,
  author       = {Yudong Zhang and
                  Xiaofeng Liu and
                  Woogeun Rhee and
                  Hanjun Jiang and
                  Zhihua Wang},
  title        = {A 0.6V 50-to-145MHz {PVT} tolerant digital {PLL} with DCO-dedicated
                  {\(\Delta\)}{\(\Sigma\)} {LDO} and temperature compensation circuits
                  in 65nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050743},
  doi          = {10.1109/ISCAS.2017.8050743},
  timestamp    = {Fri, 11 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLRJW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLXW17,
  author       = {Yuchi Zhang and
                  Guolin Li and
                  Xiang Xie and
                  Zhihua Wang},
  title        = {A new algorithm for accurate and automatic chessboard corner detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050637},
  doi          = {10.1109/ISCAS.2017.8050637},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLXW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangWLC17,
  author       = {Li Zhang and
                  H. C. Wu and
                  Jian{-}Qiang Lin and
                  S. C. Chan},
  title        = {Dynamic gene regulatory network analysis using Saccharomyces cerevisiae
                  large-scale time-course microarray data},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050662},
  doi          = {10.1109/ISCAS.2017.8050662},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangWLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangWLOL17,
  author       = {Lei Zhang and
                  Chenghua Wang and
                  Weiqiang Liu and
                  M{\'{a}}ire O'Neill and
                  Fabrizio Lombardi},
  title        = {{XOR} gate based low-cost configurable {RO} {PUF}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050628},
  doi          = {10.1109/ISCAS.2017.8050628},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangWLOL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangWZH17,
  author       = {Jin Zhang and
                  Yuan Wang and
                  Xing Zhang and
                  Ru Huang},
  title        = {Compact digital-controlled neuromorphic circuit with low power consumption},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050804},
  doi          = {10.1109/ISCAS.2017.8050804},
  timestamp    = {Tue, 04 Jun 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangWZH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangY17,
  author       = {Qing Zhang and
                  Lu Yu},
  title        = {A higher order transform domain filter exploiting non-local spatial
                  correlation for video coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050324},
  doi          = {10.1109/ISCAS.2017.8050324},
  timestamp    = {Tue, 19 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangYAQCZ17,
  author       = {Jieyun Zhang and
                  Wenbin Ye and
                  Ashfaq Ahmed and
                  Zhurui Qiu and
                  Yuan Cao and
                  Xiaojin Zhao},
  title        = {A novel smoothness-based interpolation algorithm for division of focal
                  plane Polarimeters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050355},
  doi          = {10.1109/ISCAS.2017.8050355},
  timestamp    = {Fri, 30 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangYAQCZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangYXMC17,
  author       = {Lei Zhang and
                  Jianxun Yang and
                  Chengbo Xue and
                  Yue Ma and
                  Shan Cao},
  title        = {A two-stage variation-aware task mapping scheme for fault-tolerant
                  multi-core Network-on-Chips},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050632},
  doi          = {10.1109/ISCAS.2017.8050632},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangYXMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangYZZH17,
  author       = {Ye Zhang and
                  Fan Yang and
                  Dian Zhou and
                  Xuan Zeng and
                  Xiangdong Hu},
  title        = {A grid-based detailed routing algorithm for advanced 1D process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050430},
  doi          = {10.1109/ISCAS.2017.8050430},
  timestamp    = {Wed, 03 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangYZZH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangYZZLH17,
  author       = {Shuhan Zhang and
                  Fan Yang and
                  Xuan Zeng and
                  Dian Zhou and
                  Shun Li and
                  Xiangdong Hu},
  title        = {Efficient spectral graph sparsification via Krylov-subspace based
                  spectral perturbation analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050468},
  doi          = {10.1109/ISCAS.2017.8050468},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangYZZLH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangZ17,
  author       = {Sheng Zhang and
                  Wei Xing Zheng},
  title        = {A comparison of {NLMS} and {LMS} algorithms for cyclostationary input
                  signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050874},
  doi          = {10.1109/ISCAS.2017.8050874},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangZT17,
  author       = {Xi Zhang and
                  Choujun Zhan and
                  Chi K. Tse},
  title        = {Modeling cascading failure propagation in power systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050859},
  doi          = {10.1109/ISCAS.2017.8050859},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangZT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoCHCLZ17,
  author       = {Meng Zhao and
                  Zhongjian Chen and
                  Zhaofeng Huang and
                  Guangyi Chen and
                  Wengao Lu and
                  Yacong Zhang},
  title        = {A low-noise fully-differential open-loop interface for high-G capacitive
                  micro-accelerometers with 112.2 dB dynamic range},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050229},
  doi          = {10.1109/ISCAS.2017.8050229},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoCHCLZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoM17,
  author       = {Haixiang Zhao and
                  Soumyajit Mandal},
  title        = {Phase-locked loops using switched-gain control},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8051003},
  doi          = {10.1109/ISCAS.2017.8051003},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoSLPJ17,
  author       = {Xueyuan Zhao and
                  Vidyasagar Sadhu and
                  Tuan Le and
                  Dario Pompili and
                  Mehdi Javanmard},
  title        = {Towards low-power wearable wireless sensors for molecular biomarker
                  and physiological signal monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050558},
  doi          = {10.1109/ISCAS.2017.8050558},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoSLPJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoZL17,
  author       = {Kang Zhao and
                  Yuheng Zhao and
                  Junrui Liang},
  title        = {Live demo of a vibration-powered Bluetooth sensor with running {PFC}
                  power conditioning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050714},
  doi          = {10.1109/ISCAS.2017.8050714},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoZL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoZL17a,
  author       = {Kang Zhao and
                  Yuheng Zhao and
                  Junrui Liang},
  title        = {A vibration-powered Bluetooth wireless sensor node with running {PFC}
                  power conditioning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050854},
  doi          = {10.1109/ISCAS.2017.8050854},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoZL17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengJK17,
  author       = {Li Zheng and
                  Aimin Jiang and
                  Hon Keung Kwan},
  title        = {Sparse {FIR} filter design via partial {L1} optimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050776},
  doi          = {10.1109/ISCAS.2017.8050776},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengJK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhongWCZ17,
  author       = {Jian Zhong and
                  Jiajing Wu and
                  Zhenhao Chen and
                  Zibin Zheng},
  title        = {Optimal design of coupling preferences to mitigate traffic congestion
                  in interconnected networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050466},
  doi          = {10.1109/ISCAS.2017.8050466},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhongWCZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouC17,
  author       = {Liang Zhou and
                  Shantanu Chakrabartty},
  title        = {Secure dynamic authentication of passive assets and passive IoTs using
                  self-powered timers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050842},
  doi          = {10.1109/ISCAS.2017.8050842},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouLW17,
  author       = {Yangcan Zhou and
                  Jun Lin and
                  Zhongfeng Wang},
  title        = {Efficient approximate layered {LDPC} decoder},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050908},
  doi          = {10.1109/ISCAS.2017.8050908},
  timestamp    = {Fri, 28 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouZGYG17,
  author       = {Jian{-}Bin Zhou and
                  Dajiang Zhou and
                  Li Guo and
                  Takeshi Yoshimura and
                  Satoshi Goto},
  title        = {Measurement-domain intra prediction framework for compressively sensed
                  images},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050262},
  doi          = {10.1109/ISCAS.2017.8050262},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouZGYG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouZRW17,
  author       = {Ranran Zhou and
                  Yining Zhang and
                  Woogeun Rhee and
                  Zhihua Wang},
  title        = {An energy/bandwidth/area efficient frequency-domain {OOK} transmitter
                  with phase rotated modulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050497},
  doi          = {10.1109/ISCAS.2017.8050497},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouZRW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouZYG17,
  author       = {Jian{-}Bin Zhou and
                  Dajiang Zhou and
                  Takeshi Yoshimura and
                  Satoshi Goto},
  title        = {Approximate-DCT-derived measurement matrices for compressed sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050536},
  doi          = {10.1109/ISCAS.2017.8050536},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouZYG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuBWS17,
  author       = {Kehan Zhu and
                  Sakkarapani Balagopal and
                  Xinyu Wu and
                  Vishal Saxena},
  title        = {Realization of a 10 GHz {PLL} in {IBM} 130 nm SiGe BiCMOS process
                  for optical transmitter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050878},
  doi          = {10.1109/ISCAS.2017.8050878},
  timestamp    = {Fri, 29 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuBWS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuangC17,
  author       = {Yuming Zhuang and
                  Degang Chen},
  title        = {Accurate spectral testing of the signals with amplitude drift},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050333},
  doi          = {10.1109/ISCAS.2017.8050333},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuangC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZimpeckAMR17,
  author       = {Alexandra L. Zimpeck and
                  Ygor Aguiar and
                  Cristina Meinhardt and
                  Ricardo Reis},
  title        = {Robustness of Sub-22nm multigate devices against physical variability},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050441},
  doi          = {10.1109/ISCAS.2017.8050441},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZimpeckAMR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZuoFC17,
  author       = {Zhiheng Zuo and
                  Qingjun Fan and
                  Jinghong Chen},
  title        = {A 14-bit 2.5 GS/s digital pre-distorted {DAC} in 65 nm {CMOS} with
                  {SFDR} {\textgreater} 70 dB up to 1.2 GHz},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050688},
  doi          = {10.1109/ISCAS.2017.8050688},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZuoFC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZyarahSHJAMK17,
  author       = {Abdullah M. Zyarah and
                  Nicholas Soures and
                  Lydia Hays and
                  Robin Jacobs{-}Gedrim and
                  Sapan Agarwal and
                  Matthew J. Marinella and
                  Dhireesha Kudithipudi},
  title        = {Ziksa: On-chip learning accelerator with memristor crossbars for multilevel
                  neural networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050531},
  doi          = {10.1109/ISCAS.2017.8050531},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZyarahSHJAMK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iscas/2017,
  title        = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8014728/proceeding},
  isbn         = {978-1-4673-6853-7},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}