default search action
ISLPED 2015: Rome, Italy
- IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2015, Rome, Italy, July 22-24, 2015. IEEE 2015, ISBN 978-1-4673-8009-6
- Luca Benini, Renu Mehra, Mauro Olivieri:
Message from the general chairs. 1-2 - Ruchir Puri, Vijay Raghunathan:
Message from the program chairs. 1 - Alberto L. Sangiovanni-Vincentelli:
Let's get physical: Adding physical dimensions to cyber systems. 1-2 - Jose Pineda de Jyvez:
Opportunities in system power management for high performance mixed signal platforms. 1 - Naresh R. Shanbhag:
Statistical information processing: Computing for the nanoscale era. 1
Session 1: Emerging Technologies for Energy Efficiency
- Ahmedullah Aziz, Nikhil Shukla, Suman Datta, Sumeet Kumar Gupta:
COAST: Correlated material assisted STT MRAMs for optimized read operation. 1-6 - Seyedhamidreza Motaman, Swaroop Ghosh, Jaydeep P. Kulkarni:
A novel slope detection technique for robust STTRAM sensing. 7-12 - Yuhao Wang, Xin Li, Hao Yu, Leibin Ni, Wei Yang, Chuliang Weng, Junfeng Zhao:
Optimizing Boolean embedding matrix for compressive sensing in RRAM crossbar. 13-18 - Chun-Hao Lai, Shun-Chih Yu, Chia-Lin Yang, Hsiang-Pang Li:
Fine-grained write scheduling for PCM performance improvement under write power budget. 19-24
Session 2: Thermal Management and Cooling
- Tanguy Sassolas, Chiara Sandionigi, Alexandre Guerre, Julien Mottin, Pascal Vivet, Hela Boussetta, Nicolas Peltier:
A simulation framework for rapid prototyping and evaluation of thermal mitigation techniques in many-core architectures. 25-30 - Sriram Jayakumar, Sherief Reda:
Making sense of thermoelectrics for processor thermal management and energy harvesting. 31-36 - Fulya Kaplan, Ayse K. Coskun:
Adaptive sprinting: How to get the most out of Phase Change based passive cooling. 37-42 - Wen Yueh, Zhimin Wan, Yogendra Joshi, Saibal Mukhopadhyay:
Experimental characterization of in-package microfluidic cooling on a System-on-Chip. 43-48
Session 3: Low Power Memory Organization
- Wei Zhang, Hang Zhang, John C. Lach:
Reducing dynamic energy of set-associative L1 instruction cache by early tag lookup. 49-54 - Naifeng Jing, Shuang Chen, Shunning Jiang, Li Jiang, Chao Li, Xiaoyao Liang:
Bank stealing for conflict mitigation in GPGPU Register File. 55-60 - Shuangchen Li, Ang Li, Yuan Zhe, Yongpan Liu, Peng Li, Guangyu Sun, Yu Wang, Huazhong Yang, Yuan Xie:
Leveraging emerging nonvolatile memory in high-level synthesis with loop transformations. 61-66 - Shibo Wang, Yanwei Song, Mahdi Nazm Bojnordi, Engin Ipek:
Enabling energy efficient Hybrid Memory Cube systems with erasure codes. 67-72
Session 4: Approximate Computing and Neuromorphic Architectures
- David May, Walter Stechele:
Design of fine-grained sequential approximate circuits using probability-aware fault emulation. 73-78 - Georgios Zervakis, Sotirios Xydis, Kostas Tsoumanis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Hybrid approximate multiplier architectures for improved power-accuracy trade-offs. 79-84 - Jaeha Kung, Duckhwan Kim, Saibal Mukhopadhyay:
A power-aware digital feedforward neural network platform with backpropagation driven approximate synapses. 85-90 - Beinuo Zhang, Zhewei Jiang, Qi Wang, Jae-sun Seo, Mingoo Seok:
A neuromorphic neural spike clustering processor for deep-brain sensing and stimulation systems. 91-97
Session 5: Energy Efficient On-Chip Communication
- Cagla Cakir, Ron Ho, Jon K. Lexau, Ken Mai:
High-efficiency crossbar switches using capacitively coupled signaling. 98-103 - Rajesh Jayashankara Shridevi, Dean Michael Ancajas, Koushik Chakraborty, Sanghamitra Roy:
Tackling voltage emergencies in NoC through timing error resilience. 104-109 - Yuan Liang, Hao Yu, Junfeng Zhao, Wei Yang, Yuangang Wang:
An energy efficient and low cross-talk CMOS sub-THz I/O with surface-wave modulator and interconnect. 110-115 - Cheng Li, Paul Ampadu:
A compact low-power eDRAM-based NoC buffer. 116-121
Session 6: Low Power Techniques for Robust and Secure Design
- Hao He, Jiafan Wang, Jiang Hu:
Collaborative gate implementation selection and adaptivity assignment for robust combinational circuits. 122-127 - Paul N. Whatmough, Shidhartha Das, David M. Bull:
Analysis of adaptive clocking technique for resonant supply voltage noise mitigation. 128-133 - Arvind Singh, Monodeep Kar, Jong Hwan Ko, Saibal Mukhopadhyay:
Exploring power attack protection of resource constrained encryption engines using integrated low-drop-out regulators. 134-139
Session 7: Optimizing Power Supply and Delivery
- Xiaoyang Mi, Debashis Mandal, Visvesh S. Sathe, Bertan Bakkaloglu, Jae-sun Seo:
Fully-integrated switched-capacitor voltage regulator with on-chip current-sensing and workload optimization in 32nm SOI CMOS. 140-145 - Shidhartha Das, Paul N. Whatmough, David M. Bull:
Modeling and characterization of the system-level Power Delivery Network for a dual-core ARM Cortex-A57 cluster in 28nm CMOS. 146-151 - Runjie Zhang, Kaushik Mazumdar, Brett H. Meyer, Ke Wang, Kevin Skadron, Mircea R. Stan:
Transient voltage noise in charge-recycled power delivery networks for many-layer 3D-IC. 152-158 - Woojoo Lee, Yanzhi Wang, Donghwa Shin, Shahin Nazarian, Massoud Pedram:
Design and optimization of a reconfigurable power delivery network for large-area, DVS-enabled OLED displays. 159-164
Session 8: Low Power Software and Systems
- Anup Das, Matthew J. Walker, Andreas Hansson, Bashir M. Al-Hashimi, Geoff V. Merrett:
Hardware-software interaction for run-time power optimization: A case study of embedded Linux on multicore smartphones. 165-170 - Xiangyu Wu, Yuanfang Xia, Naifeng Jing, Xiaoyao Liang:
CGSharing: Efficient content sharing in GPU-based cloud gaming. 171-176 - Sankalp Jain, Harshad Navale, Ümit Y. Ogras, Siddharth Garg:
Energy efficient scheduling for web search on heterogeneous microservers. 177-182 - Jun Luan, Seung Jae Lee, Pai H. Chou:
Low-power detection of sternocleidomastoid muscle contraction for asthma assessment and control. 183-188
Session 9: Efficient Power Modeling Estimation, and Optimization
- Wooseok Lee, Youngchun Kim, Jee Ho Ryoo, Dam Sunwoo, Andreas Gerstlauer, Lizy K. John:
PowerTrain: A learning-based calibration of McPAT power models. 189-194 - Arun Joseph, Anand Haridass, Charles Lefurgy, Sreekanth Pai, Spandana Rachamalla, Francesco Campisano:
FreqLeak: A frequency step based method for efficient leakage power characterization in a system. 195-200 - Kyungwook Chang, Kartik Acharya, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim:
Power benefit study of monolithic 3D IC at the 7nm technology node. 201-206 - Hayate Okuhara, Kuniaki Kitamori, Yu Fujita, Kimiyoshi Usami, Hideharu Amano:
An optimal power supply and body bias voltage for a ultra low power micro-controller with silicon on thin box MOSFET. 207-212
Session 10: Dynamic Adaptation Techniques for Energy Efficiency
- Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel:
Hierarchical power budgeting for Dark Silicon chips. 213-218 - Amir-Mohammad Rahmani, Mohammad Hashem Haghbayan, Anil Kanduri, Awet Yemane Weldezion, Pasi Liljeberg, Juha Plosila, Axel Jantsch, Hannu Tenhunen:
Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach. 219-224 - Mohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Florian Kriebel, Muhammad Shafique, Alireza Ejlali, Jörg Henkel:
DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations. 225-230 - Liang Wang, Augusto Vega, Alper Buyuktosunoglu, Pradip Bose, Kevin Skadron:
Power-efficient embedded processing with resilience and real-time constraints. 231-236
Poster Presentations
- Bert Moons, Marian Verhelst:
DVAS: Dynamic Voltage Accuracy Scaling for increased energy-efficiency in approximate computing. 237-242 - Anuj Pathania, Santiago Pagani, Muhammad Shafique, Jörg Henkel:
Power management for mobile games on asymmetric multi-cores. 243-248 - Mohammad Sadrosadati, Amirhossein Mirhosseini, Homa Aghilinasab, Hamid Sarbazi-Azad:
An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocators. 249-254 - Jae-Yeon Won, Paul Gratz, Srinivas Shakkottai, Jiang Hu:
Having your cake and eating it too: Energy savings without performance loss through resource sharing driven power management. 255-260 - Chi-Hsuan Lin, Yu-Ming Chang, Pi-Cheng Hsiu, Yuan-Hao Chang:
Energy stealing - an exploration into unperceived activities on mobile systems. 261-266 - Chih-Kai Kang, Chun-Han Lin, Pi-Cheng Hsiu:
A win-win camera: Quality-enhanced power-saving images on mobile OLED displays. 267-272 - Donghwa Shin, Naehyuck Chang, Yanzhi Wang, Massoud Pedram:
Reconfigurable three dimensional photovoltaic panel architecture for solar-powered time extension. 273-278 - Aldo Romani, Antonio Camarda, Alessio Baldazzi, Marco Tartagni:
A micropower energy harvesting circuit with piezoelectric transformer-based ultra-low voltage start-up. 279-284 - Mengbai Xiao, Yao Liu, Lei Guo, Songqing Chen:
Reducing display power consumption for real-time video calls on mobile devices. 285-290 - Arman Iranfar, Soheil Nazar Shahsavani, Mehdi Kamal, Ali Afzali-Kusha:
A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCs. 291-296 - Biruk Mammo, Ritesh Parikh, Valeria Bertacco:
ReDEEM: A heterogeneous distributed microarchitecture for energy-efficient reliability. 297-302 - Jun-Ho Choy, Valeriy Sukharev, Armen Kteyan, Henrik Hovsepyan, Ramnath Venkatraman, Ruggero Castagnetti:
Post placement leakage reduction with stress-enhanced filler cells. 303-308 - Rekha Govindaraj, Swaroop Ghosh:
Design and analysis of 6-T 2-MTJ ternary Content Addressable Memory. 309-314 - Hoeseok Yang, Soonhoi Ha:
Modeling and power optimization of cyber-physical systems with energy-workload tradeoff. 315-320 - Yuanchun Li, Yao Guo, Junjun Kong, Xiangqun Chen:
Fixing sensor-related energy bugs through automated sensing policy instrumentation. 321-326 - Visvesh S. Sathe, Jae-sun Seo:
Analysis and optimization of CMOS switched-capacitor converters. 327-334 - Teng Xu, Miodrag Potkonjak:
The digital bidirectional function as a hardware security primitive: Architecture and applications. 335-340 - Mohammad Javad Dousti, Majid Ghasemi-Gol, Mahdi Nazemi, Massoud Pedram:
ThermTap: An online power analyzer and thermal simulator for Android devices. 341-346 - Hussam Amrouch, Jörg Henkel:
Lucid infrared thermography of thermally-constrained processors. 347-352 - Korosh Vatanparvar, Jiang Wan, Mohammad Abdullah Al Faruque:
Battery-aware energy-optimal Electric Vehicle driving management. 353-358 - Yu-Ting Chen, Jason Cong:
Interconnect synthesis of heterogeneous accelerators in a shared memory architecture. 359-364 - Byungkyu Song, Taehui Na, Seong-Ook Jung, Jung Pill Kim, Seung-Hyuk Kang:
Reference-circuit analysis for high-bandwidth spin transfer torque random access memory. 365-370
Invited Presentation
- Ankush Varma, William J. Bowhill, Jason Crop, Corey Gough, Brian Griffith, Dan Kingsley, Krishna Sistla:
Power management in the Intel Xeon E5 v3. 371-376
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.