BibTeX records: Kartik Acharya

download as .bib file

@article{DBLP:journals/tvlsi/ChangASCYL17,
  author       = {Kyungwook Chang and
                  Kartik Acharya and
                  Saurabh Sinha and
                  Brian Cline and
                  Greg Yeric and
                  Sung Kyu Lim},
  title        = {Impact and Design Guideline of Monolithic 3-D {IC} at the 7-nm Technology
                  Node},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {7},
  pages        = {2118--2129},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2686426},
  doi          = {10.1109/TVLSI.2017.2686426},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChangASCYL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AcharyaCKPSCYL16,
  author       = {Kartik Acharya and
                  Kyungwook Chang and
                  Bon Woong Ku and
                  Shreepad Panth and
                  Saurabh Sinha and
                  Brian Cline and
                  Greg Yeric and
                  Sung Kyu Lim},
  title        = {Monolithic 3D {IC} design: Power, performance, and area impact at
                  7nm},
  booktitle    = {17th International Symposium on Quality Electronic Design, {ISQED}
                  2016, Santa Clara, CA, USA, March 15-16, 2016},
  pages        = {41--48},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISQED.2016.7479174},
  doi          = {10.1109/ISQED.2016.7479174},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AcharyaCKPSCYL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChangASCYL15,
  author       = {Kyungwook Chang and
                  Kartik Acharya and
                  Saurabh Sinha and
                  Brian Cline and
                  Greg Yeric and
                  Sung Kyu Lim},
  title        = {Power benefit study of monolithic 3D {IC} at the 7nm technology node},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {201--206},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273514},
  doi          = {10.1109/ISLPED.2015.7273514},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChangASCYL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics