default search action
BibTeX records: David Atienza
@article{DBLP:journals/artmed/PaleTRRA24, author = {Una Pale and Tom{\'{a}}s Teijeiro and Sylvain Rheims and Philippe Ryvlin and David Atienza}, title = {Combining general and personal models for epilepsy detection with hyperdimensional computing}, journal = {Artif. Intell. Medicine}, volume = {148}, pages = {102754}, year = {2024}, url = {https://doi.org/10.1016/j.artmed.2023.102754}, doi = {10.1016/J.ARTMED.2023.102754}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/PaleTRRA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/KaramiAI24, author = {Hojjat Karami and David Atienza and Anisoara Ionescu}, title = {{TEE4EHR:} Transformer event encoder for better representation learning in electronic health records}, journal = {Artif. Intell. Medicine}, volume = {154}, pages = {102903}, year = {2024}, url = {https://doi.org/10.1016/j.artmed.2024.102903}, doi = {10.1016/J.ARTMED.2024.102903}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/KaramiAI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/TirelliSAFDAMAP24, author = {Cristian Tirelli and Juan Sapriza and Rub{\'{e}}n Rodr{\'{\i}}guez {\'{A}}lvarez and Lorenzo Ferretti and Beno{\^{\i}}t W. Denkinger and Giovanni Ansaloni and Jose Angel Miranda and David Atienza and Laura Pozzi}, title = {SAT-Based Exact Modulo Scheduling Mapping for Resource-Constrained CGRAs}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {20}, number = {3}, pages = {8:1--8:26}, year = {2024}, url = {https://doi.org/10.1145/3663675}, doi = {10.1145/3663675}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/TirelliSAFDAMAP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/BaghersalimiTAA24, author = {Saleh Baghersalimi and Tom{\'{a}}s Teijeiro and Amir Aminifar and David Atienza}, title = {Decentralized Federated Learning for Epileptic Seizures Detection in Low-Power Wearable Systems}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {23}, number = {5}, pages = {6392--6407}, year = {2024}, url = {https://doi.org/10.1109/TMC.2023.3320862}, doi = {10.1109/TMC.2023.3320862}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/BaghersalimiTAA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/HuangCPZA24, author = {Darong Huang and Luis Costero and Ali Pahlevan and Marina Zapater and David Atienza}, title = {CloudProphet: {A} Machine Learning-Based Performance Prediction for Public Clouds}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {9}, number = {4}, pages = {661--676}, year = {2024}, url = {https://doi.org/10.1109/TSUSC.2024.3359325}, doi = {10.1109/TSUSC.2024.3359325}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsusc/HuangCPZA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YuPLGBAAC24, author = {Pengbo Yu and Flavio Ponzina and Alexandre Levisse and Mohit Gupta and Dwaipayan Biswas and Giovanni Ansaloni and David Atienza and Francky Catthoor}, title = {An Energy Efficient Soft {SIMD} Microarchitecture and Its Application on Quantized CNNs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {32}, number = {6}, pages = {1018--1031}, year = {2024}, url = {https://doi.org/10.1109/TVLSI.2024.3375793}, doi = {10.1109/TVLSI.2024.3375793}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/YuPLGBAAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/CarpentieriSSPA24, author = {Nicol{\`{o}} Carpentieri and Juan Sapriza and Pasquale Davide Schiavone and Daniele Jahier Pagliari and David Atienza and Maurizio Martina and Alessio Burrello}, title = {Performance evaluation of acceleration of convolutional layers on OpenEdgeCGRA}, booktitle = {Proceedings of the 21st {ACM} International Conference on Computing Frontiers, Workshops and Special Sessions, {CF} 2024, Ischia, Italy, May 7-9, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3637543.3652875}, doi = {10.1145/3637543.3652875}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/CarpentieriSSPA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OConnorMBDDO0TC24, author = {Ian O'Connor and Sara Mannaa and Alberto Bosio and Bastien Deveautour and Damien Deleruyelle and Tetiana Obukhova and C{\'{e}}dric Marchand and Jens Trommer and {\c{C}}igdem {\c{C}}akirlar and Bruno Neckel Wesling and Thomas Mikolajick and Oskar Baumgartner and Mischa Thesberg and David Pirker and Christoph Lenz and Zlatan Stanojevic and Markus Karner and Guilhem Larrieu and Sylvain Pelloquin and Konstantinous Moustakas and Jonas M{\"{u}}ller and Giovanni Ansaloni and Alireza Amirshahi and David Atienza and Jean{-}Luc Rouas and Leila Ben Letaifa and Georgeta Bordeall and Charles Brazier and Chhandak Mukherjee and Marina Deng and Yifan Wang and Marc Fran{\c{c}}ois and Houssem Rezgui and Reveil Lucas and Cristell Maneux}, title = {{FVLLMONTI:} The 3D Neural Network Compute Cube {\textdollar}(N{\^{}}\{2\}C{\^{}}\{2\}){\textdollar} Concept for Efficient Transformer Architectures Towards Speech-to-Speech Translation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546700}, timestamp = {Mon, 17 Jun 2024 14:45:14 +0200}, biburl = {https://dblp.org/rec/conf/date/OConnorMBDDO0TC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/AmirshahiAA24, author = {Alireza Amirshahi and Giovanni Ansaloni and David Atienza}, editor = {Jo{\~{a}}o Bispo and Sotirios Xydis and Serena Curzel and Lu{\'{\i}}s Miguel Sousa}, title = {Accelerator-Driven Data Arrangement to Minimize Transformers Run-Time on Multi-Core Architectures}, booktitle = {15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, {PARMA-DITAM} 2024, January 18, 2024, Munich, Germany}, series = {OASIcs}, volume = {116}, pages = {2:1--2:13}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2024}, url = {https://doi.org/10.4230/OASIcs.PARMA-DITAM.2024.2}, doi = {10.4230/OASICS.PARMA-DITAM.2024.2}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/hipeac/AmirshahiAA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-05548, author = {Simone Machetti and Pasquale Davide Schiavone and Thomas Christoph M{\"{u}}ller and Miguel Pe{\'{o}}n Quir{\'{o}}s and David Atienza}, title = {{X-HEEP:} An Open-Source, Configurable and Extendible {RISC-V} Microcontroller for the Exploration of Ultra-Low-Power Edge Accelerators}, journal = {CoRR}, volume = {abs/2401.05548}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.05548}, doi = {10.48550/ARXIV.2401.05548}, eprinttype = {arXiv}, eprint = {2401.05548}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-05548.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-09420, author = {Corey Lammie and Flavio Ponzina and Yuxuan Wang and Joshua Klein and Marina Zapater and Irem Boybat and Abu Sebastian and Giovanni Ansaloni and David Atienza}, title = {LionHeart: {A} Layer-based Mapping Framework for Heterogeneous Systems with Analog In-Memory Computing Tiles}, journal = {CoRR}, volume = {abs/2401.09420}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.09420}, doi = {10.48550/ARXIV.2401.09420}, eprinttype = {arXiv}, eprint = {2401.09420}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-09420.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-06318, author = {Hojjat Karami and Mary{-}Anne Hartley and David Atienza and Anisoara Ionescu}, title = {TimEHR: Image-based Time Series Generation for Electronic Health Records}, journal = {CoRR}, volume = {abs/2402.06318}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.06318}, doi = {10.48550/ARXIV.2402.06318}, eprinttype = {arXiv}, eprint = {2402.06318}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-06318.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-06367, author = {Hojjat Karami and David Atienza and Anisoara Ionescu}, title = {{TEE4EHR:} Transformer Event Encoder for Better Representation Learning in Electronic Health Records}, journal = {CoRR}, volume = {abs/2402.06367}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.06367}, doi = {10.48550/ARXIV.2402.06367}, eprinttype = {arXiv}, eprint = {2402.06367}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-06367.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12834, author = {Cristian Tirelli and Juan Sapriza and Rub{\'{e}}n Rodr{\'{\i}}guez {\'{A}}lvarez and Lorenzo Ferretti and Beno{\^{\i}}t W. Denkinger and Giovanni Ansaloni and Jose Angel Miranda Calero and David Atienza and Laura Pozzi}, title = {SAT-based Exact Modulo Scheduling Mapping for Resource-Constrained CGRAs}, journal = {CoRR}, volume = {abs/2402.12834}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12834}, doi = {10.48550/ARXIV.2402.12834}, eprinttype = {arXiv}, eprint = {2402.12834}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12834.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13005, author = {Jonathan Dan and Una Pale and Alireza Amirshahi and William Cappelletti and Thorir Mar Ingolfsson and Xiaying Wang and Andrea Cossettini and Adriano Bernini and Luca Benini and S{\'{a}}ndor Beniczky and David Atienza and Philippe Ryvlin}, title = {SzCORE: {A} Seizure Community Open-source Research Evaluation framework for the validation of EEG-based automated seizure detection algorithms}, journal = {CoRR}, volume = {abs/2402.13005}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13005}, doi = {10.48550/ARXIV.2402.13005}, eprinttype = {arXiv}, eprint = {2402.13005}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01236, author = {Nicol{\`{o}} Carpentieri and Juan Sapriza and Pasquale Davide Schiavone and Daniele Jahier Pagliari and David Atienza and Maurizio Martina and Alessio Burrello}, title = {Performance evaluation of acceleration of convolutional layers on OpenEdgeCGRA}, journal = {CoRR}, volume = {abs/2403.01236}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01236}, doi = {10.48550/ARXIV.2403.01236}, eprinttype = {arXiv}, eprint = {2403.01236}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01236.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-12503, author = {Daniel V{\'{a}}zquez and Jose Miranda and Alfonso Rodr{\'{\i}}guez and Andr{\'{e}}s Otero and Pasquale Davide Schiavone and David Atienza}, title = {{STRELA:} STReaming ELAstic {CGRA} Accelerator for Embedded Systems}, journal = {CoRR}, volume = {abs/2404.12503}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.12503}, doi = {10.48550/ARXIV.2404.12503}, eprinttype = {arXiv}, eprint = {2404.12503}, timestamp = {Tue, 02 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-12503.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-09559, author = {Christodoulos Kechris and Jonathan Dan and Jose Miranda and David Atienza}, title = {{KID-PPG:} Knowledge Informed Deep Learning for Extracting Heart Rate from a Smartwatch}, journal = {CoRR}, volume = {abs/2405.09559}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.09559}, doi = {10.48550/ARXIV.2405.09559}, eprinttype = {arXiv}, eprint = {2405.09559}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-09559.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-15085, author = {Christodoulos Kechris and J{\'{e}}r{\^{o}}me Thevenot and Tom{\'{a}}s Teijeiro and Vincent A. Stadelmann and Nicola A. Maffiuletti and David Atienza}, title = {Acoustical Features as Knee Health Biomarkers: {A} Critical Analysis}, journal = {CoRR}, volume = {abs/2405.15085}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.15085}, doi = {10.48550/ARXIV.2405.15085}, eprinttype = {arXiv}, eprint = {2405.15085}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-15085.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-01529, author = {Lara Orlandic and Jonathan Dan and J{\'{e}}r{\^{o}}me Thevenot and Tom{\'{a}}s Teijeiro and Alain Sauty and David Atienza}, title = {How to Count Coughs: An Event-Based Framework for Evaluating Automatic Cough Detection Algorithm Performance}, journal = {CoRR}, volume = {abs/2406.01529}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.01529}, doi = {10.48550/ARXIV.2406.01529}, eprinttype = {arXiv}, eprint = {2406.01529}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-01529.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-03886, author = {Dimitrios Samakovlis and Stefano Albini and Rub{\'{e}}n Rodr{\'{\i}}guez {\'{A}}lvarez and Denisa{-}Andreea Constantinescu and Pasquale Davide Schiavone and Miguel Pe{\'{o}}n Quir{\'{o}}s and David Atienza}, title = {BiomedBench: {A} benchmark suite of TinyML biomedical applications for low-power wearables}, journal = {CoRR}, volume = {abs/2406.03886}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.03886}, doi = {10.48550/ARXIV.2406.03886}, eprinttype = {arXiv}, eprint = {2406.03886}, timestamp = {Fri, 05 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-03886.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-14263, author = {Michele Caon and Cl{\'{e}}ment Chon{\'{e}} and Pasquale Davide Schiavone and Alexandre Levisse and Guido Masera and Maurizio Martina and David Atienza}, title = {Scalable and {RISC-V} Programmable Near-Memory Computing Architectures for Edge Nodes}, journal = {CoRR}, volume = {abs/2406.14263}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.14263}, doi = {10.48550/ARXIV.2406.14263}, eprinttype = {arXiv}, eprint = {2406.14263}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-14263.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-15776, author = {Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and J. Manuel Colmenar and David Atienza and J. Ignacio Hidalgo}, title = {Simulation of high-performance memory allocators}, journal = {CoRR}, volume = {abs/2406.15776}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.15776}, doi = {10.48550/ARXIV.2406.15776}, eprinttype = {arXiv}, eprint = {2406.15776}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-15776.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-09555, author = {Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and David Atienza and J. Manuel Colmenar and Oscar Garnica}, title = {A parallel evolutionary algorithm to optimize dynamic memory managers in embedded systems}, journal = {CoRR}, volume = {abs/2407.09555}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.09555}, doi = {10.48550/ARXIV.2407.09555}, eprinttype = {arXiv}, eprint = {2407.09555}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-09555.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-16556, author = {Christodoulos Kechris and Jonathan Dan and Jose Miranda and David Atienza}, title = {{DC} is all you need: describing ReLU from a signal processing standpoint}, journal = {CoRR}, volume = {abs/2407.16556}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.16556}, doi = {10.48550/ARXIV.2407.16556}, eprinttype = {arXiv}, eprint = {2407.16556}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-16556.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-01988, author = {Alireza Amirshahi and Maedeh H. Toosi and Siamak Mohammadi and Stefano Albini and Pasquale Davide Schiavone and Giovanni Ansaloni and Amir Aminifar and David Atienza}, title = {MetaWearS: {A} Shortcut in Wearable Systems Lifecycle with Only a Few Shots}, journal = {CoRR}, volume = {abs/2408.01988}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.01988}, doi = {10.48550/ARXIV.2408.01988}, eprinttype = {arXiv}, eprint = {2408.01988}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-01988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-03223, author = {Christodoulos Kechris and Jonathan Dan and Jose Miranda and David Atienza}, title = {Don't Think It Twice: Exploit Shift Invariance for Efficient Online Streaming Inference of CNNs}, journal = {CoRR}, volume = {abs/2408.03223}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.03223}, doi = {10.48550/ARXIV.2408.03223}, eprinttype = {arXiv}, eprint = {2408.03223}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-03223.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ZanoliATA23, author = {Silvio Zanoli and Giovanni Ansaloni and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Event-based sampled {ECG} morphology reconstruction through self-similarity}, journal = {Comput. Methods Programs Biomed.}, volume = {240}, pages = {107712}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107712}, doi = {10.1016/J.CMPB.2023.107712}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ZanoliATA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/OrlandicTA23, author = {Lara Orlandic and Tom{\'{a}}s Teijeiro and David Atienza}, title = {A semi-supervised algorithm for improving the consistency of crowdsourced datasets: The {COVID-19} case study on respiratory disorder classification}, journal = {Comput. Methods Programs Biomed.}, volume = {241}, pages = {107743}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107743}, doi = {10.1016/J.CMPB.2023.107743}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/OrlandicTA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ZanoliPTLA23, author = {Silvio Zanoli and Flavio Ponzina and Tom{\'{a}}s Teijeiro and Alexandre Levisse and David Atienza}, title = {An Error-Based Approximation Sensing Circuit for Event-Triggered Low-Power Wearable Sensors}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {13}, number = {2}, pages = {489--501}, year = {2023}, url = {https://doi.org/10.1109/JETCAS.2023.3269623}, doi = {10.1109/JETCAS.2023.3269623}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/ZanoliPTLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/GiovanniTMA23, author = {Elisabetta De Giovanni and Tom{\'{a}}s Teijeiro and Gr{\'{e}}goire P. Millet and David Atienza}, title = {Adaptive R-Peak Detection on Wearable {ECG} Sensors for High-Intensity Exercise}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {70}, number = {3}, pages = {941--953}, year = {2023}, url = {https://doi.org/10.1109/TBME.2022.3205304}, doi = {10.1109/TBME.2022.3205304}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/GiovanniTMA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KleinBQDLAZSA23, author = {Joshua Klein and Irem Boybat and Yasir Mahmood Qureshi and Martino Dazzi and Alexandre Levisse and Giovanni Ansaloni and Marina Zapater and Abu Sebastian and David Atienza}, title = {{ALPINE:} Analog In-Memory Acceleration With Tight Processor Integration for Deep Learning}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {7}, pages = {1985--1998}, year = {2023}, url = {https://doi.org/10.1109/TC.2022.3230285}, doi = {10.1109/TC.2022.3230285}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KleinBQDLAZSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/DenkingerQKAC23, author = {Beno{\^{\i}}t Walter Denkinger and Miguel Pe{\'{o}}n Quir{\'{o}}s and Mario Konijnenburg and David Atienza and Francky Catthoor}, title = {Acceleration of Control Intensive Applications on Coarse-Grained Reconfigurable Arrays for Embedded Systems}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {9}, pages = {2548--2560}, year = {2023}, url = {https://doi.org/10.1109/TC.2023.3257504}, doi = {10.1109/TC.2023.3257504}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/DenkingerQKAC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NajibiLAZVA23, author = {Halima Najibi and Alexandre Levisse and Giovanni Ansaloni and Marina Zapater and Miroslav Vasic and David Atienza}, title = {Thermal and Voltage-Aware Performance Management of 3-D MPSoCs With Flow Cell Arrays and Integrated {SC} Converters}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {1}, pages = {2--15}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3168257}, doi = {10.1109/TCAD.2022.3168257}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/NajibiLAZVA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/PonzinaRLAA23, author = {Flavio Ponzina and Marco Rios and Alexandre Levisse and Giovanni Ansaloni and David Atienza}, title = {Overflow-free Compute Memories for Edge {AI} Acceleration}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {5s}, pages = {121:1--121:23}, year = {2023}, url = {https://doi.org/10.1145/3609387}, doi = {10.1145/3609387}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/PonzinaRLAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/RiosPLAA23, author = {Marco Rios and Flavio Ponzina and Alexandre Levisse and Giovanni Ansaloni and David Atienza}, title = {Bit-Line Computing for {CNN} Accelerators Co-Design in Edge {AI} Inference}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {11}, number = {2}, pages = {358--372}, year = {2023}, url = {https://doi.org/10.1109/TETC.2023.3237914}, doi = {10.1109/TETC.2023.3237914}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/RiosPLAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/AmirshahiTARA23, author = {Alireza Amirshahi and Anthony Hitchcock Thomas and Amir Aminifar and Tajana Rosing and David Atienza}, title = {{M2D2:} Maximum-Mean-Discrepancy Decoder for Temporal Localization of Epileptic Brain Activities}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {1}, pages = {202--214}, year = {2023}, url = {https://doi.org/10.1109/JBHI.2022.3208780}, doi = {10.1109/JBHI.2022.3208780}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/AmirshahiTARA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/AbadalGTJSBSNWWLKZLARCDKBS23, author = {Sergi Abadal and Robert Guirado and Hamidreza Taghvaee and Akshay Jain and Elana Pereira de Santana and Peter Haring Bol{\'{\i}}var and Mohamed Saeed and Renato Negra and Zhenxing Wang and Kun{-}Ta Wang and Max Christian Lemme and Joshua Klein and Marina Zapater and Alexandre Levisse and David Atienza and Davide Rossi and Francesco Conti and Martino Dazzi and Geethan Karunaratne and Irem Boybat and Abu Sebastian}, title = {Graphene-Based Wireless Agile Interconnects for Massive Heterogeneous Multi-Chip Processors}, journal = {{IEEE} Wirel. Commun.}, volume = {30}, number = {4}, pages = {162--169}, year = {2023}, url = {https://doi.org/10.1109/MWC.010.2100561}, doi = {10.1109/MWC.010.2100561}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/AbadalGTJSBSNWWLKZLARCDKBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HuangZAAA23, author = {Baichuan Huang and Renato Zanetti and Azra Abtahi and David Atienza and Amir Aminifar}, title = {EpilepsyNet: Interpretable Self-Supervised Seizure Detection for Low-Power Wearable Systems}, booktitle = {5th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2023, Hangzhou, China, June 11-13, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AICAS57966.2023.10168560}, doi = {10.1109/AICAS57966.2023.10168560}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aicas/HuangZAAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MedinaKAZAAA23, author = {Rafael Medina and Joshua Kein and Giovanni Ansaloni and Marina Zapater and Sergi Abadal and Eduard Alarc{\'{o}}n and David Atienza}, editor = {Atsushi Takahashi}, title = {System-Level Exploration of In-Package Wireless Communication for Multi-Chiplet Platforms}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {561--566}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567952}, doi = {10.1145/3566097.3567952}, timestamp = {Mon, 26 Jun 2023 20:46:40 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MedinaKAZAAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AmirshahiKAA23, author = {Alireza Amirshahi and Joshua Alexander Harrison Klein and Giovanni Ansaloni and David Atienza}, editor = {Atsushi Takahashi}, title = {TiC-SAT: Tightly-Coupled Systolic Accelerator for Transformers}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {657--663}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567867}, doi = {10.1145/3566097.3567867}, timestamp = {Mon, 27 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/AmirshahiKAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/KaramiIA23, author = {Hojjat Karami and Anisoara Ionescu and David Atienza}, title = {Point-process-based Representation Learning for Electronic Health Records}, booktitle = {{IEEE} {EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2023, Pittsburgh, PA, USA, October 15-18, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BHI58575.2023.10313499}, doi = {10.1109/BHI58575.2023.10313499}, timestamp = {Fri, 01 Dec 2023 14:30:09 +0100}, biburl = {https://dblp.org/rec/conf/bhi/KaramiIA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/SchiavoneMQMDMR23, author = {Pasquale Davide Schiavone and Simone Machetti and Miguel Pe{\'{o}}n Quir{\'{o}}s and Jose Miranda and Beno{\^{\i}}t W. Denkinger and Thomas Christoph M{\"{u}}ller and Ruben Rodr{\'{\i}}guez and Saverio Nasturzio and David Atienza Alonso}, editor = {Andrea Bartolini and Kristian F. D. Rietveld and Catherine D. Schuman and Jose Moreira}, title = {{X-HEEP:} An Open-Source, Configurable and Extendible {RISC-V} Microcontroller}, booktitle = {Proceedings of the 20th {ACM} International Conference on Computing Frontiers, {CF} 2023, Bologna, Italy, May 9-11, 2023}, pages = {379--380}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3587135.3591431}, doi = {10.1145/3587135.3591431}, timestamp = {Sat, 30 Sep 2023 09:36:30 +0200}, biburl = {https://dblp.org/rec/conf/cf/SchiavoneMQMDMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/AlvarezDSCAA23, author = {Rub{\'{e}}n Rodr{\'{\i}}guez {\'{A}}lvarez and Beno{\^{\i}}t W. Denkinger and Juan Sapriza and Jose Angel Miranda Calero and Giovanni Ansaloni and David Atienza Alonso}, editor = {Andrea Bartolini and Kristian F. D. Rietveld and Catherine D. Schuman and Jose Moreira}, title = {An Open-Hardware Coarse-Grained Reconfigurable Array for Edge Computing}, booktitle = {Proceedings of the 20th {ACM} International Conference on Computing Frontiers, {CF} 2023, Bologna, Italy, May 9-11, 2023}, pages = {391--392}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3587135.3591437}, doi = {10.1145/3587135.3591437}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/AlvarezDSCAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codesisss/OuvrardQA23, author = {Xavier Ouvrard and Miguel Pe{\'{o}}n Quir{\'{o}}s and David Atienza}, title = {Special Session: Challenges and Opportunities for Sustainable Multi-Scale Computing Systems}, booktitle = {International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2023, Hamburg, Germany, September 17-22, 2023}, pages = {24--27}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1145/3607888.3608961}, doi = {10.1145/3607888.3608961}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codesisss/OuvrardQA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiemierHLSOAALKR23, author = {Michael T. Niemier and Xiaobo Sharon Hu and Liu Liu and Mohammad Mehdi Sharifi and Ian O'Connor and David Atienza and Giovanni Ansaloni and Can Li and Asif Khan and Daniel C. Ralph}, title = {Cross Layer Design for the Predictive Assessment of Technology-Enabled Architectures}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10136923}, doi = {10.23919/DATE56975.2023.10136923}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NiemierHLSOAALKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/OrlandicTTA23, author = {Lara Orlandic and J{\'{e}}r{\^{o}}me Thevenot and Tom{\'{a}}s Teijeiro and David Atienza}, title = {A Multimodal Dataset for Automatic Edge-AI Cough Detection}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340413}, doi = {10.1109/EMBC40787.2023.10340413}, timestamp = {Thu, 11 Jan 2024 15:01:18 +0100}, biburl = {https://dblp.org/rec/conf/embc/OrlandicTTA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/PaleTA23, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Importance of methodological choices in data manipulation for validating epileptic seizure detection models}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340493}, doi = {10.1109/EMBC40787.2023.10340493}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/PaleTA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/YakymetsZIA23, author = {Nataliya Yakymets and Renato Zanetti and Adrian M. Ionescu and David Atienza}, title = {Model-Based {ISO} 14971 Risk Management of EEG-Based Medical Devices}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340131}, doi = {10.1109/EMBC40787.2023.10340131}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/YakymetsZIA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/EggermannRANA23, author = {Gr{\'{e}}goire Eggermann and Marco Rios and Giovanni Ansaloni and Sani R. Nassif and David Atienza}, title = {A 16-bit Floating-Point Near-SRAM Architecture for Low-power Sparse Matrix-Vector Multiplication}, booktitle = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321838}, doi = {10.1109/VLSI-SOC57769.2023.10321838}, timestamp = {Wed, 06 Dec 2023 13:14:06 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/EggermannRANA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/MedinaHAZA23, author = {Rafael Medina and Darong Huang and Giovanni Ansaloni and Marina Zapater and David Atienza}, title = {{REMOTE:} Re-thinking Task Mapping on Wireless 2.5D Systems-on-Package for Hotspot Removal}, booktitle = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321912}, doi = {10.1109/VLSI-SOC57769.2023.10321912}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/MedinaHAZA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/TajiMQBA23, author = {Hossein Taji and Jose Miranda and Miguel Pe{\'{o}}n Quir{\'{o}}s and Szabolcs Bal{\'{a}}si and David Atienza}, title = {Dynamic Scheduling for Event-Driven Embedded Industrial Applications}, booktitle = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321845}, doi = {10.1109/VLSI-SOC57769.2023.10321845}, timestamp = {Wed, 06 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/TajiMQBA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/OrlandicTTA23, author = {Lara Orlandic and J{\'{e}}r{\^{o}}me Thevenot and Tom{\'{a}}s Teijeiro and David Atienza}, title = {A Multimodal Dataset for Automatic Edge-AI Cough Detection (Version 1)}, publisher = {Zenodo}, year = {2023}, month = jan, howpublished = {\url{https://doi.org/10.5281/zenodo.7562332}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.7562332}, doi = {10.5281/ZENODO.7562332}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/OrlandicTTA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-10672, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Importance of methodological choices in data manipulation for validating epileptic seizure detection models}, journal = {CoRR}, volume = {abs/2302.10672}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.10672}, doi = {10.48550/ARXIV.2302.10672}, eprinttype = {arXiv}, eprint = {2302.10672}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-10672.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-14745, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Combining General and Personalized Models for Epilepsy Detection with Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2303.14745}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.14745}, doi = {10.48550/ARXIV.2303.14745}, eprinttype = {arXiv}, eprint = {2303.14745}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-14745.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-18178, author = {Jingwei Sun and Zhixu Du and Anna Dai and Saleh Baghersalimi and Alireza Amirshahi and David Atienza and Yiran Chen}, title = {Robust and IP-Protecting Vertical Federated Learning against Unexpected Quitting of Parties}, journal = {CoRR}, volume = {abs/2303.18178}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.18178}, doi = {10.48550/ARXIV.2303.18178}, eprinttype = {arXiv}, eprint = {2303.18178}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-18178.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-16333, author = {Darong Huang and Luis Costero and Ali Pahlevan and Marina Zapater and David Atienza}, title = {CloudProphet: {A} Machine Learning-Based Performance Prediction for Public Clouds}, journal = {CoRR}, volume = {abs/2309.16333}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.16333}, doi = {10.48550/ARXIV.2309.16333}, eprinttype = {arXiv}, eprint = {2309.16333}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-16333.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-13000, author = {Alireza Amirshahi and Giovanni Ansaloni and David Atienza}, title = {Accelerator-driven Data Arrangement to Minimize Transformers Run-time on Multi-core Architectures}, journal = {CoRR}, volume = {abs/2312.13000}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.13000}, doi = {10.48550/ARXIV.2312.13000}, eprinttype = {arXiv}, eprint = {2312.13000}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-13000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/AtienzaBL22, author = {David Atienza and Concha Bielza and Pedro Larra{\~{n}}aga}, title = {PyBNesian: An extensible python package for Bayesian networks}, journal = {Neurocomputing}, volume = {504}, pages = {204--209}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.06.112}, doi = {10.1016/J.NEUCOM.2022.06.112}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/AtienzaBL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/AtienzaBL22, author = {David Atienza and Concha Bielza and Pedro Larra{\~{n}}aga}, title = {Semiparametric Bayesian networks}, journal = {Inf. Sci.}, volume = {584}, pages = {564--582}, year = {2022}, url = {https://doi.org/10.1016/j.ins.2021.10.074}, doi = {10.1016/J.INS.2021.10.074}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/AtienzaBL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/PonzinaMRDLAQA22, author = {Flavio Ponzina and Simone Machetti and Marco Rios and Beno{\^{\i}}t Walter Denkinger and Alexandre Levisse and Giovanni Ansaloni and Miguel Pe{\'{o}}n Quir{\'{o}}s and David Atienza}, title = {A Hardware/Software Co-Design Vision for Deep Learning at the Edge}, journal = {{IEEE} Micro}, volume = {42}, number = {6}, pages = {48--54}, year = {2022}, url = {https://doi.org/10.1109/MM.2022.3195617}, doi = {10.1109/MM.2022.3195617}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/PonzinaMRDLAQA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/ForooghifarACWC22, author = {Farnaz Forooghifar and Amir Aminifar and Leila Cammoun and Ilona Wisniewski and Carolina Ciumas and Philippe Ryvlin and David Atienza}, title = {A Self-Aware Epilepsy Monitoring System for Real-Time Epileptic Seizure Detection}, journal = {Mob. Networks Appl.}, volume = {27}, number = {2}, pages = {677--690}, year = {2022}, url = {https://doi.org/10.1007/s11036-019-01322-7}, doi = {10.1007/S11036-019-01322-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/ForooghifarACWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ZanettiAAA22, author = {Renato Zanetti and Adriana Arza and Amir Aminifar and David Atienza}, title = {Real-Time EEG-Based Cognitive Workload Monitoring on Wearable Devices}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {1}, pages = {265--277}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3092206}, doi = {10.1109/TBME.2021.3092206}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/ZanettiAAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/MomeniVRSA22, author = {Niloofar Momeni and Adriana Arza Vald{\'{e}}s and Jo{\~{a}}o Rodrigues and Carmen Sandi and David Atienza}, title = {{CAFS:} Cost-Aware Features Selection Method for Multimodal Stress Monitoring on Wearable Devices}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {3}, pages = {1072--1084}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3113593}, doi = {10.1109/TBME.2021.3113593}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/MomeniVRSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuangPZA22, author = {Darong Huang and Ali Pahlevan and Marina Zapater and David Atienza}, title = {{COCKTAIL:} Multicore Co-Optimization Framework With Proactive Reliability Management}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {2}, pages = {386--399}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3058959}, doi = {10.1109/TCAD.2021.3058959}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/HuangPZA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/IranfarZA22, author = {Arman Iranfar and Marina Zapater and David Atienza}, title = {Multiagent Reinforcement Learning for Hyperparameter Optimization of Convolutional Neural Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {4}, pages = {1034--1047}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3077193}, doi = {10.1109/TCAD.2021.3077193}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/IranfarZA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TerraneoLFZA22, author = {Federico Terraneo and Alberto Leva and William Fornaciari and Marina Zapater and David Atienza}, title = {3D-ICE 3.0: Efficient Nonlinear MPSoC Thermal Simulation With Pluggable Heat Sink Models}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {4}, pages = {1062--1075}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3074613}, doi = {10.1109/TCAD.2021.3074613}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TerraneoLFZA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuangPCZA22, author = {Darong Huang and Ali Pahlevan and Luis Costero and Marina Zapater and David Atienza}, title = {Reinforcement Learning-Based Joint Reliability and Performance Optimization for Hybrid-Cache Computing Servers}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {12}, pages = {5596--5609}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3158832}, doi = {10.1109/TCAD.2022.3158832}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/HuangPCZA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/BaghersalimiTAA22, author = {Saleh Baghersalimi and Tom{\'{a}}s Teijeiro and David Atienza and Amir Aminifar}, title = {Personalized Real-Time Federated Learning for Epileptic Seizure Detection}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {2}, pages = {898--909}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2021.3096127}, doi = {10.1109/JBHI.2021.3096127}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/BaghersalimiTAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/DellAgnolaJACMF22, author = {Fabio Dell'Agnola and Ping{-}Keng Jao and Adriana Arza and Ricardo Chavarriaga and Jos{\'{e}} del R. Mill{\'{a}}n and Dario Floreano and David Atienza}, title = {Machine-Learning Based Monitoring of Cognitive Workload in Rescue Missions With Drones}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {9}, pages = {4751--4762}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3186625}, doi = {10.1109/JBHI.2022.3186625}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/DellAgnolaJACMF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/HaghshenasPZMA22, author = {Kawsar Haghshenas and Ali Pahlevan and Marina Zapater and Siamak Mohammadi and David Atienza}, title = {{MAGNETIC:} Multi-Agent Machine Learning-Based Approach for Energy Efficient Dynamic Consolidation in Data Centers}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {15}, number = {1}, pages = {30--44}, year = {2022}, url = {https://doi.org/10.1109/TSC.2019.2919555}, doi = {10.1109/TSC.2019.2919555}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsc/HaghshenasPZMA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/PaleTA22, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {ExG Signal Feature Selection Using Hyperdimensional Computing Encoding}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {1688--1693}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995107}, doi = {10.1109/BIBM55620.2022.9995107}, timestamp = {Wed, 21 Aug 2024 07:35:25 +0200}, biburl = {https://dblp.org/rec/conf/bibm/PaleTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coins/PonzinaQAA22, author = {Flavio Ponzina and Miguel Pe{\'{o}}n Quir{\'{o}}s and Giovanni Ansaloni and David Atienza}, title = {An Accuracy-Driven Compression Methodology to Derive Efficient Codebook-Based CNNs}, booktitle = {{IEEE} International Conference on Omni-layer Intelligent Systems, {COINS} 2022, Barcelona, Spain, August 1-3, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/COINS54846.2022.9854986}, doi = {10.1109/COINS54846.2022.9854986}, timestamp = {Fri, 26 Aug 2022 16:30:17 +0200}, biburl = {https://dblp.org/rec/conf/coins/PonzinaQAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DenkingerQKAC22, author = {Beno{\^{\i}}t W. Denkinger and Miguel Pe{\'{o}}n Quir{\'{o}}s and Mario Konijnenburg and David Atienza and Francky Catthoor}, editor = {Rob Oshana}, title = {{VWR2A:} a very-wide-register reconfigurable-array architecture for low-power embedded devices}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {895--900}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530980}, doi = {10.1145/3489517.3530980}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DenkingerQKAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerrettiAMTRAP22, author = {Lorenzo Ferretti and Giovanni Ansaloni and Renaud Marquis and Tom{\'{a}}s Teijeiro and Philippe Ryvlin and David Atienza and Laura Pozzi}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {{INCLASS:} Incremental Classification Strategy for Self-Aware Epileptic Seizure Detection}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1449--1454}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774713}, doi = {10.23919/DATE54114.2022.9774713}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/FerrettiAMTRAP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/PaleTA22, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Exploration of Hyperdimensional Computing Strategies for Enhanced Learning on Epileptic Seizure Detection}, booktitle = {44th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland, United Kingdom, July 11-15, 2022}, pages = {4076--4082}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EMBC48229.2022.9870919}, doi = {10.1109/EMBC48229.2022.9870919}, timestamp = {Thu, 22 Sep 2022 19:31:35 +0200}, biburl = {https://dblp.org/rec/conf/embc/PaleTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/NajibiLAZA22, author = {Halima Najibi and Alexandre Levisse and Giovanni Ansaloni and Marina Zapater and David Atienza}, editor = {Ioannis Savidis and Avesta Sasan and Himanshu Thapliyal and Ronald F. DeMara}, title = {Thermal and Power-Aware Run-time Performance Management of 3D MPSoCs with Integrated Flow Cell Arrays}, booktitle = {{GLSVLSI} '22: Great Lakes Symposium on {VLSI} 2022, Irvine {CA} USA, June 6 - 8, 2022}, pages = {223--228}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526241.3530309}, doi = {10.1145/3526241.3530309}, timestamp = {Fri, 03 Jun 2022 08:45:20 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/NajibiLAZA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/RiosPALA22, author = {Marco Rios and Flavio Ponzina and Giovanni Ansaloni and Alexandre Levisse and David Atienza}, editor = {Ioannis Savidis and Avesta Sasan and Himanshu Thapliyal and Ronald F. DeMara}, title = {Error Resilient In-Memory Computing Architecture for {CNN} Inference on the Edge}, booktitle = {{GLSVLSI} '22: Great Lakes Symposium on {VLSI} 2022, Irvine {CA} USA, June 6 - 8, 2022}, pages = {249--254}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526241.3530351}, doi = {10.1145/3526241.3530351}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/RiosPALA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SimonPTA22, author = {William Andrew Simon and Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {HDTorch: Accelerating Hyperdimensional Computing with GP-GPUs for Design Space Exploration}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {83:1--83:8}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549475}, doi = {10.1145/3508352.3549475}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SimonPTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/MedinaKQZAA22, author = {Rafael Medina and Joshua Kein and Yasir Mahmood Qureshi and Marina Zapater and Giovanni Ansaloni and David Atienza}, title = {Full System Exploration of On-Chip Wireless Communication on Many-Core Architectures}, booktitle = {13th {IEEE} Latin America Symposium on Circuits and System, {LASCAS} 2022, Puerto Varas, Chile, March 1-4, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/LASCAS53948.2022.9893905}, doi = {10.1109/LASCAS53948.2022.9893905}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lascas/MedinaKQZAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/22/AldinucciABCCFGGGGL0OPPQSTZ22, author = {Marco Aldinucci and David Atienza and Federico Bolelli and M{\'{o}}nica Caballero and Iacopo Colonnelli and Jos{\'{e}} Flich and Jon Ander G{\'{o}}mez and David Gonz{\'{a}}lez and Costantino Grana and Marco Grangetto and Simone Leo and Pedro L{\'{o}}pez and Dana Oniga and Roberto Paredes and Luca Pireddu and Eduardo Qui{\~{n}}ones and Tatiana Silva and Enzo Tartaglione and Marina Zapater}, editor = {Edward Curry and S{\"{o}}ren Auer and Arne J. Berre and Andreas Metzger and Mar{\'{\i}}a S. P{\'{e}}rez and Sonja Zillner}, title = {The DeepHealth Toolkit: {A} Key European Free and Open-Source Software for Deep Learning and Computer Vision Ready to Exploit Heterogeneous {HPC} and Cloud Architectures}, booktitle = {Technologies and Applications for Big Data Value}, pages = {183--202}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-78307-5\_9}, doi = {10.1007/978-3-030-78307-5\_9}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/22/AldinucciABCCFGGGGL0OPPQSTZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/AtienzaBDL22, author = {David Atienza and Concha Bielza and Javier Diaz{-}Rozo and Pedro Larra{\~{n}}aga}, title = {Anomaly Detection with Laser Heat Treatment Thermal Videos}, publisher = {{IEEE} DataPort}, year = {2022}, month = may, howpublished = {\url{https://doi.org/10.21227/7zbf-se57}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/7zbf-se57}, doi = {10.21227/7ZBF-SE57}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/AtienzaBDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-09759, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Exploration of Hyperdimensional Computing Strategies for Enhanced Learning on Epileptic Seizure Detection}, journal = {CoRR}, volume = {abs/2201.09759}, year = {2022}, url = {https://arxiv.org/abs/2201.09759}, eprinttype = {arXiv}, eprint = {2201.09759}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-09759.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-05009, author = {Beno{\^{\i}}t Walter Denkinger and Miguel Pe{\'{o}}n Quir{\'{o}}s and Mario Konijnenburg and David Atienza and Francky Catthoor}, title = {{VWR2A:} {A} Very-Wide-Register Reconfigurable-Array Architecture for Low-Power Embedded Devices}, journal = {CoRR}, volume = {abs/2204.05009}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.05009}, doi = {10.48550/ARXIV.2204.05009}, eprinttype = {arXiv}, eprint = {2204.05009}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-05009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07654, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Hyperdimensional computing encoding for feature selection on the use case of epileptic seizure detection}, journal = {CoRR}, volume = {abs/2205.07654}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07654}, doi = {10.48550/ARXIV.2205.07654}, eprinttype = {arXiv}, eprint = {2205.07654}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07654.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-10042, author = {Joshua Klein and Irem Boybat and Yasir Mahmood Qureshi and Martino Dazzi and Alexandre Levisse and Giovanni Ansaloni and Marina Zapater and Abu Sebastian and David Atienza}, title = {{ALPINE:} Analog In-Memory Acceleration with Tight Processor Integration for Deep Learning}, journal = {CoRR}, volume = {abs/2205.10042}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.10042}, doi = {10.48550/ARXIV.2205.10042}, eprinttype = {arXiv}, eprint = {2205.10042}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-10042.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04746, author = {William Andrew Simon and Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {HDTorch: Accelerating Hyperdimensional Computing with GP-GPUs for Design Space Exploration}, journal = {CoRR}, volume = {abs/2206.04746}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04746}, doi = {10.48550/ARXIV.2206.04746}, eprinttype = {arXiv}, eprint = {2206.04746}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04746.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-00885, author = {Saleh Baghersalimi and Alireza Amirshahi and Farnaz Forooghifar and Tom{\'{a}}s Teijeiro and Amir Aminifar and David Atienza}, title = {Many-to-One Knowledge Distillation of Real-Time Epileptic Seizure Detection for Low-Power Wearable Internet of Things Systems}, journal = {CoRR}, volume = {abs/2208.00885}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.00885}, doi = {10.48550/ARXIV.2208.00885}, eprinttype = {arXiv}, eprint = {2208.00885}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-00885.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-04360, author = {Lara Orlandic and Tom{\'{a}}s Teijeiro and David Atienza}, title = {A Semi-Supervised Algorithm for Improving the Consistency of Crowdsourced Datasets: The {COVID-19} Case Study on Respiratory Disorder Classification}, journal = {CoRR}, volume = {abs/2209.04360}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.04360}, doi = {10.48550/ARXIV.2209.04360}, eprinttype = {arXiv}, eprint = {2209.04360}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-04360.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-06108, author = {Marco Rios and Flavio Ponzina and Alexandre Levisse and Giovanni Ansaloni and David Atienza}, title = {Bit-Line Computing for {CNN} Accelerators Co-Design in Edge {AI} Inference}, journal = {CoRR}, volume = {abs/2209.06108}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.06108}, doi = {10.48550/ARXIV.2209.06108}, eprinttype = {arXiv}, eprint = {2209.06108}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-06108.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-09358, author = {Pengbo Yu and Alexandre Levisse and Mohit Gupta and Timon Evenblij and Giovanni Ansaloni and Francky Catthoor and David Atienza}, title = {A Soft {SIMD} Based Energy Efficient Computing Microarchitecture}, journal = {CoRR}, volume = {abs/2212.09358}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.09358}, doi = {10.48550/ARXIV.2212.09358}, eprinttype = {arXiv}, eprint = {2212.09358}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-09358.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/GabeffTZCRRA21, author = {Valentin Gabeff and Tom{\'{a}}s Teijeiro and Marina Zapater and Leila Cammoun and Sylvain Rheims and Philippe Ryvlin and David Atienza}, title = {Interpreting deep learning models for epileptic seizure detection on {EEG} signals}, journal = {Artif. Intell. Medicine}, volume = {117}, pages = {102084}, year = {2021}, url = {https://doi.org/10.1016/j.artmed.2021.102084}, doi = {10.1016/J.ARTMED.2021.102084}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/GabeffTZCRRA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/CanalHTCMRFZAOP20, author = {Ramon Canal and Carles Hern{\'{a}}ndez and Rafael Tornero and Alessandro Cilardo and Giuseppe Massari and Federico Reghenzani and William Fornaciari and Marina Zapater and David Atienza and Ariel Oleksiak and Wojciech Piatek and Jaume Abella}, title = {Predictive Reliability and Fault Management in Exascale Systems: State of the Art and Perspectives}, journal = {{ACM} Comput. Surv.}, volume = {53}, number = {5}, pages = {95:1--95:32}, year = {2021}, url = {https://doi.org/10.1145/3403956}, doi = {10.1145/3403956}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/CanalHTCMRFZAOP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MasinelliDVA21, author = {Giulio Masinelli and Fabio Dell'Agnola and Adriana Arza Vald{\'{e}}s and David Atienza}, title = {{SPARE:} {A} Spectral Peak Recovery Algorithm for {PPG} Signals Pulsewave Reconstruction in Multimodal Wearable Devices}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2725}, year = {2021}, url = {https://doi.org/10.3390/s21082725}, doi = {10.3390/S21082725}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MasinelliDVA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/QureshiSZOA21, author = {Yasir Mahmood Qureshi and William Andrew Simon and Marina Zapater and Katzalin Olcoz and David Atienza}, title = {Gem5-X: {A} Many-core Heterogeneous Simulation Platform for Architectural Exploration and Optimization}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {18}, number = {4}, pages = {44:1--44:27}, year = {2021}, url = {https://doi.org/10.1145/3461662}, doi = {10.1145/3461662}, timestamp = {Tue, 11 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/QureshiSZOA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/DellAgnolaPMAA21, author = {Fabio Dell'Agnola and Una Pale and Rodrigo Marino and Adriana Arza and David Atienza}, title = {MBioTracker: Multimodal Self-Aware Bio-Monitoring Wearable System for Online Workload Detection}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {5}, pages = {994--1007}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3110317}, doi = {10.1109/TBCAS.2021.3110317}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/DellAgnolaPMAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/PascualAAARW21, author = {Dami{\'{a}}n Pascual and Alireza Amirshahi and Amir Aminifar and David Atienza and Philippe Ryvlin and Roger Wattenhofer}, title = {EpilepsyGAN: Synthetic Epileptic Brain Activities With Privacy Preservation}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {68}, number = {8}, pages = {2435--2446}, year = {2021}, url = {https://doi.org/10.1109/TBME.2020.3042574}, doi = {10.1109/TBME.2020.3042574}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/PascualAAARW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PonzinaQBA21, author = {Flavio Ponzina and Miguel Pe{\'{o}}n Quir{\'{o}}s and Andreas Burg and David Atienza}, title = {E\({}^{\mbox{2}}\)CNNs: Ensembles of Convolutional Neural Networks to Improve Robustness Against Memory Errors in Edge-Computing Devices}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {8}, pages = {1199--1212}, year = {2021}, url = {https://doi.org/10.1109/TC.2021.3061086}, doi = {10.1109/TC.2021.3061086}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/PonzinaQBA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/QureshiHZOGPA21, author = {Yasir Mahmood Qureshi and Jose Manuel Herruzo and Marina Zapater and Katzalin Olcoz and Sonia Gonzalez{-}Navarro and Oscar G. Plata and David Atienza}, title = {Genome Sequence Alignment - Design Space Exploration for Optimal Performance and Energy Architectures}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {12}, pages = {2218--2233}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.3041402}, doi = {10.1109/TC.2020.3041402}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/QureshiHZOGPA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GuptaA21, author = {Rajesh K. Gupta and David Atienza}, title = {Editorial}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {6}, pages = {1009}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2021.3077341}, doi = {10.1109/TCAD.2021.3077341}, timestamp = {Fri, 28 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GuptaA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/GiovanniVQAA21, author = {Elisabetta De Giovanni and Adriana Arza Vald{\'{e}}s and Miguel Pe{\'{o}}n Quir{\'{o}}s and Amir Aminifar and David Atienza}, title = {Real-Time Personalized Atrial Fibrillation Prediction on Multi-Core Wearable Sensors}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {9}, number = {4}, pages = {1654--1666}, year = {2021}, url = {https://doi.org/10.1109/TETC.2020.3014847}, doi = {10.1109/TETC.2020.3014847}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/GiovanniVQAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thms/JaoCDAAM21, author = {Ping{-}Keng Jao and Ricardo Chavarriaga and Fabio Dell'Agnola and Adriana Arza and David Atienza and Jos{\'{e}} del R. Mill{\'{a}}n}, title = {{EEG} Correlates of Difficulty Levels in Dynamical Transitions of Simulated Flying and Mapping Tasks}, journal = {{IEEE} Trans. Hum. Mach. Syst.}, volume = {51}, number = {2}, pages = {99--108}, year = {2021}, url = {https://doi.org/10.1109/THMS.2020.3038339}, doi = {10.1109/THMS.2020.3038339}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thms/JaoCDAAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/PahlevanZCA21, author = {Ali Pahlevan and Marina Zapater and Ayse K. Coskun and David Atienza}, title = {ECOGreen: Electricity Cost Optimization for Green Datacenters in Emerging Power Markets}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {6}, number = {2}, pages = {289--305}, year = {2021}, url = {https://doi.org/10.1109/TSUSC.2020.2983571}, doi = {10.1109/TSUSC.2020.2983571}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsusc/PahlevanZCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/ForooghifarATAJ21, author = {Farnaz Forooghifar and Amin Aminifar and Tom{\'{a}}s Teijeiro and Amir Aminifar and Jesper Jeppesen and S{\'{a}}ndor Beniczky and David Atienza}, title = {Self-Aware Anomaly-Detection for Epilepsy Monitoring on Low-Power Wearable Electrocardiographic Devices}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458555}, doi = {10.1109/AICAS51828.2021.9458555}, timestamp = {Fri, 25 Jun 2021 11:56:02 +0200}, biburl = {https://dblp.org/rec/conf/aicas/ForooghifarATAJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/OrlandicVA21, author = {Lara Orlandic and Adriana Arza Vald{\'{e}}s and David Atienza}, editor = {Jo{\~{a}}o Rafael Almeida and Alejandro Rodr{\'{\i}}guez Gonz{\'{a}}lez and Linlin Shen and Bridget Kane and Agma J. M. Traina and Paolo Soda and Jos{\'{e}} Lu{\'{\i}}s Oliveira}, title = {Wearable and Continuous Prediction of Passage of Time Perception for Monitoring Mental Health}, booktitle = {34th {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2021, Aveiro, Portugal, June 7-9, 2021}, pages = {444--449}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CBMS52027.2021.00050}, doi = {10.1109/CBMS52027.2021.00050}, timestamp = {Tue, 11 Jan 2022 08:08:22 +0100}, biburl = {https://dblp.org/rec/conf/cbms/OrlandicVA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/KleinLAAZDKBSR021, author = {Joshua Klein and Alexandre Levisse and Giovanni Ansaloni and David Atienza and Marina Zapater and Martino Dazzi and Geethan Karunaratne and Irem Boybat and Abu Sebastian and Davide Rossi and Francesco Conti and Elana Pereira de Santana and Peter Haring Bol{\'{\i}}var and Mohamed Saeed and Renato Negra and Zhenxing Wang and Kun{-}Ta Wang and Max Christian Lemme and Akshay Jain and Robert Guirado and Hamidreza Taghvaee and Sergi Abadal}, editor = {Maurizio Palesi and Antonino Tumeo and Georgios I. Goumas and Carmen G. Almud{\'{e}}ver}, title = {Architecting more than Moore: wireless plasticity for massive heterogeneous computer architectures (WiPLASH)}, booktitle = {{CF} '21: Computing Frontiers Conference, Virtual Event, Italy, May 11-13, 2021}, pages = {191--193}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3457388.3458859}, doi = {10.1145/3457388.3458859}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/KleinLAAZDKBSR021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SimonRLAZA21, author = {William Andrew Simon and Val{\'{e}}rian Ray and Alexandre Levisse and Giovanni Ansaloni and Marina Zapater and David Atienza}, title = {Exact Neural Networks from Inexact Multipliers via Fibonacci Weight Encoding}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {805--810}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586245}, doi = {10.1109/DAC18074.2021.9586245}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SimonRLAZA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RiosPALA21, author = {Marco Rios and Flavio Ponzina and Giovanni Ansaloni and Alexandre Levisse and David Atienza}, title = {Running Efficiently CNNs on the Edge Thanks to Hybrid {SRAM-RRAM} In-Memory Computing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1881--1886}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474233}, doi = {10.23919/DATE51398.2021.9474233}, timestamp = {Wed, 21 Jul 2021 10:04:34 +0200}, biburl = {https://dblp.org/rec/conf/date/RiosPALA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/IranfarAA21, author = {Arman Iranfar and Adriana Arza and David Atienza}, title = {ReLearn: {A} Robust Machine Learning Framework in Presence of Missing Data for Multimodal Stress Detection from Physiological Signals\({}^{\mbox{*}}\)}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {535--541}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630040}, doi = {10.1109/EMBC46164.2021.9630040}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/IranfarAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/PaleMAA21, author = {Una Pale and Nathan M{\"{u}}ller and Adriana Arza and David Atienza}, title = {ReBeatICG: Real-time Low-Complexity Beat-to-beat Impedance Cardiogram Delineation Algorithm}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {5618--5624}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630170}, doi = {10.1109/EMBC46164.2021.9630170}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/PaleMAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/PaleTA21, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Systematic Assessment of Hyperdimensional Computing for Epileptic Seizure Detection}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {6361--6367}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9629648}, doi = {10.1109/EMBC46164.2021.9629648}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/PaleTA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/PonzinaRALA21, author = {Flavio Ponzina and Marco Rios and Giovanni Ansaloni and Alexandre Levisse and David Atienza}, title = {A Flexible In-Memory Computing Architecture for Heterogeneously Quantized CNNs}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2021, Tampa, FL, USA, July 7-9, 2021}, pages = {164--169}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISVLSI51109.2021.00039}, doi = {10.1109/ISVLSI51109.2021.00039}, timestamp = {Mon, 30 Aug 2021 15:00:20 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/PonzinaRALA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/WeikertSAM21, author = {Dominik Weikert and Christoph Steup and David Atienza and Sanaz Mostaghim}, title = {Mobility-Aware Multi-Objective Task Allocation for Wireless Sensor Networks}, booktitle = {{IEEE} Symposium Series on Computational Intelligence, {SSCI} 2021, Orlando, FL, USA, December 5-7, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SSCI50451.2021.9660109}, doi = {10.1109/SSCI50451.2021.9660109}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ssci/WeikertSAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/GiovanniTMMA21, author = {Elisabetta De Giovanni and Tom{\'{a}}s Teijeiro and David Meier and Gr{\'{e}}goire P. Millet and David Atienza}, title = {{ECG} in High Intensity Exercise Dataset (Version 1)}, publisher = {Zenodo}, year = {2021}, month = nov, howpublished = {\url{https://doi.org/10.5281/zenodo.5727800}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.5727800}, doi = {10.5281/ZENODO.5727800}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/GiovanniTMMA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/MasinelliDVA21, author = {Giulio Masinelli and Fabio Dell'Agnola and Adriana Arza Vald{\'{e}}s and David Atienza}, title = {Synthetic realistic noise-corrupted {PPG} database and noise generator for the evaluation of {PPG} denoising and delineation algorithms (Version 1)}, publisher = {Zenodo}, year = {2021}, month = jun, howpublished = {\url{https://doi.org/10.5281/zenodo.4981140}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.4981140}, doi = {10.5281/ZENODO.4981140}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/MasinelliDVA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/OrlandicTA21, author = {Lara Orlandic and Tom{\'{a}}s Teijeiro and David Atienza}, title = {The {COUGHVID} crowdsourcing dataset: {A} corpus for the study of large-scale cough analysis algorithms (Version 2.0)}, publisher = {Zenodo}, year = {2021}, month = feb, howpublished = {\url{https://doi.org/10.5281/zenodo.4498364}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.4498364}, doi = {10.5281/ZENODO.4498364}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/OrlandicTA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/OrlandicTA21a, author = {Lara Orlandic and Tom{\'{a}}s Teijeiro and David Atienza}, title = {The {COUGHVID} crowdsourcing dataset: {A} corpus for the study of large-scale cough analysis algorithms (Version 3.0)}, publisher = {Zenodo}, year = {2021}, month = feb, howpublished = {\url{https://doi.org/10.5281/zenodo.7024894}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.7024894}, doi = {10.5281/ZENODO.7024894}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/OrlandicTA21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/PaleMMVA21, author = {Una Pale and David Meier and Olivier M{\"{u}}ller and Adriana Arza Vald{\'{e}}s and David Atienza Alonso}, title = {ReBeatICG database (Version v20210503-01)}, publisher = {Zenodo}, year = {2021}, month = apr, howpublished = {\url{https://doi.org/10.5281/zenodo.4725433}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.4725433}, doi = {10.5281/ZENODO.4725433}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/PaleMMVA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/ZanoliCA21, author = {Silvio Zanoli and Tom{\'{a}}s Teijeiro Campo and David Atienza Alonso}, title = {Physiological signals labeler (Version 0.4.1)}, publisher = {Zenodo}, year = {2021}, month = apr, howpublished = {\url{https://doi.org/10.5281/zenodo.4724843}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.4724843}, doi = {10.5281/ZENODO.4724843}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/ZanoliCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-03044, author = {Giovanni Agosta and William Fornaciari and David Atienza and Ramon Canal and Alessandro Cilardo and Jos{\'{e}} Flich Cardo and Carles Hern{\'{a}}ndez Luz and Michal Kulczewski and Giuseppe Massari and Rafael Tornero Gavil{\'{a}} and Marina Zapater}, title = {The {RECIPE} Approach to Challenges in Deeply Heterogeneous High Performance Systems}, journal = {CoRR}, volume = {abs/2103.03044}, year = {2021}, url = {https://arxiv.org/abs/2103.03044}, eprinttype = {arXiv}, eprint = {2103.03044}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-03044.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-14278, author = {Arman Iranfar and Adriana Arza and David Atienza}, title = {ReLearn: {A} Robust Machine Learning Framework in Presence of Missing Data for Multimodal Stress Detection from Physiological Signals}, journal = {CoRR}, volume = {abs/2104.14278}, year = {2021}, url = {https://arxiv.org/abs/2104.14278}, eprinttype = {arXiv}, eprint = {2104.14278}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-14278.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-00934, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Systematic Assessment of Hyperdimensional Computing for Epileptic Seizure Detection}, journal = {CoRR}, volume = {abs/2105.00934}, year = {2021}, url = {https://arxiv.org/abs/2105.00934}, eprinttype = {arXiv}, eprint = {2105.00934}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-00934.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-02808, author = {Lara Orlandic and Adriana Arza Vald{\'{e}}s and David Atienza}, title = {Wearable and Continuous Prediction of Passage of Time Perception for Monitoring Mental Health}, journal = {CoRR}, volume = {abs/2105.02808}, year = {2021}, url = {https://arxiv.org/abs/2105.02808}, eprinttype = {arXiv}, eprint = {2105.02808}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-02808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-03008, author = {David Atienza and Concha Bielza and Pedro Larra{\~{n}}aga}, title = {Semiparametric Bayesian Networks}, journal = {CoRR}, volume = {abs/2109.03008}, year = {2021}, url = {https://arxiv.org/abs/2109.03008}, eprinttype = {arXiv}, eprint = {2109.03008}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-03008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-08463, author = {Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {Multi-Centroid Hyperdimensional Computing Approach for Epileptic Seizure Detection}, journal = {CoRR}, volume = {abs/2111.08463}, year = {2021}, url = {https://arxiv.org/abs/2111.08463}, eprinttype = {arXiv}, eprint = {2111.08463}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-08463.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-04369, author = {Elisabetta De Giovanni and Tom{\'{a}}s Teijeiro and Gr{\'{e}}goire P. Millet and David Atienza}, title = {Adaptive R-Peak Detection on Wearable {ECG} Sensors for High-Intensity Exercise}, journal = {CoRR}, volume = {abs/2112.04369}, year = {2021}, url = {https://arxiv.org/abs/2112.04369}, eprinttype = {arXiv}, eprint = {2112.04369}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-04369.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LevisseBRAMNMVA20, author = {Alexandre Levisse and Marc Bocquet and Marco Rios and Mouhamad Alayan and Mathieu Moreau and Etienne Nowak and Gabriel Molas and Elisa Vianello and David Atienza and Jean{-}Michel Portal}, title = {Write Termination Circuits for {RRAM:} {A} Holistic Approach From Technology to Application Considerations}, journal = {{IEEE} Access}, volume = {8}, pages = {109297--109308}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3000867}, doi = {10.1109/ACCESS.2020.3000867}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LevisseBRAMNMVA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DenkingerPBBBRQ20, author = {Beno{\^{\i}}t W. Denkinger and Flavio Ponzina and Soumya Basu and Andrea Bonetti and Szabolcs Bal{\'{a}}si and Martino Ruggiero and Miguel Pe{\'{o}}n Quir{\'{o}}s and Davide Rossi and Andreas Burg and David Atienza}, title = {Impact of Memory Voltage Scaling on Accuracy and Resilience of Deep Learning Based Edge Devices}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {84--92}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2947282}, doi = {10.1109/MDAT.2019.2947282}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DenkingerPBBBRQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MasinelliFAAA20, author = {Giulio Masinelli and Farnaz Forooghifar and Adriana Arza and David Atienza and Amir Aminifar}, title = {Self-Aware Machine Learning for Multimodal Workload Monitoring during Manual Labor on Edge Wearable Sensors}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {58--66}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2977070}, doi = {10.1109/MDAT.2020.2977070}, timestamp = {Tue, 30 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MasinelliFAAA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SurrelTAAC20, author = {Gr{\'{e}}goire Surrel and Tom{\'{a}}s Teijeiro and Amir Aminifar and David Atienza and Matthieu Chevrier}, title = {Event-Triggered Sensing for High-Quality and Low-Power Cardiovascular Monitoring Systems}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {85--93}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2951126}, doi = {10.1109/MDAT.2019.2951126}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SurrelTAAC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/QiuA20, author = {Qinru Qiu and David Atienza}, title = {{ISLPED} 2020: An Experience of Virtual Conference during {COVID-19} Time}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {96--98}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3021993}, doi = {10.1109/MDAT.2020.3021993}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/QiuA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/AgostaFACCCHKMG20, author = {Giovanni Agosta and William Fornaciari and David Atienza and Ramon Canal and Alessandro Cilardo and Jos{\'{e}} Flich Cardo and Carles Hern{\'{a}}ndez and Michal Kulczewski and Giuseppe Massari and Rafael Tornero Gavil{\'{a}} and Marina Zapater}, title = {The {RECIPE} approach to challenges in deeply heterogeneous high performance systems}, journal = {Microprocess. Microsystems}, volume = {77}, pages = {103185}, year = {2020}, url = {https://doi.org/10.1016/j.micpro.2020.103185}, doi = {10.1016/J.MICPRO.2020.103185}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/AgostaFACCCHKMG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SimonQRLZA20, author = {William Andrew Simon and Yasir Mahmood Qureshi and Marco Rios and Alexandre Levisse and Marina Zapater and David Atienza}, title = {{BLADE:} An in-Cache Computing Architecture for Edge Devices}, journal = {{IEEE} Trans. Computers}, volume = {69}, number = {9}, pages = {1349--1363}, year = {2020}, url = {https://doi.org/10.1109/TC.2020.2972528}, doi = {10.1109/TC.2020.2972528}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SimonQRLZA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GiovanniMDMQBRB20, author = {Elisabetta De Giovanni and Fabio Montagna and Beno{\^{\i}}t W. Denkinger and Simone Machetti and Miguel Pe{\'{o}}n Quir{\'{o}}s and Simone Benatti and Davide Rossi and Luca Benini and David Atienza}, title = {Modular Design and Optimization of Biomedical Applications for Ultralow Power Heterogeneous Platforms}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {11}, pages = {3821--3832}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.3012652}, doi = {10.1109/TCAD.2020.3012652}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/GiovanniMDMQBRB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/CosteroIZIOA20, author = {Luis Costero and Arman Iranfar and Marina Zapater and Francisco D. Igual and Katzalin Olcoz and David Atienza}, title = {Resource Management for Power-Constrained {HEVC} Transcoding Using Reinforcement Learning}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {31}, number = {12}, pages = {2834--2850}, year = {2020}, url = {https://doi.org/10.1109/TPDS.2020.3004735}, doi = {10.1109/TPDS.2020.3004735}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/CosteroIZIOA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/DuchQWLBCA20, author = {Loris Duch and Miguel Pe{\'{o}}n Quir{\'{o}}s and Pieter Weckx and Alexandre Levisse and Rub{\'{e}}n Braojos and Francky Catthoor and David Atienza}, title = {Analysis of Functional Errors Produced by Long-Term Workload-Dependent {BTI} Degradation in Ultralow Power Processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {10}, pages = {2122--2133}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2020.3003471}, doi = {10.1109/TVLSI.2020.3003471}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/DuchQWLBCA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TuliRLA20, author = {Shikhar Tuli and Marco Rios and Alexandre Levisse and David Atienza}, title = {{RRAM-VAC:} {A} Variability-Aware Controller for RRAM-based Memory Architectures}, booktitle = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2020, Beijing, China, January 13-16, 2020}, pages = {181--186}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASP-DAC47756.2020.9045220}, doi = {10.1109/ASP-DAC47756.2020.9045220}, timestamp = {Mon, 30 Mar 2020 12:39:40 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TuliRLA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZanoliTMA20, author = {Silvio Zanoli and Tom{\'{a}}s Teijeiro and Fabio Montagna and David Atienza}, title = {An Event-Based System for Low-Power {ECG} {QRS} Complex Detection}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {258--263}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116498}, doi = {10.23919/DATE48585.2020.9116498}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZanoliTMA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IranfarTCZFA20, author = {Arman Iranfar and Federico Terraneo and Gabor Csordas and Marina Zapater and William Fornaciari and David Atienza}, title = {Dynamic Thermal Management with Proactive Fan Speed Control Through Reinforcement Learning}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {418--423}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116510}, doi = {10.23919/DATE48585.2020.9116510}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/IranfarTCZFA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ZanettiAA20, author = {Renato Zanetti and Amir Aminifar and David Atienza}, title = {Robust Epileptic Seizure Detection on Wearable Systems with Reduced False-Alarm Rate}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {4248--4251}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9175339}, doi = {10.1109/EMBC44109.2020.9175339}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/ZanettiAA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/NajibiLZAA20, author = {Halima Najibi and Alexandre Levisse and Marina Zapater and Mohamed M. Sabry Aly and David Atienza}, editor = {Tinoosh Mohsenin and Weisheng Zhao and Yiran Chen and Onur Mutlu}, title = {Towards Deeply Scaled 3D MPSoCs with Integrated Flow Cell Array Technology}, booktitle = {{GLSVLSI} '20: Great Lakes Symposium on {VLSI} 2020, Virtual Event, China, September 7-9, 2020}, pages = {513--518}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3386263.3406923}, doi = {10.1145/3386263.3406923}, timestamp = {Mon, 04 Jul 2022 14:19:34 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/NajibiLZAA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/DellAgnolaMAA20, author = {Fabio Dell'Agnola and Niloofar Momeni and Adriana Arza and David Atienza}, editor = {Jessie Y. C. Chen and Gino Fragomeni}, title = {Cognitive Workload Monitoring in Virtual Reality Based Rescue Missions with Drones}, booktitle = {Virtual, Augmented and Mixed Reality. Design and Interaction - 12th International Conference, {VAMR} 2020, Held as Part of the 22nd {HCI} International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12190}, pages = {397--409}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49695-1\_26}, doi = {10.1007/978-3-030-49695-1\_26}, timestamp = {Tue, 30 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/DellAgnolaMAA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LevisseRQA20, author = {Alexandre Levisse and Marco Rios and Miguel Pe{\'{o}}n Quir{\'{o}}s and David Atienza}, title = {Exploration Methodology for BTI-Induced Failures on RRAM-Based Edge {AI} Systems}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {1549--1552}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054524}, doi = {10.1109/ICASSP40776.2020.9054524}, timestamp = {Thu, 23 Jul 2020 16:19:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LevisseRQA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThomasAA20, author = {Anthony Hitchcock Thomas and Amir Aminifar and David Atienza}, title = {Noise-Resilient and Interpretable Epileptic Seizure Detection}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180429}, doi = {10.1109/ISCAS45731.2020.9180429}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ThomasAA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/NajibiHLZVA20, author = {Halima Najibi and Jorge Hunter and Alexandre Levisse and Marina Zapater and Miroslav Vasic and David Atienza}, title = {Enabling Optimal Power Generation of Flow Cell Arrays in 3D MPSoCs with On-Chip Switched Capacitor Converters}, booktitle = {2020 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2020, Limassol, Cyprus, July 6-8, 2020}, pages = {18--23}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISVLSI49217.2020.00014}, doi = {10.1109/ISVLSI49217.2020.00014}, timestamp = {Wed, 12 Aug 2020 14:38:21 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/NajibiHLZVA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/SimonLZA20, author = {William Andrew Simon and Alexandre Levisse and Marina Zapater and David Atienza}, title = {A Hybrid Cache {HW/SW} Stack for Optimizing Neural Network Runtime, Power and Endurance}, booktitle = {28th {IFIP/IEEE} International Conference on Very Large Scale Integration, {VLSI-SOC} 2020, Salt Lake City, UT, USA, October 5-7, 2020}, pages = {94--99}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSI-SOC46417.2020.9344087}, doi = {10.1109/VLSI-SOC46417.2020.9344087}, timestamp = {Wed, 17 Feb 2021 12:09:06 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/SimonLZA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/AtienzaMS20, author = {David Atienza and Subhasish Mitra and Manan Suri}, title = {Message from the Technical Program Co-Chairs}, booktitle = {33rd International Conference on {VLSI} Design and 19th International Conference on Embedded Systems, {VLSID} 2020, Bangalore, India, January 4-8, 2020}, pages = {i}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSID49098.2020.00006}, doi = {10.1109/VLSID49098.2020.00006}, timestamp = {Mon, 14 Nov 2022 15:28:08 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/AtienzaMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/2020, editor = {David Atienza Alonso and Qinru Qiu and Sherief Reda and Yiran Chen}, title = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics and Design, Boston, Massachusetts, August 10-12, 2020}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3370748}, doi = {10.1145/3370748}, isbn = {978-1-4503-7053-0}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/OrlandicTA20, author = {Lara Orlandic and Tom{\'{a}}s Teijeiro and David Atienza}, title = {The {COUGHVID} crowdsourcing dataset: {A} corpus for the study of large-scale cough analysis algorithms (Version 1.0)}, publisher = {Zenodo}, year = {2020}, month = sep, howpublished = {\url{https://doi.org/10.5281/zenodo.4048312}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.4048312}, doi = {10.5281/ZENODO.4048312}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/OrlandicTA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-11644, author = {Lara Orlandic and Tom{\'{a}}s Teijeiro and David Atienza}, title = {The {COUGHVID} crowdsourcing dataset: {A} corpus for the study of large-scale cough analysis algorithms}, journal = {CoRR}, volume = {abs/2009.11644}, year = {2020}, url = {https://arxiv.org/abs/2009.11644}, eprinttype = {arXiv}, eprint = {2009.11644}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-11644.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-04107, author = {Sergi Abadal and Robert Guirado and Hamidreza Taghvaee and Akshay Jain and Elana Pereira de Santana and Peter Haring Bol{\'{\i}}var and Mohamed Saeed Elsayed and Renato Negra and Zhenxing Wang and Kun{-}Ta Wang and Max Christian Lemme and Joshua Klein and Marina Zapater and Alexandre Levisse and David Atienza and Davide Rossi and Francesco Conti and Martino Dazzi and Geethan Karunaratne and Irem Boybat and Abu Sebastian}, title = {Graphene-based Wireless Agile Interconnects for Massive Heterogeneous Multi-chip Processors}, journal = {CoRR}, volume = {abs/2011.04107}, year = {2020}, url = {https://arxiv.org/abs/2011.04107}, eprinttype = {arXiv}, eprint = {2011.04107}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-04107.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-11933, author = {Valentin Gabeff and Tom{\'{a}}s Teijeiro and Marina Zapater and Leila Cammoun and Sylvain Rheims and Philippe Ryvlin and David Atienza}, title = {Interpreting Deep Learning Models for Epileptic Seizure Detection on {EEG} signals}, journal = {CoRR}, volume = {abs/2012.11933}, year = {2020}, url = {https://arxiv.org/abs/2012.11933}, eprinttype = {arXiv}, eprint = {2012.11933}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-11933.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/DuchBQAPA19, author = {Loris Duch and Soumya Basu and Miguel Pe{\'{o}}n Quir{\'{o}}s and Giovanni Ansaloni and Laura Pozzi and David Atienza}, title = {i-DPs {CGRA:} An Interleaved-Datapaths Reconfigurable Accelerator for Embedded Bio-Signal Processing}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {11}, number = {2}, pages = {50--53}, year = {2019}, url = {https://doi.org/10.1109/LES.2018.2849267}, doi = {10.1109/LES.2018.2849267}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/DuchBQAPA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ForooghifarAA19, author = {Farnaz Forooghifar and Amir Aminifar and David Atienza}, title = {Resource-Aware Distributed Epilepsy Monitoring Using Self-Awareness From Edge to Cloud}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {13}, number = {6}, pages = {1338--1350}, year = {2019}, url = {https://doi.org/10.1109/TBCAS.2019.2951222}, doi = {10.1109/TBCAS.2019.2951222}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/ForooghifarAA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SimonGLZA19, author = {William Andrew Simon and Juan Galicia and Alexandre Levisse and Marina Zapater and David Atienza}, title = {A Fast, Reliable and Wide-Voltage-Range In-Memory Computing Architecture}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {83}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317741}, doi = {10.1145/3316781.3317741}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SimonGLZA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IranfarPZA19, author = {Arman Iranfar and Ali Pahlevan and Marina Zapater and David Atienza}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Enhancing Two-Phase Cooling Efficiency through Thermal-Aware Workload Mapping for Power-Hungry Servers}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {66--71}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715033}, doi = {10.23919/DATE.2019.8715033}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/IranfarPZA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CosteroIZIOA19, author = {Luis Costero and Arman Iranfar and Marina Zapater and Francisco D. Igual and Katzalin Olcoz and David Atienza}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {{MAMUT:} Multi-Agent Reinforcement Learning for Efficient Real-Time Multi-User Video Transcoding}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {558--563}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715256}, doi = {10.23919/DATE.2019.8715256}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CosteroIZIOA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PascualAA19, author = {Damian Pascual and Amir Aminifar and David Atienza}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {A Self-Learning Methodology for Epileptic Seizure Detection with Minimally-Supervised Edge Labeling}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {764--769}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714995}, doi = {10.23919/DATE.2019.8714995}, timestamp = {Mon, 20 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PascualAA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerrettiAPAACR19, author = {Lorenzo Ferretti and Giovanni Ansaloni and Laura Pozzi and Amir Aminifar and David Atienza and Leila Cammoun and Philippe Ryvlin}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Tailoring {SVM} Inference for Resource-Efficient ECG-Based Epilepsy Monitors}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {948--951}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714858}, doi = {10.23919/DATE.2019.8714858}, timestamp = {Mon, 20 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FerrettiAPAACR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/AgostaFACCFHKMG19, author = {Giovanni Agosta and William Fornaciari and David Atienza and Ramon Canal and Alessandro Cilardo and Jos{\'{e}} Flich and Carles Hern{\'{a}}ndez and Michal Kulczewski and Giuseppe Massari and Rafael Tornero Gavil{\'{a}} and Marina Zapater}, title = {Challenges in Deeply Heterogeneous High Performance Systems}, booktitle = {22nd Euromicro Conference on Digital System Design, {DSD} 2019, Kallithea, Greece, August 28-30, 2019}, pages = {428--435}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DSD.2019.00068}, doi = {10.1109/DSD.2019.00068}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/AgostaFACCFHKMG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MontesinosDAAA19, author = {Victoriano Montesinos and Fabio Dell'Agnola and Adriana Arza and Amir Aminifar and David Atienza}, title = {Multi-Modal Acute Stress Recognition Using Off-the-Shelf Wearable Devices}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {2196--2201}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857130}, doi = {10.1109/EMBC.2019.8857130}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/MontesinosDAAA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/OrlandicGAYVA19, author = {Lara Orlandic and Elisabetta De Giovanni and Adriana Arza and Sasan Yazdani and Jean{-}Marc Vesin and David Atienza}, title = {{REWARD:} Design, Optimization, and Evaluation of a Real-Time Relative-Energy Wearable R-Peak Detection Algorithm}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {3341--3347}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857226}, doi = {10.1109/EMBC.2019.8857226}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/OrlandicGAYVA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MomeniDAA19, author = {Niloofar Momeni and Fabio Dell'Agnola and Adriana Arza and David Atienza}, title = {Real-Time Cognitive Workload Monitoring Based on Machine Learning Using Physiological Signals in Rescue Missions}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {3779--3785}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857501}, doi = {10.1109/EMBC.2019.8857501}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/MomeniDAA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SimonQLZA19, author = {William Andrew Simon and Yasir Mahmood Qureshi and Alexandre Levisse and Marina Zapater and David Atienza}, editor = {Houman Homayoun and Baris Taskin and Tinoosh Mohsenin and Weisheng Zhao}, title = {{BLADE:} {A} BitLine Accelerator for Devices on the Edge}, booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI} 2019, Tysons Corner, VA, USA, May 9-11, 2019}, pages = {207--212}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299874.3317979}, doi = {10.1145/3299874.3317979}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/SimonQLZA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmts/LevisseRSGA19, author = {Alexandre Levisse and Marco Rios and William Andrew Simon and Pierre{-}Emmanuel Gaillardon and David Atienza}, title = {Functionality Enhanced Memories for Edge-AI Embedded Systems}, booktitle = {19th Non-Volatile Memory Technology Symposium, {NVMTS} 2019, Durham, NC, USA, October 28-30, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/NVMTS47818.2019.8986214}, doi = {10.1109/NVMTS47818.2019.8986214}, timestamp = {Mon, 09 Mar 2020 13:30:35 +0100}, biburl = {https://dblp.org/rec/conf/nvmts/LevisseRSGA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/QureshiSZAO19, author = {Yasir Mahmood Qureshi and William Andrew Simon and Marina Zapater and David Atienza and Katzalin Olcoz}, editor = {Alberto A. Del Barrio and Christopher J. Lynch and Fernando J. Barros and Xiaolin Hu and Andrea D'Ambrogio}, title = {Gem5-X: {A} Gem5-Based System Level Simulation Framework to Optimize Many-Core Platforms}, booktitle = {2019 Spring Simulation Conference, SpringSim 2019, Tucson, AZ, USA, April 29 - May 2, 2019}, pages = {1--12}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/SpringSim.2019.8732862}, doi = {10.23919/SPRINGSIM.2019.8732862}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/springsim/QureshiSZAO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/RiosSLZA19, author = {Marco Rios and William Andrew Simon and Alexandre Levisse and Marina Zapater and David Atienza}, title = {An Associativity-Agnostic in-Cache Computing Architecture Optimized for Multiplication}, booktitle = {27th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cuzco, Peru, October 6-9, 2019}, pages = {34--39}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VLSI-SoC.2019.8920317}, doi = {10.1109/VLSI-SOC.2019.8920317}, timestamp = {Fri, 13 Dec 2019 13:34:41 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/RiosSLZA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/VieiraGQZTKAG19, author = {Jo{\~{a}}o Vieira and Edouard Giacomin and Yasir Mahmood Qureshi and Marina Zapater and Xifan Tang and Shahar Kvatinsky and David Atienza and Pierre{-}Emmanuel Gaillardon}, title = {A Product Engine for Energy-Efficient Execution of Binary Neural Networks Using Resistive Memories}, booktitle = {27th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cuzco, Peru, October 6-9, 2019}, pages = {160--165}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VLSI-SoC.2019.8920343}, doi = {10.1109/VLSI-SOC.2019.8920343}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/VieiraGQZTKAG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/IranfarSZOSA19, author = {Arman Iranfar and Wellington Silva de Souza and Marina Zapater and Katzalin Olcoz and Samuel Xavier de Souza and David Atienza}, title = {A Machine Learning-Based Framework for Throughput Estimation of Time-Varying Applications in Multi-Core Servers}, booktitle = {27th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cuzco, Peru, October 6-9, 2019}, pages = {211--216}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VLSI-SoC.2019.8920309}, doi = {10.1109/VLSI-SOC.2019.8920309}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/IranfarSZOSA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/SouzaISZSOA19, author = {Wellington Silva de Souza and Arman Iranfar and Anderson B. N. da Silva and Marina Zapater and Samuel Xavier de Souza and Katzalin Olcoz and David Atienza}, title = {A QoS and Container-Based Approach for Energy Saving and Performance Profiling in Multi-Core Servers}, booktitle = {27th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cuzco, Peru, October 6-9, 2019}, pages = {230--231}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VLSI-SoC.2019.8920379}, doi = {10.1109/VLSI-SOC.2019.8920379}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/SouzaISZSOA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/VieiraGQZTKAG19a, author = {Jo{\~{a}}o Vieira and Edouard Giacomin and Yasir Mahmood Qureshi and Marina Zapater and Xifan Tang and Shahar Kvatinsky and David Atienza and Pierre{-}Emmanuel Gaillardon}, editor = {Carolina Metzler and Pierre{-}Emmanuel Gaillardon and Giovanni De Micheli and Carlos Silva C{\'{a}}rdenas and Ricardo Reis}, title = {Accelerating Inference on Binary Neural Networks with Digital {RRAM} Processing}, booktitle = {VLSI-SoC: New Technology Enabler - 27th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cusco, Peru, October 6-9, 2019, Revised and Extended Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {586}, pages = {257--278}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-53273-4\_12}, doi = {10.1007/978-3-030-53273-4\_12}, timestamp = {Mon, 27 Jul 2020 13:06:53 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/VieiraGQZTKAG19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-10518, author = {Damian Pascual and Amir Aminifar and David Atienza and Philippe Ryvlin and Roger Wattenhofer}, title = {Synthetic Epileptic Brain Activities Using Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/1907.10518}, year = {2019}, url = {http://arxiv.org/abs/1907.10518}, eprinttype = {arXiv}, eprint = {1907.10518}, timestamp = {Tue, 30 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-10518.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/FlichAAABCCDDDF18, author = {Jos{\'{e}} Flich and Giovanni Agosta and Philipp Ampletzer and David Atienza Alonso and Carlo Brandolese and Etienne Cappe and Alessandro Cilardo and Leon Dragic and Alexandre Dray and Alen Duspara and William Fornaciari and Edoardo Fusella and Mirko Gagliardi and Gerald Guillaume and Daniel Hofman and Ynse Hoornenborg and Arman Iranfar and Mario Kovac and Davide Zoni}, title = {Exploring manycore architectures for next-generation {HPC} systems through the {MANGO} approach}, journal = {Microprocess. Microsystems}, volume = {61}, pages = {154--170}, year = {2018}, url = {https://doi.org/10.1016/j.micpro.2018.05.011}, doi = {10.1016/J.MICPRO.2018.05.011}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/FlichAAABCCDDDF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/RognonMDCAF18, author = {Carine Rognon and Stefano Mintchev and Fabio Dell'Agnola and Alexandre Cherpillod and David Atienza and Dario Floreano}, title = {FlyJacket: An Upper Body Soft Exoskeleton for Immersive Drone Control}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {3}, number = {3}, pages = {2362--2369}, year = {2018}, url = {https://doi.org/10.1109/LRA.2018.2810955}, doi = {10.1109/LRA.2018.2810955}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/RognonMDCAF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/SurrelARMA18, author = {Gr{\'{e}}goire Surrel and Amir Aminifar and Francisco J. Rinc{\'{o}}n and Srinivasan Murali and David Atienza}, title = {Online Obstructive Sleep Apnea Detection on Medical Wearable Sensors}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {12}, number = {4}, pages = {762--773}, year = {2018}, url = {https://doi.org/10.1109/TBCAS.2018.2824659}, doi = {10.1109/TBCAS.2018.2824659}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/SurrelARMA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/SopicAAA18, author = {Dionisije Sopic and Amin Aminifar and Amir Aminifar and David Atienza}, title = {Real-Time Event-Driven Classification Technique for Early Detection and Prevention of Myocardial Infarction on Wearable Systems}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {12}, number = {5}, pages = {982--992}, year = {2018}, url = {https://doi.org/10.1109/TBCAS.2018.2848477}, doi = {10.1109/TBCAS.2018.2848477}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/SopicAAA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/AndreevSSZRMA18, author = {Artem Aleksandrovich Andreev and Arvind Sridhar and Mohamed M. Sabry and Marina Zapater and Patrick W. Ruch and Bruno Michel and David Atienza}, title = {PowerCool: Simulation of Cooling and Powering of 3D MPSoCs with Integrated Flow Cell Arrays}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {1}, pages = {73--85}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2695179}, doi = {10.1109/TC.2017.2695179}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/AndreevSSZRMA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/IranfarKAPA18, author = {Arman Iranfar and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram and David Atienza}, title = {TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {8}, pages = {1532--1545}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2768417}, doi = {10.1109/TCAD.2017.2768417}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/IranfarKAPA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PahlevanQZA18, author = {Ali Pahlevan and Xiaoyu Qu and Marina Zapater and David Atienza}, title = {Integrating Heuristic and Machine-Learning Methods for Efficient Virtual Machine Allocation in Data Centers}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {8}, pages = {1667--1680}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2760517}, doi = {10.1109/TCAD.2017.2760517}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PahlevanQZA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/BoteRRAH18, author = {Jose Manuel Bote and Joaqu{\'{\i}}n Recas and Francisco J. Rinc{\'{o}}n and David Atienza and Rom{\'{a}}n Hermida}, title = {A Modular Low-Complexity {ECG} Delineation Algorithm for Real-Time Embedded Systems}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {22}, number = {2}, pages = {429--441}, year = {2018}, url = {https://doi.org/10.1109/JBHI.2017.2671443}, doi = {10.1109/JBHI.2017.2671443}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/BoteRRAH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/IranfarZA18, author = {Arman Iranfar and Marina Zapater and David Atienza}, title = {Machine Learning-Based Quality-Aware Power and Thermal Management of Multistream {HEVC} Encoding on Multicore Servers}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {10}, pages = {2268--2281}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2018.2827381}, doi = {10.1109/TPDS.2018.2827381}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/IranfarZA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PahlevanQZBRBA18, author = {Ali Pahlevan and Yasir Mahmood Qureshi and Marina Zapater and Andrea Bartolini and Davide Rossi and Luca Benini and David Atienza}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Energy proportionality in near-threshold computing servers and cloud data centers: Consolidating or Not?}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {147--152}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341994}, doi = {10.23919/DATE.2018.8341994}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PahlevanQZBRBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IranfarPZZKA18, author = {Arman Iranfar and Ali Pahlevan and Marina Zapater and Martin Zagar and Mario Kovac and David Atienza}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Online efficient bio-medical video transcoding on MPSoCs through content-aware workload allocation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {949--954}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342146}, doi = {10.23919/DATE.2018.8342146}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/IranfarPZZKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/ForooghifarAA18, author = {Farnaz Forooghifar and Amir Aminifar and David Atienza Alonso}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Self-Aware Wearable Systems in Epileptic Seizure Detection}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {426--432}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00078}, doi = {10.1109/DSD.2018.00078}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/ForooghifarAA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/DellAgnolaCA18, author = {Fabio Dell'Agnola and Leila Cammoun and David Atienza}, title = {Physiological characterization of need for assistance in rescue missions with drones}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2018, Las Vegas, NV, USA, January 12-14, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCE.2018.8326348}, doi = {10.1109/ICCE.2018.8326348}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/DellAgnolaCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BasuDQAAP18, author = {Soumya Basu and Loris Duch and Miguel Pe{\'{o}}n Quir{\'{o}}s and David Atienza and Giovanni Ansaloni and Laura Pozzi}, title = {Heterogeneous and Inexact: Maximizing Power Efficiency of Edge Computing Sensors for Health Monitoring Applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351595}, doi = {10.1109/ISCAS.2018.8351595}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BasuDQAAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IranfarSZA18, author = {Arman Iranfar and William Andrew Simon and Marina Zapater and David Atienza}, title = {A Machine Learning-Based Strategy for Efficient Resource Management of Video Encoding on Heterogeneous MPSoCs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351785}, doi = {10.1109/ISCAS.2018.8351785}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IranfarSZA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SopicAA18, author = {Dionisije Sopic and Amir Aminifar and David Atienza}, title = {e-Glass: {A} Wearable System for Real-Time Detection of Epileptic Seizures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351728}, doi = {10.1109/ISCAS.2018.8351728}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SopicAA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AndreevKZCA18, author = {Artem Aleksandrovich Andreev and Fulya Kaplan and Marina Zapater and Ayse K. Coskun and David Atienza}, title = {Design Optimization of 3D Multi-Processor System-on-Chip with Integrated Flow Cell Arrays}, booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018}, pages = {42:1--42:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3218603.3218606}, doi = {10.1145/3218603.3218606}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/AndreevKZCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/FornaciariAABCC18, author = {William Fornaciari and Giovanni Agosta and David Atienza and Carlo Brandolese and Leila Cammoun and Luca Cremona and Alessandro Cilardo and Albert Farr{\'{e}}s and Jos{\'{e}} Flich and Carles Hern{\'{a}}ndez and Michal Kulchewski and Simone Libutti and Jos{\'{e}} Maria Mart{\'{\i}}nez and Giuseppe Massari and Ariel Oleksiak and Anna Pupykina and Federico Reghenzani and Rafael Tornero and Michele Zanella and Marina Zapater and Davide Zoni}, editor = {Trevor N. Mudge and Dionisios N. Pnevmatikatos}, title = {Reliable power and time-constraints-aware predictive management of heterogeneous exascale systems}, booktitle = {Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 2018}, pages = {187--194}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3229631.3239368}, doi = {10.1145/3229631.3239368}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/FornaciariAABCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/PsychouRSGANC17, author = {Georgia Psychou and Dimitrios Rodopoulos and Mohamed M. Sabry and Tobias Gemmeke and David Atienza and Tobias G. Noll and Francky Catthoor}, title = {Classification of Resilience Techniques Against Functional Errors at Higher Abstraction Layers of Digital Systems}, journal = {{ACM} Comput. Surv.}, volume = {50}, number = {4}, pages = {50:1--50:38}, year = {2017}, url = {https://doi.org/10.1145/3092699}, doi = {10.1145/3092699}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csur/PsychouRSGANC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AtienzaN17, author = {David Atienza and Giorgio Di Natale}, title = {Report on {DATE} 2017 in Lausanne}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {76--77}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2693266}, doi = {10.1109/MDAT.2017.2693266}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AtienzaN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BraojosBBABA17, author = {Rub{\'{e}}n Braojos and Daniele Bortolotti and Andrea Bartolini and Giovanni Ansaloni and Luca Benini and David Atienza}, title = {A Synchronization-Based Hybrid-Memory Multi-Core Architecture for Energy-Efficient Biomedical Signal Processing}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {4}, pages = {575--585}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2610426}, doi = {10.1109/TC.2016.2610426}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BraojosBBABA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/DuchBBAPA17, author = {Loris Duch and Soumya Basu and Rub{\'{e}}n Braojos and Giovanni Ansaloni and Laura Pozzi and David Atienza}, title = {{HEAL-WEAR:} An Ultra-Low Power Heterogeneous System for Bio-Signal Analysis}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {9}, pages = {2448--2461}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2701499}, doi = {10.1109/TCSI.2017.2701499}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/DuchBBAPA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/BasuDBAPA17, author = {Soumya Basu and Loris Duch and Rub{\'{e}}n Braojos and Giovanni Ansaloni and Laura Pozzi and David Atienza}, title = {An Inexact Ultra-low Power Bio-signal Processing Architecture With Lightweight Error Recovery}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {16}, number = {5s}, pages = {159:1--159:19}, year = {2017}, url = {https://doi.org/10.1145/3126565}, doi = {10.1145/3126565}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/BasuDBAPA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/SopicAAA17, author = {Dionisije Sopic and Amin Aminifar and Amir Aminifar and David Atienza}, title = {Real-time classification technique for early detection and prevention of myocardial infarction on wearable devices}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2017, Torino, Italy, October 19-21, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIOCAS.2017.8325140}, doi = {10.1109/BIOCAS.2017.8325140}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/SopicAAA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/GiovanniALYVA17, author = {Elisabetta De Giovanni and Amir Aminifar and Adrian Luca and Sasan Yazdani and Jean{-}Marc Vesin and David Atienza}, title = {A Patient-Specific Methodology for Prediction of Paroxysmal Atrial Fibrillation Onset}, booktitle = {Computing in Cardiology, CinC 2007, Rennes, France, September 24-27, 2017}, publisher = {www.cinc.org}, year = {2017}, url = {https://doi.org/10.22489/CinC.2017.285-191}, doi = {10.22489/CINC.2017.285-191}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/GiovanniALYVA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/SopicGAA17, author = {Dionisije Sopic and Elisabetta De Giovanni and Amir Aminifar and David Atienza}, title = {A Hierarchical Cardiac Rhythm Classification Methodology Based on Electrocardiogram Fiducial Points}, booktitle = {Computing in Cardiology, CinC 2007, Rennes, France, September 24-27, 2017}, publisher = {www.cinc.org}, year = {2017}, url = {https://doi.org/10.22489/CinC.2017.343-119}, doi = {10.22489/CINC.2017.343-119}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/SopicGAA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/IranfarZA17, author = {Arman Iranfar and Marina Zapater and David Atienza}, title = {A machine learning-based approach for power and thermal management of next-generation video coding on MPSoCs: work-in-progress}, booktitle = {Proceedings of the Twelfth {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS} 2017, Seoul, Republic of Korea, October 15-20, 2017}, pages = {14:1--14:2}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3125502.3125533}, doi = {10.1145/3125502.3125533}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/IranfarZA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/FlichAAABCCDDDF17, author = {Jos{\'{e}} Flich and Giovanni Agosta and Philipp Ampletzer and David Atienza Alonso and Carlo Brandolese and Etienne Cappe and Alessandro Cilardo and Leon Dragic and Alexandre Dray and Alen Duspara and William Fornaciari and Gerald Guillaume and Ynse Hoornenborg and Arman Iranfar and Mario Kovac and Simone Libutti and Bruno Maitre and Jos{\'{e}} Maria Mart{\'{\i}}nez and Giuseppe Massari and Hrvoje Mlinaric and Ermis Papastefanakis and Tom{\'{a}}s Picornell and Igor Piljic and Anna Pupykina and Federico Reghenzani and Isabelle Staub and Rafael Tornero and Marina Zapater and Davide Zoni}, editor = {Hana Kub{\'{a}}tov{\'{a}} and Martin Novotn{\'{y}} and Amund Skavhaug}, title = {{MANGO:} Exploring Manycore Architectures for Next-GeneratiOn {HPC} Systems}, booktitle = {Euromicro Conference on Digital System Design, {DSD} 2017, Vienna, Austria, August 30 - Sept. 1, 2017}, pages = {478--485}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/DSD.2017.51}, doi = {10.1109/DSD.2017.51}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/FlichAAABCCDDDF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/IranfarTSDPZFKA17, author = {Arman Iranfar and Federico Terraneo and William Andrew Simon and Leon Dragic and Igor Piljic and Marina Zapater and William Fornaciari and Mario Kovac and David Atienza}, editor = {Yale N. Patt and S. K. Nandy}, title = {Thermal characterization of next-generation workloads on heterogeneous MPSoCs}, booktitle = {2017 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2017, Pythagorion, Greece, July 17-20, 2017}, pages = {286--291}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SAMOS.2017.8344642}, doi = {10.1109/SAMOS.2017.8344642}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/IranfarTSDPZFKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/hwswco/PahlevanRVBA17, author = {Ali Pahlevan and Maurizio Rossi and Pablo Garc{\'{\i}}a Del Valle and Davide Brunelli and David Atienza}, editor = {Soonhoi Ha and J{\"{u}}rgen Teich}, title = {Joint Computing and Electric Systems Optimization for Green Datacenters}, booktitle = {Handbook of Hardware/Software Codesign}, pages = {1163--1183}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-94-017-7267-9\_35}, doi = {10.1007/978-94-017-7267-9\_35}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/hwswco/PahlevanRVBA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2017, editor = {David Atienza and Giorgio Di Natale}, title = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7919927/proceeding}, isbn = {978-3-9815370-8-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/BasuVKAPA16, author = {Soumya Basu and Pablo Garc{\'{\i}}a Del Valle and Georgios Karakonstantis and Giovanni Ansaloni and Laura Pozzi and David Atienza}, title = {Inexact-aware architecture design for ultra-low power bio-signal analysis}, journal = {{IET} Comput. Digit. Tech.}, volume = {10}, number = {6}, pages = {306--314}, year = {2016}, url = {https://doi.org/10.1049/iet-cdt.2015.0194}, doi = {10.1049/IET-CDT.2015.0194}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/BasuVKAPA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/JuniorMRA16, author = {Alair Dias Junior and Srinivasan Murali and Francisco J. Rinc{\'{o}}n and David Atienza}, title = {Methods for reliable estimation of pulse transit time and blood pressure variations using smartphone sensors}, journal = {Microprocess. Microsystems}, volume = {46}, pages = {84--95}, year = {2016}, url = {https://doi.org/10.1016/j.micpro.2016.06.001}, doi = {10.1016/J.MICPRO.2016.06.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/JuniorMRA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KanounTAS16, author = {Karim Kanoun and Cem Tekin and David Atienza and Mihaela van der Schaar}, title = {Big-Data Streaming Applications Scheduling Based on Staged Multi-Armed Bandits}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {12}, pages = {3591--3605}, year = {2016}, url = {https://doi.org/10.1109/TC.2016.2550454}, doi = {10.1109/TC.2016.2550454}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KanounTAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RanaBBNAS16, author = {Vincenzo Rana and Ivan Beretta and Francesco Bruschi and Alessandro Antonio Nacci and David Atienza and Donatella Sciuto}, title = {Efficient Hardware Design of Iterative Stencil Loops}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {12}, pages = {2018--2031}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2545408}, doi = {10.1109/TCAD.2016.2545408}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RanaBBNAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/BerettaRANSA16, author = {Ivan Beretta and Vincenzo Rana and Abdulkadir Akin and Alessandro Antonio Nacci and Donatella Sciuto and David Atienza}, title = {Parallelizing the Chambolle Algorithm for Performance-Optimized Mapping on {FPGA} Devices}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {15}, number = {3}, pages = {44:1--44:27}, year = {2016}, url = {https://doi.org/10.1145/2851497}, doi = {10.1145/2851497}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/BerettaRANSA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/DuchBBAAP16, author = {Loris Duch and Soumya Basu and Rub{\'{e}}n Braojos and David Atienza and Giovanni Ansaloni and Laura Pozzi}, title = {A multi-core reconfigurable architecture for ultra-low power bio-signal analysis}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}, pages = {416--419}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BioCAS.2016.7833820}, doi = {10.1109/BIOCAS.2016.7833820}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/DuchBBAAP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cd/BortolottiBBPHH16, author = {Daniele Bortolotti and Andrea Bartolini and Luca Benini and V. Rajesh Pamula and Nick Van Helleputte and Chris Van Hoof and Marian Verhelst and Tobias Gemmeke and Rub{\'{e}}n Braojos Lopez and Giovanni Ansaloni and David Atienza and Pierre Vandergheynst}, editor = {Gianluca Palermo and John Feo}, title = {{PHIDIAS:} ultra-low-power holistic design for smart bio-signals computing platforms}, booktitle = {Proceedings of the {ACM} International Conference on Computing Frontiers, CF'16, Como, Italy, May 16-19, 2016}, pages = {309--314}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2903150.2903469}, doi = {10.1145/2903150.2903469}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cd/BortolottiBBPHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BraojosAAWWMA16, author = {Rub{\'{e}}n Braojos and David Atienza and Mohamed M. Sabry Aly and Tony F. Wu and H.{-}S. Philip Wong and Subhasish Mitra and Giovanni Ansaloni}, title = {Nano-engineered architectures for ultra-low power wireless body sensor nodes}, booktitle = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES} 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016}, pages = {23:1--23:10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2968456.2968464}, doi = {10.1145/2968456.2968464}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/BraojosAAWWMA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PahlevanPZRZBVA16, author = {Ali Pahlevan and Javier Picorel and Arash Pourhabibi Zarandi and Davide Rossi and Marina Zapater and Andrea Bartolini and Pablo Garc{\'{\i}}a Del Valle and David Atienza and Luca Benini and Babak Falsafi}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Towards near-threshold server processors}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {7--12}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459272/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PahlevanPZRZBVA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FlichAAABCFHKMM16, author = {Jos{\'{e}} Flich and Giovanni Agosta and Philipp Ampletzer and David Atienza Alonso and Carlo Brandolese and Alessandro Cilardo and William Fornaciari and Ynse Hoornenborg and Mario Kovac and Bruno Maitre and Giuseppe Massari and Hrvoje Mlinaric and Ermis Papastefanakis and Fabrice Roudet and Rafael Tornero and Davide Zoni}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Enabling {HPC} for QoS-sensitive applications: The {MANGO} approach}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {702--707}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459399/}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FlichAAABCFHKMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SopicMRA16, author = {Dionisije Sopic and Srinivasan Murali and Francisco J. Rinc{\'{o}}n and David Atienza}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Touch-based system for beat-to-beat impedance cardiogram acquisition and hemodynamic parameters estimation}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {726--731}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459403/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SopicMRA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DuchVGBA16, author = {Loris Duch and Pablo Garc{\'{\i}}a Del Valle and Shrikanth Ganapathy and Andreas Burg and David Atienza}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Energy vs. reliability trade-offs exploration in biomedical ultra-low power devices}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {838--841}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459424/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DuchVGBA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PahlevanVA16, author = {Ali Pahlevan and Pablo Garc{\'{\i}}a Del Valle and David Atienza}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Exploiting CPU-load and data correlations in multi-objective {VM} placement for geo-distributed data centers}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1333--1338}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459516/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PahlevanVA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/GiovanniMRA16, author = {Elisabetta De Giovanni and Srinivasan Murali and Francisco J. Rinc{\'{o}}n and David Atienza}, editor = {Paris Kitsos}, title = {Ultra-Low Power Estimation of Heart Rate Under Physical Activity Using a Wearable Photoplethysmographic System}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {553--560}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.101}, doi = {10.1109/DSD.2016.101}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/GiovanniMRA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/SurrelRMA16, author = {Gr{\'{e}}goire Surrel and Francisco J. Rinc{\'{o}}n and Srinivasan Murali and David Atienza}, title = {Low-Power Wearable System for Real-Time Screening of Obstructive Sleep Apnea}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh, PA, USA, July 11-13, 2016}, pages = {230--235}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISVLSI.2016.51}, doi = {10.1109/ISVLSI.2016.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/SurrelRMA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stairs/AtienzaBDL16, author = {David Atienza and Concha Bielza and Javier D{\'{\i}}az and Pedro Larra{\~{n}}aga}, editor = {David Pearce and Helena Sofia Pinto}, title = {Anomaly Detection with a Spatio-Temporal Tracking of the Laser Spot}, booktitle = {{STAIRS} 2016 - Proceedings of the Eighth European Starting {AI} Researcher Symposium, The Hague, The Netherlands, August 29-30, 2016}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {284}, pages = {137--142}, publisher = {{IOS} Press}, year = {2016}, url = {https://doi.org/10.3233/978-1-61499-682-8-137}, doi = {10.3233/978-1-61499-682-8-137}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/stairs/AtienzaBDL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/RodopoulosPSCPS15, author = {Dimitrios Rodopoulos and Georgia Psychou and Mohamed M. Sabry and Francky Catthoor and Antonis Papanikolaou and Dimitrios Soudris and Tobias G. Noll and David Atienza}, title = {Classification Framework for Analysis and Modeling of Physically Induced Reliability Violations}, journal = {{ACM} Comput. Surv.}, volume = {47}, number = {3}, pages = {38:1--38:33}, year = {2015}, url = {https://doi.org/10.1145/2678276}, doi = {10.1145/2678276}, timestamp = {Wed, 04 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/RodopoulosPSCPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/RanieriVCAV15, author = {Juri Ranieri and Alessandro Vincenzi and Amina Chebira and David Atienza and Martin Vetterli}, title = {Near-Optimal Thermal Monitoring Framework for Many-Core Systems-on-Chip}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {11}, pages = {3197--3209}, year = {2015}, url = {https://doi.org/10.1109/TC.2015.2395423}, doi = {10.1109/TC.2015.2395423}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/RanieriVCAV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/RaghavRMPAB15, author = {Shivani Raghav and Martino Ruggiero and Andrea Marongiu and Christian Pinto and David Atienza and Luca Benini}, title = {{GPU} Acceleration for Simulating Massively Parallel Many-Core Platforms}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {26}, number = {5}, pages = {1336--1349}, year = {2015}, url = {https://doi.org/10.1109/TPDS.2014.2319092}, doi = {10.1109/TPDS.2014.2319092}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/RaghavRMPAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/MuraliRA15, author = {Srinivasan Murali and Francisco J. Rinc{\'{o}}n and David Atienza}, title = {A Wearable Device for Physical and Emotional Health Monitoring}, booktitle = {Computing in Cardiology, CinC 2015, Nice, France, September 6-9, 2015}, pages = {121--124}, publisher = {www.cinc.org}, year = {2015}, url = {http://www.cinc.org/archives/2015/pdf/0121.pdf}, doi = {10.1109/CIC.2015.7408601}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/cinc/MuraliRA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/SurrelRMA15, author = {Gr{\'{e}}goire Surrel and Francisco J. Rinc{\'{o}}n and Srinivasan Murali and David Atienza}, title = {Real-Time Probabilistic Heart-Beat Classification and Correction for Embedded Systems}, booktitle = {Computing in Cardiology, CinC 2015, Nice, France, September 6-9, 2015}, pages = {161--164}, publisher = {www.cinc.org}, year = {2015}, url = {http://www.cinc.org/archives/2015/pdf/0161.pdf}, doi = {10.1109/CIC.2015.7408611}, timestamp = {Tue, 11 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/SurrelRMA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis-spain/AtienzaHC15, author = {David Atienza and {\'{A}}lvaro Herrero and Emilio Corchado}, editor = {{\'{A}}lvaro Herrero and Bruno Baruque and Javier Sedano and H{\'{e}}ctor Quinti{\'{a}}n and Emilio Corchado}, title = {Neural Analysis of {HTTP} Traffic for Web Attack Detection}, booktitle = {International Joint Conference - CISIS'15 and ICEUTE'15, 8th International Conference on Computational Intelligence in Security for Information Systems / 6th International Conference on EUropean Transnational Education, Burgos, Spain, 15-17 June, 2015}, series = {Advances in Intelligent Systems and Computing}, volume = {369}, pages = {201--212}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19713-5\_18}, doi = {10.1007/978-3-319-19713-5\_18}, timestamp = {Fri, 12 Aug 2022 13:44:54 +0200}, biburl = {https://dblp.org/rec/conf/cisis-spain/AtienzaHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/FlichAAACFKRZ15, author = {Jos{\'{e}} Flich and Giovanni Agosta and Philipp Ampletzer and David Atienza Alonso and Alessandro Cilardo and William Fornaciari and Mario Kovac and Fabrice Roudet and Davide Zoni}, editor = {Christian Plessl and Didier El Baz and Guojing Cong and Jo{\~{a}}o M. P. Cardoso and Lu{\'{\i}}s Veiga and Thomas Rauber}, title = {The {MANGO} {FET-HPC} Project: An Overview}, booktitle = {18th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2015, Porto, Portugal, October 21-23, 2015}, pages = {351--354}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CSE.2015.57}, doi = {10.1109/CSE.2015.57}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/FlichAAACFKRZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/JuniorMRA15, author = {Alair Dias Junior and Srinivasan Murali and Francisco J. Rinc{\'{o}}n and David Atienza}, title = {Estimation of Blood Pressure and Pulse Transit Time Using Your Smartphone}, booktitle = {2015 Euromicro Conference on Digital System Design, {DSD} 2015, Madeira, Portugal, August 26-28, 2015}, pages = {173--180}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DSD.2015.90}, doi = {10.1109/DSD.2015.90}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/JuniorMRA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/PadmanabhanMRA15, author = {Manoj Padmanabhan and Srinivasan Murali and Francisco J. Rinc{\'{o}}n and David Atienza}, title = {Energy-aware embedded classifier design for real-time emotion analysis}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {2275--2278}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318846}, doi = {10.1109/EMBC.2015.7318846}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/PadmanabhanMRA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SridharSA15, author = {Arvind Sridhar and Mohamed M. Sabry and David Atienza}, editor = {Diana Marculescu and Frank Liu}, title = {{ICCAD} 2015 Contest in 3D Interlayer Cooling Optimized Network}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {912--915}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372669}, doi = {10.1109/ICCAD.2015.7372669}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SridharSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/BasuVKAA15, author = {Soumya Basu and Pablo Garc{\'{\i}}a Del Valle and Georgios Karakonstantis and Giovanni Ansaloni and David Atienza}, title = {Heterogeneous Error-Resilient Scheme for Spectral Analysis in Ultra-Low Power Wearable Electrocardiogram Devices}, booktitle = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015, Montpellier, France, July 8-10, 2015}, pages = {268--273}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVLSI.2015.46}, doi = {10.1109/ISVLSI.2015.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/BasuVKAA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/SurrelRMA15, author = {Gr{\'{e}}goire Surrel and Francisco J. Rinc{\'{o}}n and Srinivasan Murali and David Atienza}, title = {Design of ultra-low-power smart wearable systems}, booktitle = {16th Latin-American Test Symposium, {LATS} 2015, Puerto Vallarta, Mexico, March 25-27, 2015}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/LATW.2015.7102527}, doi = {10.1109/LATW.2015.7102527}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/SurrelRMA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2015, editor = {Wolfgang Nebel and David Atienza}, title = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755753}, isbn = {978-3-9815370-4-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/sp/KimSRA15, author = {Jungsoo Kim and Mohamed M. Sabry and Martino Ruggiero and David Atienza}, editor = {Samee U. Khan and Albert Y. Zomaya}, title = {Power-Thermal Modeling and Control of Energy-Efficient Servers and Datacenters}, booktitle = {Handbook on Data Centers}, pages = {857--913}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-1-4939-2092-1\_29}, doi = {10.1007/978-1-4939-2092-1\_29}, timestamp = {Sat, 30 Sep 2023 10:30:29 +0200}, biburl = {https://dblp.org/rec/reference/sp/KimSRA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fteda/SabryA14, author = {Mohamed M. Sabry and David Atienza}, title = {Temperature-Aware Design and Management for 3D Multi-Core Architectures}, journal = {Found. Trends Electron. Des. Autom.}, volume = {8}, number = {2}, pages = {117--197}, year = {2014}, url = {https://doi.org/10.1561/1000000032}, doi = {10.1561/1000000032}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fteda/SabryA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BraojosBAA14, author = {Rub{\'{e}}n Braojos and Ivan Beretta and Giovanni Ansaloni and David Atienza}, title = {Early Classification of Pathological Heartbeats on Wireless Body Sensor Nodes}, journal = {Sensors}, volume = {14}, number = {12}, pages = {22532--22551}, year = {2014}, url = {https://doi.org/10.3390/s141222532}, doi = {10.3390/S141222532}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BraojosBAA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SridharVAB14, author = {Arvind Sridhar and Alessandro Vincenzi and David Atienza and Thomas Brunschwiler}, title = {3D-ICE: {A} Compact Thermal Model for Early-Stage Design of Liquid-Cooled ICs}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {10}, pages = {2576--2589}, year = {2014}, url = {https://doi.org/10.1109/TC.2013.127}, doi = {10.1109/TC.2013.127}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SridharVAB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SridharSA14, author = {Arvind Sridhar and Mohamed M. Sabry and David Atienza}, title = {A Semi-Analytical Thermal Modeling Framework for Liquid-Cooled ICs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {8}, pages = {1145--1158}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2323194}, doi = {10.1109/TCAD.2014.2323194}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SridharSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KanounMAS14, author = {Karim Kanoun and Nicholas Mastronarde and David Atienza and Mihaela van der Schaar}, title = {Online Energy-Efficient Task-Graph Scheduling for Multicore Platforms}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {8}, pages = {1194--1207}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2316094}, doi = {10.1109/TCAD.2014.2316094}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KanounMAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/SabryAC14, author = {Mohamed M. Sabry and David Atienza and Francky Catthoor}, title = {{OCEAN:} An Optimized {HW/SW} Reliability Mitigation Approach for Scratchpad Memories in Real-Time SoCs}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {4s}, pages = {138:1--138:26}, year = {2014}, url = {https://doi.org/10.1145/2584667}, doi = {10.1145/2584667}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/SabryAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/ClementeBRAS14, author = {Juan Antonio Clemente and Ivan Beretta and Vincenzo Rana and David Atienza and Donatella Sciuto}, title = {A Mapping-Scheduling Algorithm for Hardware Acceleration on Reconfigurable Platforms}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {7}, number = {2}, pages = {9:1--9:27}, year = {2014}, url = {https://doi.org/10.1145/2611562}, doi = {10.1145/2611562}, timestamp = {Fri, 24 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/ClementeBRAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KanounAMS14, author = {Karim Kanoun and David Atienza and Nicholas Mastronarde and Mihaela van der Schaar}, title = {A unified online directed acyclic graph flow manager for multicore schedulers}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {714--719}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742974}, doi = {10.1109/ASPDAC.2014.6742974}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KanounAMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/MilosevicDFMQBA14, author = {Jelena Milosevic and Andreas Dittrich and Alberto Ferrante and Miroslaw Malek and Camilo Rojas Quiros and Rub{\'{e}}n Braojos and Giovanni Ansaloni and David Atienza}, title = {Risk Assessment of Atrial Fibrillation: a Failure Prediction Approach}, booktitle = {Computing in Cardiology, CinC 2014, Cambridge, Massachusetts, USA, September 7-10, 2014}, pages = {801--804}, publisher = {www.cinc.org}, year = {2014}, url = {http://www.cinc.org/archives/2014/pdf/0801.pdf}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/MilosevicDFMQBA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BraojosMJAARM14, author = {Rub{\'{e}}n Braojos and Hossein Mamaghanian and Alair Dias Junior and Giovanni Ansaloni and David Atienza and Francisco J. Rinc{\'{o}}n and Srinivasan Murali}, title = {Ultra-Low Power Design of Wearable Cardiac Monitoring Systems}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {17:1--17:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2596691}, doi = {10.1145/2593069.2596691}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BraojosMJAARM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BraojosDBAA14, author = {Rub{\'{e}}n Braojos and Ahmed Yasir Dogan and Ivan Beretta and Giovanni Ansaloni and David Atienza}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Hardware/software approach for code synchronization in low-power multi-core sensor nodes}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.181}, doi = {10.7873/DATE.2014.181}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/BraojosDBAA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GemmekeSSRCA14, author = {Tobias Gemmeke and Mohamed M. Sabry and Jan Stuijt and Praveen Raghavan and Francky Catthoor and David Atienza}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Resolving the memory bottleneck for single supply near-threshold computing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.215}, doi = {10.7873/DATE.2014.215}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GemmekeSSRCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KarakonstantisSSAB14, author = {Georgios Karakonstantis and Aviinaash Sankaranarayanan and Mohamed M. Sabry and David Atienza and Andreas Burg}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {A quality-scalable and energy-efficient approach for spectral analysis of heart rate variability}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.184}, doi = {10.7873/DATE.2014.184}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KarakonstantisSSAB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimSAVG14, author = {Jungsoo Kim and Mohamed M. Sabry and David Atienza and Kalyan Vaidyanathan and Kenny C. Gross}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Global fan speed control considering non-ideal temperature measurements in enterprise servers}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.289}, doi = {10.7873/DATE.2014.289}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimSAVG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabrySARM14, author = {Mohamed M. Sabry and Arvind Sridhar and David Atienza and Patrick W. Ruch and Bruno Michel}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Integrated microfluidic power generation and cooling for bright silicon MPSoCs}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.147}, doi = {10.7873/DATE.2014.147}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SabrySARM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/BraojosBCBA14, author = {Rub{\'{e}}n Braojos and Ivan Beretta and Jeremy Constantin and Andreas Peter Burg and David Atienza}, title = {A Wireless Body Sensor Network for Activity Monitoring with Low Transmission Overhead}, booktitle = {12th {IEEE} International Conference on Embedded and Ubiquitous Computing, {EUC} 2014, Milano, Italy, August 26-28, 2014}, pages = {265--272}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/EUC.2014.46}, doi = {10.1109/EUC.2014.46}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/BraojosBCBA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/MamaghanianAAV14, author = {Hossein Mamaghanian and Giovanni Ansaloni and David Atienza and Pierre Vandergheynst}, title = {Power-efficient joint compressed sensing of multi-lead {ECG} signals}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2014, Florence, Italy, May 4-9, 2014}, pages = {4409--4412}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICASSP.2014.6854435}, doi = {10.1109/ICASSP.2014.6854435}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/MamaghanianAAV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SridharSRAM14, author = {Arvind Sridhar and Mohamed M. Sabry and Patrick W. Ruch and David Atienza and Bruno Michel}, editor = {Yao{-}Wen Chang}, title = {PowerCool: simulation of integrated microfluidic power generation in bright silicon MPSoCs}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {527--534}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001401}, doi = {10.1109/ICCAD.2014.7001401}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SridharSRAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BortolottiMBASAVB14, author = {Daniele Bortolotti and Hossein Mamaghanian and Andrea Bartolini and Maryam Ashouei and Jan Stuijt and David Atienza and Pierre Vandergheynst and Luca Benini}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {45--50}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627629}, doi = {10.1145/2627369.2627629}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/BortolottiMBASAVB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/KanounRAS14, author = {Karim Kanoun and Martino Ruggiero and David Atienza and Mihaela van der Schaar}, title = {Low Power and Scalable Many-Core Architecture for Big-Data Stream Computing}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa, FL, USA, July 9-11, 2014}, pages = {468--473}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISVLSI.2014.77}, doi = {10.1109/ISVLSI.2014.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/KanounRAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/RaghavMPRAB13, author = {Shivani Raghav and Andrea Marongiu and Christian Pinto and Martino Ruggiero and David Atienza and Luca Benini}, title = {SIM\emph{in}G-1\emph{k}: {A} thousand-core simulator running on general-purpose graphical processing units}, journal = {Concurr. Comput. Pract. Exp.}, volume = {25}, number = {10}, pages = {1443--1461}, year = {2013}, url = {https://doi.org/10.1002/cpe.2940}, doi = {10.1002/CPE.2940}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/RaghavMPRAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RanaNBSAS13, author = {Vincenzo Rana and Alessandro Antonio Nacci and Ivan Beretta and Marco D. Santambrogio and David Atienza and Donatella Sciuto}, title = {Design Methods for Parallel Hardware Implementation of Multimedia Iterative Algorithms}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {71--80}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2223191}, doi = {10.1109/MDT.2012.2223191}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RanaNBSAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ZaniniAM13, author = {Francesco Zanini and David Atienza and Giovanni De Micheli}, title = {A combined sensor placement and convex optimization approach for thermal management in 3D-MPSoC with liquid cooling}, journal = {Integr.}, volume = {46}, number = {1}, pages = {33--43}, year = {2013}, url = {https://doi.org/10.1016/j.vlsi.2011.12.003}, doi = {10.1016/J.VLSI.2011.12.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/ZaniniAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SabrySMCA13, author = {Mohamed M. Sabry and Arvind Sridhar and Jie Meng and Ayse K. Coskun and David Atienza}, title = {GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {4}, pages = {524--537}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2012.2226032}, doi = {10.1109/TCAD.2012.2226032}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SabrySMCA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/MastronardeKAFS13, author = {Nicholas Mastronarde and Karim Kanoun and David Atienza and Pascal Frossard and Mihaela van der Schaar}, title = {Markov Decision Process Based Energy-Efficient On-Line Scheduling for Slice-Parallel Video Decoders on Multicore Systems}, journal = {{IEEE} Trans. Multim.}, volume = {15}, number = {2}, pages = {268--278}, year = {2013}, url = {https://doi.org/10.1109/TMM.2012.2231668}, doi = {10.1109/TMM.2012.2231668}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/MastronardeKAFS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NacciRBSBA13, author = {Alessandro Antonio Nacci and Vincenzo Rana and Francesco Bruschi and Donatella Sciuto and Ivan Beretta and David Atienza}, title = {A high-level synthesis flow for the implementation of iterative stencil loop algorithms on {FPGA} devices}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {52:1--52:6}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488797}, doi = {10.1145/2463209.2488797}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/NacciRBSBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoganBCABA13, author = {Ahmed Yasir Dogan and Rub{\'{e}}n Braojos and Jeremy Constantin and Giovanni Ansaloni and Andreas Burg and David Atienza}, editor = {Enrico Macii}, title = {Synchronizing code execution on ultra-low-power embedded multi-channel signal analysis platforms}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {396--399}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.090}, doi = {10.7873/DATE.2013.090}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DoganBCABA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BraojosAA13, author = {Rub{\'{e}}n Braojos and Giovanni Ansaloni and David Atienza}, editor = {Enrico Macii}, title = {A methodology for embedded classification of heartbeats using random projections}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {899--904}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.189}, doi = {10.7873/DATE.2013.189}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BraojosAA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimRAL13, author = {Jungsoo Kim and Martino Ruggiero and David Atienza and Marcel Lederberger}, editor = {Enrico Macii}, title = {Correlation-aware virtual machine allocation for energy-efficient datacenters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1345--1350}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.277}, doi = {10.7873/DATE.2013.277}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimRAL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ElfadelMA13, author = {Ibrahim M. Elfadel and Radu Marculescu and David Atienza}, editor = {Enrico Macii}, title = {Closed-loop control for power and thermal management in multi-core processors: formal methods and industrial practice}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1879--1881}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.374}, doi = {10.7873/DATE.2013.374}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ElfadelMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SridharMABT13, author = {Arvind Sridhar and Yassir Madhour and David Atienza and Thomas Brunschwiler and John Richard Thome}, editor = {J{\"{o}}rg Henkel}, title = {{STEAM:} a fast compact thermal model for two-phase cooling of integrated circuits}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {256--263}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691127}, doi = {10.1109/ICCAD.2013.6691127}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SridharMABT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/MastronardeKAS13, author = {Nicholas Mastronarde and Karim Kanoun and David Atienza and Mihaela van der Schaar}, title = {Markov decision process based energy-efficient scheduling for slice-parallel video decoding}, booktitle = {2013 {IEEE} International Conference on Multimedia and Expo Workshops, San Jose, CA, USA, July 15-19, 2013}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICMEW.2013.6618393}, doi = {10.1109/ICMEW.2013.6618393}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/MastronardeKAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KarakonstantisAB13, author = {Georgios Karakonstantis and David Atienza and Andy Burg}, title = {Exploiting application resiliency for energy-efficient and adequately-reliable operation}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {249}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604093}, doi = {10.1109/IOLTS.2013.6604093}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KarakonstantisAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/MamaghanianKAV12, author = {Hossein Mamaghanian and Nadia Khaled and David Atienza and Pierre Vandergheynst}, title = {Design and Exploration of Low-Power Analog to Information Conversion Based on Compressed Sensing}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {2}, number = {3}, pages = {493--501}, year = {2012}, url = {https://doi.org/10.1109/JETCAS.2012.2220253}, doi = {10.1109/JETCAS.2012.2220253}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/MamaghanianKAV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/DoganCABB12, author = {Ahmed Yasir Dogan and Jeremy Constantin and David Atienza and Andreas Burg and Luca Benini}, title = {Low-power processor architecture exploration for online biomedical signal analysis}, journal = {{IET} Circuits Devices Syst.}, volume = {6}, number = {5}, pages = {279--286}, year = {2012}, url = {https://doi.org/10.1049/iet-cds.2012.0011}, doi = {10.1049/IET-CDS.2012.0011}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/DoganCABB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/VelascoAO12, author = {Jos{\'{e}} Manuel Velasco and David Atienza and Katzalin Olcoz}, title = {Memory power optimization of Java-based embedded systems exploiting garbage collection information}, journal = {J. Syst. Archit.}, volume = {58}, number = {2}, pages = {61--72}, year = {2012}, url = {https://doi.org/10.1016/j.sysarc.2011.11.002}, doi = {10.1016/J.SYSARC.2011.11.002}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/VelascoAO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SridharVRA12, author = {Arvind Sridhar and Alessandro Vincenzi and Martino Ruggiero and David Atienza}, title = {Neural Network-Based Thermal Simulation of Integrated Circuits on GPUs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {31}, number = {1}, pages = {23--36}, year = {2012}, url = {https://doi.org/10.1109/TCAD.2011.2174236}, doi = {10.1109/TCAD.2011.2174236}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SridharVRA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ZaniniAJBM12, author = {Francesco Zanini and David Atienza and Colin N. Jones and Luca Benini and Giovanni De Micheli}, title = {Online thermal control methods for multiprocessor systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {18}, number = {1}, pages = {6:1--6:26}, year = {2012}, url = {https://doi.org/10.1145/2390191.2390197}, doi = {10.1145/2390191.2390197}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ZaniniAJBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/RaghavMPARB12, author = {Shivani Raghav and Andrea Marongiu and Christian Pinto and David Atienza and Martino Ruggiero and Luca Benini}, editor = {David R. Kaeli and John Cavazos and Enqiang Sun}, title = {Full system simulation of many-core heterogeneous SoCs using {GPU} and {QEMU} semihosting}, booktitle = {The 5th Annual Workshop on General Purpose Processing with Graphics Processing Units, GPGPU-5, London, United Kingdom, March 3, 2012}, pages = {101--109}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2159430.2159442}, doi = {10.1145/2159430.2159442}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/asplos/RaghavMPARB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/BraojosAAR12, author = {Rub{\'{e}}n Braojos and Giovanni Ansaloni and David Atienza and Francisco J. Rinc{\'{o}}n}, title = {Embedded real-time {ECG} delineation methods: {A} comparative evaluation}, booktitle = {12th {IEEE} International Conference on Bioinformatics {\&} Bioengineering, {BIBE} 2012, Larnaca, Cyprus, November 11-13, 2012}, pages = {99--104}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIBE.2012.6399715}, doi = {10.1109/BIBE.2012.6399715}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/BraojosAAR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/MilisMKAAGRM12, author = {Marios Milis and Kyriacos Michaelides and Anastasis Kounoudes and Giovanni Ansaloni and David Atienza and Fr{\'{e}}d{\'{e}}ric Giroud and Pierre{-}Fran{\c{c}}ois Ruedi and Frederic Masson}, title = {IcyHeart: Highly integrated ultra-low-power SoC solution for unobtrusive and energy efficient wireless cardiac monitoring: Research project for the benefit of specific groups (FP7, Capacities)}, booktitle = {12th {IEEE} International Conference on Bioinformatics {\&} Bioengineering, {BIBE} 2012, Larnaca, Cyprus, November 11-13, 2012}, pages = {105--109}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIBE.2012.6399716}, doi = {10.1109/BIBE.2012.6399716}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/MilisMKAAGRM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/SabryKAB12, author = {Mohamed M. Sabry and Georgios Karakonstantis and David Atienza and Andreas Burg}, editor = {Ilangko Balasingham}, title = {Design of energy efficient and dependable health monitoring systems under unreliable nanometer technologies}, booktitle = {7th International Conference on Body Area Networks, {BODYNETS} 2012, Oslo, Norway, September 24-26, 2012}, pages = {52--58}, publisher = {{ICST} / {ACM}}, year = {2012}, url = {https://doi.org/10.4108/icst.bodynets.2012.249935}, doi = {10.4108/ICST.BODYNETS.2012.249935}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bodynets/SabryKAB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/GrassiBRAS12, author = {Paolo Roberto Grassi and Ivan Beretta and Vincenzo Rana and David Atienza and Donatella Sciuto}, editor = {Ahmed Jerraya and Luca P. Carloni and Naehyuck Chang and Franco Fummi}, title = {Knowledge-based design space exploration of wireless sensor networks}, booktitle = {Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012}, pages = {225--234}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380445.2380484}, doi = {10.1145/2380445.2380484}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/GrassiBRAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RanieriVCAV12, author = {Juri Ranieri and Alessandro Vincenzi and Amina Chebira and David Atienza and Martin Vetterli}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {EigenMaps: algorithms for optimal thermal maps extraction and sensor placement on multicore processors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {636--641}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228475}, doi = {10.1145/2228360.2228475}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RanieriVCAV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BerettaRKGRA12, author = {Ivan Beretta and Francisco J. Rinc{\'{o}}n and Nadia Khaled and Paolo Roberto Grassi and Vincenzo Rana and David Atienza}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Design exploration of energy-performance trade-offs for wireless sensor networks}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1043--1048}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228549}, doi = {10.1145/2228360.2228549}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BerettaRKGRA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabrySA12, author = {Mohamed M. Sabry and Arvind Sridhar and David Atienza}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Thermal balancing of liquid-cooled 3D-MPSoCs using channel modulation}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {599--604}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176543}, doi = {10.1109/DATE.2012.6176543}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SabrySA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoganCRBA12, author = {Ahmed Yasir Dogan and Jeremy Constantin and Martino Ruggiero and Andreas Burg and David Atienza}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Multi-core architecture design for ultra-low-power wearable health monitoring systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {988--993}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176640}, doi = {10.1109/DATE.2012.6176640}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DoganCRBA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabryAC12, author = {Mohamed M. Sabry and David Atienza and Francky Catthoor}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A hybrid {HW-SW} approach for intermittent error mitigation in streaming-based embedded systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1110--1113}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176661}, doi = {10.1109/DATE.2012.6176661}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SabryAC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/RinconGKAS12, author = {Francisco J. Rinc{\'{o}}n and Paolo Roberto Grassi and Nadia Khaled and David Atienza and Donatella Sciuto}, title = {Automated real-time atrial fibrillation detection on a wearable wireless sensor platform}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {2472--2475}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346465}, doi = {10.1109/EMBC.2012.6346465}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/RinconGKAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VincenziSRA12, author = {Alessandro Vincenzi and Arvind Sridhar and Martino Ruggiero and David Atienza}, editor = {Erik Brunvard and Ken Stevens and Joseph R. Cavallaro and Tong Zhang}, title = {Accelerating thermal simulations of 3D ICs with liquid cooling using neural networks}, booktitle = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City, UT, USA, May 3-4, 2012}, pages = {15--20}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2206781.2206787}, doi = {10.1145/2206781.2206787}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/VincenziSRA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/FabreBZBRAF12, author = {Christian Fabre and Iuliana Bacivarov and Eth Z{\"{u}}rich and Ananda Basu and Martino Ruggiero and David Atienza and Eric Flamand}, title = {{PRO3D:} programming for future 3D manycore architectures}, booktitle = {Proceedings of the 2012 Interconnection Network Architecture - On-Chip, Multi-Chip Workshop, INA-OCMC@HiPEAC 2012, Paris, France, January 25, 2012}, pages = {47--50}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2107763.2107776}, doi = {10.1145/2107763.2107776}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/FabreBZBRAF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/BogdanovaRA12, author = {Iva Bogdanova and Francisco J. Rinc{\'{o}}n and David Atienza}, title = {A multi-lead {ECG} classification based on random projection features}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {625--628}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6287961}, doi = {10.1109/ICASSP.2012.6287961}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/BogdanovaRA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/KimRA12, author = {Jungsoo Kim and Martino Ruggiero and David Atienza}, editor = {Waleed W. Smari and Vesna Zeljkovic}, title = {Free cooling-aware dynamic power management for green datacenters}, booktitle = {2012 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2012, Madrid, Spain, July 2-6, 2012}, pages = {140--146}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HPCSim.2012.6266903}, doi = {10.1109/HPCSIM.2012.6266903}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/KimRA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/AhmedSAM12, author = {Fahad Ahmed and Mohamed M. Sabry and David Atienza and Linda Milor}, editor = {Keith A. Bowman and Kamesh V. Gadepally and Pallab Chatterjee and Mark M. Budnik and Lalitha Immaneni}, title = {Wearout-aware compiler-directed register assignment for embedded systems}, booktitle = {Thirteenth International Symposium on Quality Electronic Design, {ISQED} 2012, Santa Clara, CA, USA, March 19-21, 2012}, pages = {33--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISQED.2012.6187471}, doi = {10.1109/ISQED.2012.6187471}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/AhmedSAM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/ArnaldoVARHRA12, author = {Ignacio Arnaldo and Alessandro Vincenzi and Jos{\'{e}} Luis Ayala and Jos{\'{e}} Luis Risco{-}Mart{\'{\i}}n and Jos{\'{e}} Ignacio Hidalgo and Martino Ruggiero and David Atienza}, title = {Fast and scalable temperature-driven floorplan design in 3D MPSoCs}, booktitle = {13th Latin American Test Workshop, {LATW} 2012, Quito, Ecuador, April 10-13, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/LATW.2012.6261245}, doi = {10.1109/LATW.2012.6261245}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/ArnaldoVARHRA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/BerettaRKGRAS12, author = {Ivan Beretta and Francisco J. Rinc{\'{o}}n and Nadia Khaled and Paolo Roberto Grassi and Vincenzo Rana and David Atienza and Donatella Sciuto}, title = {Model-based design for wireless body sensor network nodes}, booktitle = {13th Latin American Test Workshop, {LATW} 2012, Quito, Ecuador, April 10-13, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/LATW.2012.6261244}, doi = {10.1109/LATW.2012.6261244}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/BerettaRKGRAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/ConstantinDAMRAB12a, author = {Jeremy Constantin and Ahmed Yasir Dogan and Oskar Andersson and Pascal Andreas Meinerzhagen and Joachim Neves Rodrigues and David Atienza and Andreas Burg}, editor = {Andreas Burg and Ayse K. Coskun and Matthew R. Guthaus and Srinivas Katkoori and Ricardo Reis}, title = {An Ultra-Low-Power Application-Specific Processor with Sub-VT Memories for Compressed Sensing}, booktitle = {VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design - 20th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {418}, pages = {88--106}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-45073-0\_5}, doi = {10.1007/978-3-642-45073-0\_5}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/ConstantinDAMRAB12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/ConstantinDAMRAB12, author = {Jeremy Constantin and Ahmed Yasir Dogan and Oskar Andersson and Pascal Andreas Meinerzhagen and Joachim Neves Rodrigues and David Atienza and Andreas Burg}, editor = {Srinivas Katkoori and Matthew R. Guthaus and Ayse K. Coskun and Andreas Burg and Ricardo Reis}, title = {TamaRISC-CS: An ultra-low-power application-specific processor for compressed sensing}, booktitle = {20th {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012}, pages = {159--164}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-SoC.2012.6379023}, doi = {10.1109/VLSI-SOC.2012.6379023}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/ConstantinDAMRAB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/AtienzaS12, author = {David Atienza and Arvind Sridhar}, editor = {Vishwani D. Agrawal and Srimat T. Chakradhar}, title = {Tutorial {T7A:} New Modeling Methodologies for Thermal Analysis of 3D ICs and Advanced Cooling Technologies of the Future}, booktitle = {25th International Conference on {VLSI} Design, Hyderabad, India, January 7-11, 2012}, pages = {25--26}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/VLSID.2012.34}, doi = {10.1109/VLSID.2012.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/AtienzaS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsi/2010socs, editor = {Jos{\'{e}} L. Ayala and David Atienza Alonso and Ricardo Reis}, title = {VLSI-SoC: Forward-Looking Trends in {IC} and Systems Design - 18th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2010, Madrid, Spain, September 27-29, 2010, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {373}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-28566-0}, doi = {10.1007/978-3-642-28566-0}, isbn = {978-3-642-28565-3}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/2010socs.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/BerettaRAS11, author = {Ivan Beretta and Vincenzo Rana and David Atienza and Donatella Sciuto}, title = {Island-Based Adaptable Embedded System Design}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {3}, number = {2}, pages = {53--57}, year = {2011}, url = {https://doi.org/10.1109/LES.2011.2115991}, doi = {10.1109/LES.2011.2115991}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/BerettaRAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ZaniniSAM11, author = {Francesco Zanini and Mohamed M. Sabry and David Atienza and Giovanni De Micheli}, title = {Hierarchical Thermal Management Policy for High-Performance 3D Systems With Liquid Cooling}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {1}, number = {2}, pages = {88--101}, year = {2011}, url = {https://doi.org/10.1109/JETCAS.2011.2158272}, doi = {10.1109/JETCAS.2011.2158272}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/ZaniniSAM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/Risco-MartinCAH11, author = {Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and J. Manuel Colmenar and David Atienza and Jos{\'{e}} Ignacio Hidalgo}, title = {Simulation of high-performance memory allocators}, journal = {Microprocess. Microsystems}, volume = {35}, number = {8}, pages = {755--765}, year = {2011}, url = {https://doi.org/10.1016/j.micpro.2011.08.003}, doi = {10.1016/J.MICPRO.2011.08.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/Risco-MartinCAH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/CoskunASM11, author = {Ayse K. Coskun and David Atienza and Mohamed M. Sabry and Jie Meng}, title = {Attaining Single-Chip, High-Performance Computing through 3D Systems with Active Cooling}, journal = {{IEEE} Micro}, volume = {31}, number = {4}, pages = {63--75}, year = {2011}, url = {https://doi.org/10.1109/MM.2011.39}, doi = {10.1109/MM.2011.39}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/CoskunASM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/ValleA11, author = {Pablo Garc{\'{\i}}a Del Valle and David Atienza}, title = {Emulation-based transient thermal modeling of 2D/3D systems-on-chip with active cooling}, journal = {Microelectron. J.}, volume = {42}, number = {4}, pages = {564--571}, year = {2011}, url = {https://doi.org/10.1016/j.mejo.2010.08.003}, doi = {10.1016/J.MEJO.2010.08.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/ValleA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/MamaghanianKAV11, author = {Hossein Mamaghanian and Nadia Khaled and David Atienza and Pierre Vandergheynst}, title = {Compressed Sensing for Real-Time Energy-Efficient {ECG} Compression on Wireless Body Sensor Nodes}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {58}, number = {9}, pages = {2456--2466}, year = {2011}, url = {https://doi.org/10.1109/TBME.2011.2156795}, doi = {10.1109/TBME.2011.2156795}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/MamaghanianKAV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BerettaRAS11, author = {Ivan Beretta and Vincenzo Rana and David Atienza and Donatella Sciuto}, title = {A Mapping Flow for Dynamically Reconfigurable Multi-Core System-on-Chip Design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {8}, pages = {1211--1224}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2138140}, doi = {10.1109/TCAD.2011.2138140}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BerettaRAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SabryCARB11, author = {Mohamed M. Sabry and Ayse K. Coskun and David Atienza and Tajana Simunic Rosing and Thomas Brunschwiler}, title = {Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {12}, pages = {1883--1896}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2164540}, doi = {10.1109/TCAD.2011.2164540}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SabryCARB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/RinconRKA11, author = {Francisco J. Rinc{\'{o}}n and Joaqu{\'{\i}}n Recas and Nadia Khaled and David Atienza}, title = {Development and Evaluation of Multilead Wavelet-Based {ECG} Delineation Algorithms for Embedded Wireless Sensor Nodes}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {15}, number = {6}, pages = {854--863}, year = {2011}, url = {https://doi.org/10.1109/TITB.2011.2163943}, doi = {10.1109/TITB.2011.2163943}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/RinconRKA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KumarA11, author = {Pratyush Kumar and David Atienza}, title = {Run-time adaptable on-chip thermal triggers}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {255--260}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722194}, doi = {10.1109/ASPDAC.2011.5722194}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KumarA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/PintoRMRAB11, author = {Christian Pinto and Shivani Raghav and Andrea Marongiu and Martino Ruggiero and David Atienza and Luca Benini}, title = {GPGPU-Accelerated Parallel and Fast Simulation of Thousand-Core Platforms}, booktitle = {11th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2011, Newport Beach, CA, USA, May 23-26, 2011}, pages = {53--62}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CCGrid.2011.64}, doi = {10.1109/CCGRID.2011.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/PintoRMRAB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KanounMKA11, author = {Karim Kanoun and Hossein Mamaghanian and Nadia Khaled and David Atienza}, title = {A real-time compressed sensing-based personal electrocardiogram monitoring system}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {824--829}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763140}, doi = {10.1109/DATE.2011.5763140}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KanounMKA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AkinBNRSA11, author = {Abdulkadir Akin and Ivan Beretta and A. A. Nacci and Vincenzo Rana and Marco D. Santambrogio and David Atienza}, title = {A high-performance parallel implementation of the Chambolle algorithm}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1436--1441}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763232}, doi = {10.1109/DATE.2011.5763232}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AkinBNRSA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabrySATLSBTBM11, author = {Mohamed M. Sabry and Arvind Sridhar and David Atienza and Yuksel Temiz and Yusuf Leblebici and S. Szczukiewicz and Navid Borhani and John Richard Thome and Thomas Brunschwiler and Bruno Michel}, title = {Towards thermally-aware design of 3D MPSoCs with inter-tier cooling}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1466--1471}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763237}, doi = {10.1109/DATE.2011.5763237}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SabrySATLSBTBM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmco/FabreBBRAFKMSKYCTBBBSLMM11, author = {Christian Fabre and Iuliana Bacivarov and Ananda Basu and Martino Ruggiero and David Atienza and Eric Flamand and Jean{-}Pierre Krimm and Julien Mottin and Lars Schor and Pratyush Kumar and Hoeseok Yang and Devesh B. Chokshi and Lothar Thiele and Saddek Bensalem and Marius Bozga and Luca Benini and Mohamed M. Sabry and Yusuf Leblebici and Giovanni De Micheli and Diego Melpignano}, editor = {Bernhard Beckert and Ferruccio Damiani and Frank S. de Boer and Marcello M. Bonsangue}, title = {PRO3D, Programming for Future 3D Manycore Architectures: Project's Interim Status}, booktitle = {Formal Methods for Components and Objects, 10th International Symposium, {FMCO} 2011, Turin, Italy, October 3-5, 2011, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7542}, pages = {277--293}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-35887-6\_15}, doi = {10.1007/978-3-642-35887-6\_15}, timestamp = {Mon, 26 Jun 2023 20:47:53 +0200}, biburl = {https://dblp.org/rec/conf/fmco/FabreBBRAFKMSKYCTBBBSLMM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/ClementeRSBA11, author = {Juan Antonio Clemente and Vincenzo Rana and Donatella Sciuto and Ivan Beretta and David Atienza}, title = {A Hybrid Mapping-Scheduling Technique for Dynamically Reconfigurable Hardware}, booktitle = {International Conference on Field Programmable Logic and Applications, {FPL} 2011, September 5-7, Chania, Crete, Greece}, pages = {177--180}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/FPL.2011.40}, doi = {10.1109/FPL.2011.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/ClementeRSBA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/ColmenarRAH11, author = {J. Manuel Colmenar and Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and David Atienza and Jos{\'{e}} Ignacio Hidalgo}, editor = {Natalio Krasnogor and Pier Luca Lanzi}, title = {Multi-objective optimization of dynamic memory managers using grammatical evolution}, booktitle = {13th Annual Genetic and Evolutionary Computation Conference, {GECCO} 2011, Proceedings, Dublin, Ireland, July 12-16, 2011}, pages = {1819--1826}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2001576.2001820}, doi = {10.1145/2001576.2001820}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/ColmenarRAH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MamaghanianKAV11, author = {Hossein Mamaghanian and Nadia Khaled and David Atienza and Pierre Vandergheynst}, title = {Real-time compressed sensing-based electrocardiogram compression on energy-constrained wireless body sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1744--1747}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937920}, doi = {10.1109/ISCAS.2011.5937920}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MamaghanianKAV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SabryzAC11, author = {Mohamed M. Sabry and David Atienza and Ayse K. Coskun}, title = {Thermal analysis and active cooling management for 3D MPSoCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2237--2240}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938046}, doi = {10.1109/ISCAS.2011.5938046}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SabryzAC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZaniniABM11, author = {Francesco Zanini and David Atienza and Luca Benini and Giovanni De Micheli}, title = {Thermal-aware system-level modeling and management for Multi-Processor Systems-on-Chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2481--2484}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938107}, doi = {10.1109/ISCAS.2011.5938107}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZaniniABM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/VincenziSRA11, author = {Alessandro Vincenzi and Arvind Sridhar and Martino Ruggiero and David Atienza}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {Fast thermal simulation of 2D/3D integrated circuits exploiting neural networks and GPUs}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {151--156}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016842\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 09:40:34 +0200}, biburl = {https://dblp.org/rec/conf/islped/VincenziSRA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/CuestaRAA11, author = {David Cuesta and Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and Jos{\'{e}} L. Ayala and David Atienza}, title = {3D Thermal-aware floorplanner for many-core single-chip systems}, booktitle = {12th Latin American Test Workshop, {LATW} 2011, Beach of Porto de Galinhas, Brazil, March 27-30, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/LATW.2011.5985921}, doi = {10.1109/LATW.2011.5985921}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/CuestaRAA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/DoganABLB11, author = {Ahmed Yasir Dogan and David Atienza and Andreas Burg and Igor Loi and Luca Benini}, editor = {Jos{\'{e}} L. Ayala and Braulio Garc{\'{\i}}a{-}C{\'{a}}mara and Manuel Prieto and Martino Ruggiero and Gilles Sicard}, title = {Power/Performance Exploration of Single-core and Multi-core Processor Approaches for Biomedical Signal Processing}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation - 21st International Workshop, {PATMOS} 2011, Madrid, Spain, September 26-29, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6951}, pages = {102--111}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24154-3\_11}, doi = {10.1007/978-3-642-24154-3\_11}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/DoganABLB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/ZaniniAM11, author = {Francesco Zanini and David Atienza and Giovanni De Micheli}, editor = {Jos{\'{e}} L. Ayala and Braulio Garc{\'{\i}}a{-}C{\'{a}}mara and Manuel Prieto and Martino Ruggiero and Gilles Sicard}, title = {Convex-Based Thermal Management for 3D MPSoCs Using {DVFS} and Variable-Flow Liquid Cooling}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation - 21st International Workshop, {PATMOS} 2011, Madrid, Spain, September 26-29, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6951}, pages = {341--350}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24154-3\_34}, doi = {10.1007/978-3-642-24154-3\_34}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/ZaniniAM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/glvlsi/2011, editor = {David Atienza and Yuan Xie and Jos{\'{e}} L. Ayala and Ken S. Stevens}, title = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010, Lausanne, Switzerland, May 2-6, 2011}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1973009}, doi = {10.1145/1973009}, isbn = {978-1-4503-0667-6}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1112-4084, author = {Nicholas Mastronarde and Karim Kanoun and David Atienza and Pascal Frossard and Mihaela van der Schaar}, title = {Markov Decision Process Based Energy-Efficient On-Line Scheduling for Slice-Parallel Video Decoders on Multicore Systems}, journal = {CoRR}, volume = {abs/1112.4084}, year = {2011}, url = {http://arxiv.org/abs/1112.4084}, eprinttype = {arXiv}, eprint = {1112.4084}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1112-4084.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/SabryAA10, author = {Mohamed M. Sabry and Jos{\'{e}} L. Ayala and David Atienza}, title = {Thermal-Aware Compilation for Register Window-Based Embedded Processors}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {2}, number = {4}, pages = {103--106}, year = {2010}, url = {https://doi.org/10.1109/LES.2010.2081343}, doi = {10.1109/LES.2010.2081343}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/SabryAA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/Risco-MartinACG10, author = {Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and David Atienza and Jos{\'{e}} Manuel Colmenar and Oscar Garnica}, title = {A parallel evolutionary algorithm to optimize dynamic memory managers in embedded systems}, journal = {Parallel Comput.}, volume = {36}, number = {10-11}, pages = {572--590}, year = {2010}, url = {https://doi.org/10.1016/j.parco.2010.07.001}, doi = {10.1016/J.PARCO.2010.07.001}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pc/Risco-MartinACG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/YiARRF10, author = {Guo Yi and David Atienza and Antonio Rius and Serni Ribo and Carles Ferrer}, editor = {Tughrul Arslan and Didier Keymeulen and David Merodio and Khaled Benkrid and Ahmet T. Erdogan and Umeshkumar D. Patel}, title = {{HTPCP:} {GNSS-R} multi-channel cross-correlation waveforms post-processing solution for {GOLD-RTR} instrument}, booktitle = {2010 {NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2010, Anaheim, California, USA, June 15-18, 2010}, pages = {157--163}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/AHS.2010.5546267}, doi = {10.1109/AHS.2010.5546267}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/ahs/YiARRF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CoskunARBM10, author = {Ayse K. Coskun and David Atienza and Tajana Simunic Rosing and Thomas Brunschwiler and Bruno Michel}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Energy-efficient variable-flow liquid cooling in 3D stacked architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {111--116}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457228}, doi = {10.1109/DATE.2010.5457228}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/CoskunARBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AliARA10, author = {Mustafa Imran Ali and Bashir M. Al{-}Hashimi and Joaqu{\'{\i}}n Recas and David Atienza}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Evaluation and design exploration of solar harvested-energy prediction algorithm}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {142--147}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457222}, doi = {10.1109/DATE.2010.5457222}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AliARA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/Risco-MartinCAH10, author = {Jos{\'{e}} Luis Risco{-}Mart{\'{\i}}n and Jos{\'{e}} Manuel Colmenar and David Atienza and Jos{\'{e}} Ignacio Hidalgo}, editor = {Sebasti{\'{a}}n L{\'{o}}pez}, title = {Simulation of High-Performance Memory Allocators}, booktitle = {13th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2010, 1-3 September 2010, Lille, France}, pages = {275--282}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DSD.2010.44}, doi = {10.1109/DSD.2010.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/Risco-MartinCAH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/ColmenarRAGHL10, author = {Jos{\'{e}} Manuel Colmenar and Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and David Atienza and Oscar Garnica and Jos{\'{e}} Ignacio Hidalgo and Juan Lanchares}, editor = {Martin Pelikan and J{\"{u}}rgen Branke}, title = {Improving reliability of embedded systems through dynamic memory manager optimization using grammatical evolution}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} 2010, Proceedings, Portland, Oregon, USA, July 7-11, 2010}, pages = {1227--1234}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1830483.1830705}, doi = {10.1145/1830483.1830705}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gecco/ColmenarRAGHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ZaniniAMB10, author = {Francesco Zanini and David Atienza and Giovanni De Micheli and Stephen P. Boyd}, editor = {R. Iris Bahar and Fabrizio Lombardi and David Atienza and Erik Brunvand}, title = {Online convex optimization-based algorithm for thermal management of MPSoCs}, booktitle = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, pages = {203--208}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1785481.1785532}, doi = {10.1145/1785481.1785532}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ZaniniAMB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SabryAA10, author = {Mohamed M. Sabry and Jos{\'{e}} L. Ayala and David Atienza}, editor = {R. Iris Bahar and Fabrizio Lombardi and David Atienza and Erik Brunvand}, title = {Thermal-aware compilation for system-on-chip processing architectures}, booktitle = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, pages = {221--226}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1785481.1785535}, doi = {10.1145/1785481.1785535}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/SabryAA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SridharVRBA10, author = {Arvind Sridhar and Alessandro Vincenzi and Martino Ruggiero and Thomas Brunschwiler and David Atienza}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {463--470}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5653749}, doi = {10.1109/ICCAD.2010.5653749}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SridharVRBA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SabryCA10, author = {Mohamed M. Sabry and Ayse K. Coskun and David Atienza}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {Fuzzy control for enforcing energy efficiency in high-performance 3D systems}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {642--648}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5654235}, doi = {10.1109/ICCAD.2010.5654235}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SabryCA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/RaghavRAPMB10, author = {Shivani Raghav and Martino Ruggiero and David Atienza and Christian Pinto and Andrea Marongiu and Luca Benini}, editor = {Waleed W. Smari and John P. McIntire}, title = {Scalable instruction set simulator for thousand-core architectures running on GPGPUs}, booktitle = {Proceedings of the 2010 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2010, June 28 - July 2, 2010, Caen, France}, pages = {459--466}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/HPCS.2010.5547092}, doi = {10.1109/HPCS.2010.5547092}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeehpcs/RaghavRAPMB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZaniniAJM10, author = {Francesco Zanini and David Atienza and Colin N. Jones and Giovanni De Micheli}, title = {Temperature sensor placement in thermal management systems for MPSoCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1065--1068}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537347}, doi = {10.1109/ISCAS.2010.5537347}, timestamp = {Wed, 04 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZaniniAJM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarA10, author = {Pratyush Kumar and David Atienza}, title = {Neural network based on-chip thermal simulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1599--1602}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537439}, doi = {10.1109/ISCAS.2010.5537439}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZaniniJAM10, author = {Francesco Zanini and Colin N. Jones and David Atienza and Giovanni De Micheli}, title = {Multicore thermal management using approximate explicit model predictive control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3321--3324}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537891}, doi = {10.1109/ISCAS.2010.5537891}, timestamp = {Wed, 04 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZaniniJAM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerettaRAS10, author = {Ivan Beretta and Vincenzo Rana and David Atienza and Donatella Sciuto}, title = {Run-time mapping of applications on FPGA-based reconfigurable systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3329--3332}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537893}, doi = {10.1109/ISCAS.2010.5537893}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerettaRAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/CuestaAHAAM10a, author = {David Cuesta and Jos{\'{e}} Luis Ayala and Jos{\'{e}} Ignacio Hidalgo and David Atienza and Andrea Acquaviva and Enrico Macii}, editor = {Nikolaos S. Voros and Amar Mukherjee and Nicolas Sklavos and Konstantinos Masselos and Michael H{\"{u}}bner}, title = {Adaptive Task Migration Policies for Thermal Control in MPSoCs}, booktitle = {{VLSI} 2010 Annual Symposium - Selected papers}, series = {Lecture Notes in Electrical Engineering}, volume = {105}, pages = {83--115}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-94-007-1488-5\_6}, doi = {10.1007/978-94-007-1488-5\_6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/CuestaAHAAM10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/CuestaAHAAM10, author = {David Cuesta and Jos{\'{e}} L. Ayala and Jos{\'{e}} Ignacio Hidalgo and David Atienza and Andrea Acquaviva and Enrico Macii}, title = {Adaptive Task Migration Policies for Thermal Control in MPSoCs}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}, pages = {110--115}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISVLSI.2010.39}, doi = {10.1109/ISVLSI.2010.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/CuestaAHAAM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwia2/ArtesDAHAAC10, author = {Antonio Art{\'{e}}s and Filipa Duarte and Maryam Ashouei and Jos Huisken and Jos{\'{e}} Luis Ayala and David Atienza and Francky Catthoor}, editor = {Kazuki Joe and Alexander V. Veidenbaum}, title = {Energy Efficiency Using Loop Buffer based Instruction Memory Organizations}, booktitle = {International Workshop on Innovative Architecture for Future Generation High Performance Processors and Systems, {IWIA} 2010, Hilo, Kohala Coast, HI, USA, January 17-19, 2010}, pages = {59--67}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/IWIA.2010.10}, doi = {10.1109/IWIA.2010.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwia2/ArtesDAHAAC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/Risco-MartinAHL10, author = {Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and David Atienza and Jos{\'{e}} Ignacio Hidalgo and Juan Lanchares}, editor = {Francisco Fern{\'{a}}ndez de Vega and Erick Cant{\'{u}}{-}Paz}, title = {Parallel and Distributed Optimization of Dynamic Data Structures for Multimedia Embedded Systems}, booktitle = {Parallel and Distributed Computational Intelligence}, series = {Studies in Computational Intelligence}, volume = {269}, pages = {263--290}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-10675-0\_12}, doi = {10.1007/978-3-642-10675-0\_12}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/sci/Risco-MartinAHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/glvlsi/2010, editor = {R. Iris Bahar and Fabrizio Lombardi and David Atienza and Erik Brunvand}, title = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1785481}, doi = {10.1145/1785481}, isbn = {978-1-4503-0012-4}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/BaloukasRAPPMSHCL09, author = {Christos Baloukas and Jos{\'{e}} Luis Risco{-}Mart{\'{\i}}n and David Atienza and Christophe Poucet and Lazaros Papadopoulos and Stylianos Mamagkakis and Dimitrios Soudris and Jos{\'{e}} Ignacio Hidalgo and Francky Catthoor and Juan Lanchares}, title = {Optimization methodology of dynamic data structures based on genetic algorithms for multimedia embedded systems}, journal = {J. Syst. Softw.}, volume = {82}, number = {4}, pages = {590--602}, year = {2009}, url = {https://doi.org/10.1016/j.jss.2008.08.032}, doi = {10.1016/J.JSS.2008.08.032}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/BaloukasRAPPMSHCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MulasAACBM09, author = {Fabrizio Mulas and David Atienza and Andrea Acquaviva and Salvatore Carta and Luca Benini and Giovanni De Micheli}, title = {Thermal Balancing Policy for Multiprocessor Stream Computing Platforms}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {12}, pages = {1870--1882}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2032372}, doi = {10.1109/TCAD.2009.2032372}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MulasAACBM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MutapcicBMAMG09, author = {Almir Mutapcic and Stephen P. Boyd and Srinivasan Murali and David Atienza and Giovanni De Micheli and Rajesh Gupta}, title = {Processor Speed Control With Thermal Constraints}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {56-I}, number = {9}, pages = {1994--2008}, year = {2009}, url = {https://doi.org/10.1109/TCSI.2008.2011589}, doi = {10.1109/TCSI.2008.2011589}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MutapcicBMAMG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZaniniAM09, author = {Francesco Zanini and David Atienza and Giovanni De Micheli}, editor = {Kazutoshi Wakabayashi}, title = {A control theory approach for thermal balancing of MPSoC}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {37--42}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796438}, doi = {10.1109/ASPDAC.2009.4796438}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZaniniAM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JamaaALM09, author = {M. Haykel Ben Jamaa and David Atienza and Yusuf Leblebici and Giovanni De Micheli}, editor = {Kazutoshi Wakabayashi}, title = {A stochastic perturbative approach to design a defect-aware thresholder in the sense amplifier of crossbar memories}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {835--840}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796584}, doi = {10.1109/ASPDAC.2009.4796584}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/JamaaALM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/RinconGJDKASRM09, author = {Francisco J. Rinc{\'{o}}n and Laura Guti{\'{e}}rrez and M{\'{o}}nica Jim{\'{e}}nez and V{\'{\i}}ctor D{\'{\i}}az and Nadia Khaled and David Atienza and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Joaqu{\'{\i}}n Recas and Giovanni De Micheli}, editor = {Teodiano Freire Bastos Filho and Hugo Gamboa}, title = {Implementation of an Automated ECG-based Diagnosis Algorithm for a Wireless Body Sensor Plataform}, booktitle = {{BIODEVICES} 2009 - Proceedings of the International Conference on Biomedical Electronics and Devices, Porto, Portugal, January 14-17, 2009}, pages = {88--96}, publisher = {{INSTICC} Press}, year = {2009}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/RinconGJDKASRM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/BoichatKRA09, author = {Nicolas Boichat and Nadia Khaled and Francisco J. Rinc{\'{o}}n and David Atienza}, editor = {Benny P. L. Lo and Paul D. Mitcheson}, title = {Wavelet-Based {ECG} Delineation on a Wearable Embedded Sensor Platform}, booktitle = {Sixth International Workshop on Wearable and Implantable Body Sensor Networks, {BSN} 2009, Berkeley, CA, USA, 3-5 June 2009}, pages = {256--261}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BSN.2009.30}, doi = {10.1109/BSN.2009.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/BoichatKRA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/RanaMASBS09, author = {Vincenzo Rana and Srinivasan Murali and David Atienza and Marco D. Santambrogio and Luca Benini and Donatella Sciuto}, editor = {Wolfgang Rosenstiel and Kazutoshi Wakabayashi}, title = {Minimization of the reconfiguration latency for the mapping of applications on FPGA-based systems}, booktitle = {Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2009, Grenoble, France, October 11-16, 2009}, pages = {325--334}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629435.1629480}, doi = {10.1145/1629435.1629480}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/RanaMASBS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AyalaAB09, author = {Jos{\'{e}} Luis Ayala and David Atienza and Philip Brisk}, title = {Thermal-aware data flow analysis}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {613--614}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630069}, doi = {10.1145/1629911.1630069}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AyalaAB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BobbaZPAM09, author = {Shashikanth Bobba and Jie Zhang and Antonio Pullini and David Atienza and Giovanni De Micheli}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Design of compact imperfection-immune {CNFET} layouts for standard-cell-based logic synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {616--621}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090741}, doi = {10.1109/DATE.2009.5090741}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/BobbaZPAM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CoskunAARL09, author = {Ayse K. Coskun and Jos{\'{e}} L. Ayala and David Atienza and Tajana Simunic Rosing and Yusuf Leblebici}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Dynamic thermal management in 3D multicore architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1410--1415}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090885}, doi = {10.1109/DATE.2009.5090885}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CoskunAARL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecctd/ZaniniABM09, author = {Francesco Zanini and David Atienza and Luca Benini and Giovanni De Micheli}, title = {Multicore thermal management with model predictive control}, booktitle = {19th European Conference on Circuit Theory and Design, {ECCTD} 2009, Antalya, Turkey, August 23-27, 2009}, pages = {711--714}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ECCTD.2009.5275073}, doi = {10.1109/ECCTD.2009.5275073}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecctd/ZaniniABM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/Risco-MartinHALG09, author = {Jos{\'{e}} Luis Risco{-}Mart{\'{\i}}n and Jos{\'{e}} Ignacio Hidalgo and David Atienza and Juan Lanchares and Oscar Garnica}, editor = {Franz Rothlauf}, title = {Mixed heuristic and mathematical programming using reference points for dynamic data types optimization in multimedia embedded systems}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} 2009, Proceedings, Montreal, Qu{\'{e}}bec, Canada, July 8-12, 2009}, pages = {1601--1608}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1569901.1570115}, doi = {10.1145/1569901.1570115}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gecco/Risco-MartinHALG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/Risco-MartinAGH09, author = {Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and David Atienza and Rub{\'{e}}n Gonzalo and Jos{\'{e}} Ignacio Hidalgo}, editor = {Franz Rothlauf}, title = {Optimization of dynamic memory managers for embedded systems using grammatical evolution}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} 2009, Proceedings, Montreal, Qu{\'{e}}bec, Canada, July 8-12, 2009}, pages = {1609--1616}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1569901.1570116}, doi = {10.1145/1569901.1570116}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/Risco-MartinAGH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VelascoAO09, author = {Jos{\'{e}} Manuel Velasco and David Atienza and Katzalin Olcoz}, editor = {Fabrizio Lombardi and Sanjukta Bhanja and Yehia Massoud and R. Iris Bahar}, title = {Exploration of memory hierarchy configurations for efficient garbage collection on high-performance embedded systems}, booktitle = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009, Boston Area, MA, USA, May 10-12 2009}, pages = {3--8}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1531542.1531549}, doi = {10.1145/1531542.1531549}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/VelascoAO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/AtienzaM09, author = {David Atienza and Emilio Martinez}, title = {Inducing Thermal-Awareness in Multicore Systems Using Networks-on-Chip}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2009, 13-15 May 2009, Tampa, Florida, {USA}}, pages = {187--192}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISVLSI.2009.25}, doi = {10.1109/ISVLSI.2009.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/AtienzaM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanonet/AyalaSPAL09, author = {Jos{\'{e}} L. Ayala and Arvind Sridhar and Vinod Pangracious and David Atienza and Yusuf Leblebici}, editor = {Alexandre Schmid and Sanjay Goel and Wei Wang and Valeriu Beiu and Sandro Carrara}, title = {Through Silicon Via-Based Grid for Thermal Control in 3D Chips}, booktitle = {Nano-Net - 4th International {ICST} Conference, Nano-Net 2009, Lucerne, Switzerland, October 18-20, 2009. Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {20}, pages = {90--98}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04850-0\_14}, doi = {10.1007/978-3-642-04850-0\_14}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nanonet/AyalaSPAL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/CoskunAAR09, author = {Ayse Kivilcim Coskun and Jos{\'{e}} L. Ayala and David Atienza and Tajana Simunic Rosing}, editor = {J{\"{u}}rgen Becker and Marcelo O. Johann and Ricardo Reis}, title = {Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures}, booktitle = {VLSI-SoC: Technologies for Systems Integration - 17th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2009, Florian{\'{o}}polis, Brazil, October 12-14, 2009, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {360}, pages = {34--55}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-23120-9\_3}, doi = {10.1007/978-3-642-23120-9\_3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/CoskunAAR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpsa/Risco-MartinGLHA08, author = {Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and Oscar Garnica and Juan Lanchares and Jos{\'{e}} Ignacio Hidalgo and David Atienza}, title = {Particle swarm optimisation of memory usage in embedded systems}, journal = {Int. J. High Perform. Syst. Archit.}, volume = {1}, number = {4}, pages = {209--219}, year = {2008}, url = {https://doi.org/10.1504/IJHPSA.2008.024205}, doi = {10.1504/IJHPSA.2008.024205}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpsa/Risco-MartinGLHA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/AtienzaRAMCVL08, author = {David Atienza and Praveen Raghavan and Jos{\'{e}} Luis Ayala and Giovanni De Micheli and Francky Catthoor and Diederik Verkest and Marisa L{\'{o}}pez{-}Vallejo}, title = {Joint hardware-software leakage minimization approach for the register file of {VLIW} embedded architectures}, journal = {Integr.}, volume = {41}, number = {1}, pages = {38--48}, year = {2008}, url = {https://doi.org/10.1016/j.vlsi.2007.04.004}, doi = {10.1016/J.VLSI.2007.04.004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/AtienzaRAMCVL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/AtienzaAMPBM08, author = {David Atienza and Federico Angiolini and Srinivasan Murali and Antonio Pullini and Luca Benini and Giovanni De Micheli}, title = {Network-on-Chip design and synthesis outlook}, journal = {Integr.}, volume = {41}, number = {3}, pages = {340--359}, year = {2008}, url = {https://doi.org/10.1016/j.vlsi.2007.12.002}, doi = {10.1016/J.VLSI.2007.12.002}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/AtienzaAMPBM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/Risco-MartinAHL08, author = {Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and David Atienza and Jos{\'{e}} Ignacio Hidalgo and Juan Lanchares}, title = {A parallel evolutionary algorithm to optimize dynamic data types in embedded systems}, journal = {Soft Comput.}, volume = {12}, number = {12}, pages = {1157--1167}, year = {2008}, url = {https://doi.org/10.1007/s00500-008-0295-y}, doi = {10.1007/S00500-008-0295-Y}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/Risco-MartinAHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JamaaMABILM08, author = {M. Haykel Ben Jamaa and Kirsten E. Moselund and David Atienza and Didier Bouvet and Adrian M. Ionescu and Yusuf Leblebici and Giovanni De Micheli}, title = {Variability-Aware Design of Multilevel Logic Decoders for Nanoscale Crossbar Memories}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {11}, pages = {2053--2067}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.2006076}, doi = {10.1109/TCAD.2008.2006076}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/JamaaMABILM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AtienzaMBAVDN08, author = {David Atienza and Giovanni De Micheli and Luca Benini and Jos{\'{e}} L. Ayala and Pablo Garc{\'{\i}}a Del Valle and Michael DeBole and Vijaykrishnan Narayanan}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Reliability-aware design for nanometer-scale devices}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {549--554}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484011}, doi = {10.1109/ASPDAC.2008.4484011}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/AtienzaMBAVDN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JamaaALM08, author = {M. Haykel Ben Jamaa and David Atienza and Yusuf Leblebici and Giovanni De Micheli}, editor = {Limor Fix}, title = {Programmable logic circuits based on ambipolar {CNFET}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {339--340}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391556}, doi = {10.1145/1391469.1391556}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JamaaALM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MuraliMAGBBM08, author = {Srinivasan Murali and Almir Mutapcic and David Atienza and Rajesh Gupta and Stephen P. Boyd and Luca Benini and Giovanni De Micheli}, editor = {Donatella Sciuto}, title = {Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {110--115}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484671}, doi = {10.1109/DATE.2008.4484671}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MuraliMAGBBM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MulasPBCABAM08, author = {Fabrizio Mulas and Michele Pittau and Marco Buttu and Salvatore Carta and Andrea Acquaviva and Luca Benini and David Atienza and Giovanni De Micheli}, editor = {Donatella Sciuto}, title = {Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {734--739}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484766}, doi = {10.1109/DATE.2008.4484766}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MulasPBCABAM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RinconPRZSAPM08, author = {Francisco J. Rinc{\'{o}}n and Michele Paselli and Joaqu{\'{\i}}n Recas and Qin Zhao and Marcos S{\'{a}}nchez{-}{\'{E}}lez and David Atienza and Julien Penders and Giovanni De Micheli}, editor = {Donatella Sciuto}, title = {OS-Based Sensor Node Platform and Energy Estimation Model for Health-Care Wireless Sensor Networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {1027--1032}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484816}, doi = {10.1109/DATE.2008.4484816}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RinconPRZSAPM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/Risco-MartinAHL08, author = {Jos{\'{e}} Luis Risco{-}Mart{\'{\i}}n and David Atienza and Jos{\'{e}} Ignacio Hidalgo and Juan Lanchares}, editor = {Luca Fanucci}, title = {Design Flow of Dynamically-Allocated Data Types in Embedded Applications Based on Elitist Evolutionary Computation Optimization}, booktitle = {11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, {DSD} 2008, Parma, Italy, September 3-5, 2008}, pages = {455--463}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DSD.2008.118}, doi = {10.1109/DSD.2008.118}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/Risco-MartinAHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/HidalgoRAL08, author = {Jos{\'{e}} Ignacio Hidalgo and Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and David Atienza and Juan Lanchares}, editor = {Conor Ryan and Maarten Keijzer}, title = {Analysis of multi-objective evolutionary algorithms to optimize dynamic data types in embedded systems}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} 2008, Proceedings, Atlanta, GA, USA, July 12-16, 2008}, pages = {1515--1522}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1389095.1389388}, doi = {10.1145/1389095.1389388}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/HidalgoRAL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infoscale/Risco-MartinMAH08, author = {Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and Saurabh Mittal and David Atienza and Jos{\'{e}} Ignacio Hidalgo and Juan Lanchares}, editor = {Ronny Lempel and Raffaele Perego and Fabrizio Silvestri}, title = {Optimization of dynamic data types in embedded systems using DEVS/SOA-based modeling and simulation}, booktitle = {3rd International {ICST} Conference on Scalable Information Systems, {INFOSCALE} 2008, Vico Equense, Italy, June 4-6, 2008}, pages = {17}, publisher = {{ICST} / {ACM}}, year = {2008}, url = {https://doi.org/10.4108/ICST.INFOSCALE2008.3504}, doi = {10.4108/ICST.INFOSCALE2008.3504}, timestamp = {Mon, 04 Jun 2018 07:30:47 +0200}, biburl = {https://dblp.org/rec/conf/infoscale/Risco-MartinMAH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/RanaASSM08, author = {Vincenzo Rana and David Atienza and Marco D. Santambrogio and Donatella Sciuto and Giovanni De Micheli}, editor = {Christian Piguet and Ricardo Reis and Dimitrios Soudris}, title = {A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication}, booktitle = {VLSI-SoC: Design Methodologies for SoC and SiP - 16th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2008, Rhodes Island, Greece, October 13-15, 2008, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {313}, pages = {232--250}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-642-12267-5\_13}, doi = {10.1007/978-3-642-12267-5\_13}, timestamp = {Tue, 22 Oct 2019 15:21:19 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/RanaASSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/SusuAAM08, author = {Alex E. Susu and Andrea Acquaviva and David Atienza and Giovanni De Micheli}, editor = {Holger Karl and Dirk Westhoff}, title = {Stochastic modeling and analysis for environmentally powered wireless sensor nodes}, booktitle = {6th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks and Workshops, {WIOPT} 2008, March 31 - April 4, 2008, Berlin, Germany}, pages = {125--134}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/WIOPT.2008.4586054}, doi = {10.1109/WIOPT.2008.4586054}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/wiopt/SusuAAM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijes/AyalaLARCV07, author = {Jos{\'{e}} L. Ayala and Marisa L{\'{o}}pez{-}Vallejo and David Atienza and Praveen Raghavan and Francky Catthoor and Diederik Verkest}, title = {Energy-aware compilation and hardware design for {VLIW} embedded systems}, journal = {Int. J. Embed. Syst.}, volume = {3}, number = {1/2}, pages = {73--82}, year = {2007}, url = {https://doi.org/10.1504/IJES.2007.016035}, doi = {10.1504/IJES.2007.016035}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijes/AyalaLARCV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/MamagkakisBPACST07, author = {Stylianos Mamagkakis and Alexandros Bartzas and Georgios Pouiklis and David Atienza and Francky Catthoor and Dimitrios Soudris and Antonios Thanailakis}, title = {Systematic methodology for exploration of performance - Energy trade-offs in network applications using Dynamic Data Type refinement}, journal = {J. Syst. Archit.}, volume = {53}, number = {7}, pages = {417--436}, year = {2007}, url = {https://doi.org/10.1016/j.sysarc.2006.12.001}, doi = {10.1016/J.SYSARC.2006.12.001}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/MamagkakisBPACST07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/PulliniAMAMB07, author = {Antonio Pullini and Federico Angiolini and Srinivasan Murali and David Atienza and Giovanni De Micheli and Luca Benini}, title = {Bringing NoCs to 65 nm}, journal = {{IEEE} Micro}, volume = {27}, number = {5}, pages = {75--85}, year = {2007}, url = {http://doi.ieeecomputersociety.org/10.1109/MM.2007.79}, doi = {10.1109/MM.2007.79}, timestamp = {Thu, 08 Nov 2007 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/PulliniAMAMB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thipeac/SusuMAAM07, author = {Alex E. Susu and Michele Magno and Andrea Acquaviva and David Atienza and Giovanni De Micheli}, title = {Reconfiguration Strategies for Environmentally Powered Devices: Theoretical Analysis and Experimental Validation}, journal = {Trans. High Perform. Embed. Archit. Compil.}, volume = {1}, pages = {341--360}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-71528-3\_21}, doi = {10.1007/978-3-540-71528-3\_21}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thipeac/SusuMAAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/AtienzaVPPBMMH07, author = {David Atienza and Pablo Garc{\'{\i}}a Del Valle and Giacomo Paci and Francesco Poletti and Luca Benini and Giovanni De Micheli and Jose Manuel Mendias and Rom{\'{a}}n Hermida}, title = {{HW-SW} emulation framework for temperature-aware design in MPSoCs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {12}, number = {3}, pages = {26:1--26:26}, year = {2007}, url = {https://doi.org/10.1145/1255456.1255463}, doi = {10.1145/1255456.1255463}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/AtienzaVPPBMMH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MuraliAMCBMR07, author = {Srinivasan Murali and David Atienza and Paolo Meloni and Salvatore Carta and Luca Benini and Giovanni De Micheli and Luigi Raffo}, title = {Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {8}, pages = {869--880}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.900742}, doi = {10.1109/TVLSI.2007.900742}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MuraliAMCBMR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/MuraliABM07, author = {Srinivasan Murali and David Atienza and Luca Benini and Giovanni De Micheli}, title = {A Method for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance Gaurantees}, journal = {{VLSI} Design}, volume = {2007}, pages = {37627:1--37627:11}, year = {2007}, url = {https://doi.org/10.1155/2007/37627}, doi = {10.1155/2007/37627}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsi/MuraliABM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/MuraliMAGBM07, author = {Srinivasan Murali and Almir Mutapcic and David Atienza and Rajesh Gupta and Stephen P. Boyd and Giovanni De Micheli}, editor = {Soonhoi Ha and Kiyoung Choi and Nikil D. Dutt and J{\"{u}}rgen Teich}, title = {Temperature-aware processor frequency assignment for MPSoCs using convex optimization}, booktitle = {Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {111--116}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289816.1289845}, doi = {10.1145/1289816.1289845}, timestamp = {Sat, 05 Sep 2020 18:08:48 +0200}, biburl = {https://dblp.org/rec/conf/codes/MuraliMAGBM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AngioliniJABM07, author = {Federico Angiolini and M. Haykel Ben Jamaa and David Atienza and Luca Benini and Giovanni De Micheli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Improving the fault tolerance of nanometric {PLA} designs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {570--575}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364654}, doi = {10.1109/DATE.2007.364654}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AngioliniJABM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CartaAVAMRBM07, author = {Salvatore Carta and Andrea Acquaviva and Pablo Garc{\'{\i}}a Del Valle and David Atienza and Giovanni De Micheli and Fernando Rinc{\'{o}}n and Luca Benini and Jose Manuel Mendias}, editor = {Hai Zhou and Enrico Macii and Zhiyuan Yan and Yehia Massoud}, title = {Multi-processor operating system emulation framework with thermal feedback for systems-on-chip}, booktitle = {Proceedings of the 17th {ACM} Great Lakes Symposium on {VLSI} 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}, pages = {311--316}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1228784.1228787}, doi = {10.1145/1228784.1228787}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/CartaAVAMRBM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JamaaMABILM07, author = {M. Haykel Ben Jamaa and Kirsten E. Moselund and David Atienza and Didier Bouvet and Adrian M. Ionescu and Yusuf Leblebici and Giovanni De Micheli}, editor = {Georges G. E. Gielen}, title = {Fault-tolerant multi-level logic decoder for nanoscale crossbar memory arrays}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {765--772}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397358}, doi = {10.1109/ICCAD.2007.4397358}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JamaaMABILM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/AtienzaBPMB07, author = {David Atienza and Shashikanth Bobba and Massimo Poli and Giovanni De Micheli and Luca Benini}, title = {System-Level Design for Nano-Electronics}, booktitle = {14th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2007, Marrakech, Morocco, December 11-14, 2007}, pages = {747--751}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICECS.2007.4511099}, doi = {10.1109/ICECS.2007.4511099}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/AtienzaBPMB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RaghavanAACML07, author = {Praveen Raghavan and Jos{\'{e}} L. Ayala and David Atienza and Francky Catthoor and Giovanni De Micheli and Marisa L{\'{o}}pez{-}Vallejo}, title = {Reduction of Register File Delay Due to Process Variability in {VLIW} Embedded Processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {121--124}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378236}, doi = {10.1109/ISCAS.2007.378236}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RaghavanAACML07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/PulliniAMAMRMB07, author = {Antonio Pullini and Federico Angiolini and Paolo Meloni and David Atienza and Srinivasan Murali and Luigi Raffo and Giovanni De Micheli and Luca Benini}, title = {NoC Design and Implementation in 65nm Technology}, booktitle = {First International Symposium on Networks-on-Chips, {NOCS} 2007, 7-9 May 2007, Princeton, New Jersey, USA, Proceedings}, pages = {273--282}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/NOCS.2007.30}, doi = {10.1109/NOCS.2007.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/PulliniAMAMRMB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/VelascoAOT07, author = {Jos{\'{e}} Manuel Velasco and David Atienza and Katzalin Olcoz and Francisco Tirado}, editor = {Christian H. Bischof and H. Martin B{\"{u}}cker and Paul Gibbon and Gerhard R. Joubert and Thomas Lippert and Bernd Mohr and Frans J. Peters}, title = {Efficient Object Placement including Node Selection in a Distributed Virtual Machine}, booktitle = {Parallel Computing: Architectures, Algorithms and Applications, ParCo 2007, Forschungszentrum J{\"{u}}lich and {RWTH} Aachen University, Germany, 4-7 September 2007}, series = {Advances in Parallel Computing}, volume = {15}, pages = {509--516}, publisher = {{IOS} Press}, year = {2007}, timestamp = {Mon, 16 Feb 2009 08:47:23 +0100}, biburl = {https://dblp.org/rec/conf/parco/VelascoAOT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/AtienzaBPPMHCSL07, author = {David Atienza and Christos Baloukas and Lazaros Papadopoulos and Christophe Poucet and Stylianos Mamagkakis and Jos{\'{e}} Ignacio Hidalgo and Francky Catthoor and Dimitrios Soudris and Juan Lanchares}, editor = {Heiko Falk and Peter Marwedel}, title = {Optimization of dynamic data structures in multimedia embedded systems using evolutionary computation}, booktitle = {Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, Nice, France, April 20, 2007}, series = {{ACM} International Conference Proceeding Series}, volume = {235}, pages = {31--40}, year = {2007}, url = {https://doi.org/10.1145/1269843.1269849}, doi = {10.1145/1269843.1269849}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/AtienzaBPPMHCSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/PoucetMAC07, author = {Christophe Poucet and Stylianos Mamagkakis and David Atienza and Francky Catthoor}, editor = {Heiko Falk and Peter Marwedel}, title = {Systematic intermediate sequence removal for reduced memory accesses}, booktitle = {Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, Nice, France, April 20, 2007}, series = {{ACM} International Conference Proceeding Series}, volume = {235}, pages = {51--60}, year = {2007}, url = {https://doi.org/10.1145/1269843.1269851}, doi = {10.1145/1269843.1269851}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scopes/PoucetMAC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/HatirnazBPLMAM07, author = {Ilhan Hatirnaz and St{\'{e}}phane Badel and Nuria Pazos and Yusuf Leblebici and Srinivasan Murali and David Atienza and Giovanni De Micheli}, editor = {Andrew A. Kennings and Ion I. Mandoiu}, title = {Early wire characterization for predictable network-on-chip global interconnects}, booktitle = {The Ninth International Workshop on System-Level Interconnect Prediction {(SLIP} 2007), Austin, Texas, USA, March 17-18, 2007, Proceedings}, pages = {57--64}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1231956.1231969}, doi = {10.1145/1231956.1231969}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slip/HatirnazBPLMAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/AyalaAALL07, author = {Jos{\'{e}} Luis Ayala and Anya Apavatjrut and David Atienza and Marisa L{\'{o}}pez{-}Vallejo and Carlos A. L{\'{o}}pez{-}Barrio}, editor = {Luca Benini and Naehyuck Chang and Ulrich Kremer and Christian W. Probst}, title = {Thermal Characterization and Thermal Management in Processor-Based Systems}, booktitle = {Power-aware Computing Systems, 21.01. - 26.01.2007}, series = {Dagstuhl Seminar Proceedings}, volume = {07041}, publisher = {Internationales Begegnungs- und Forschungszentrum fuer Informatik (IBFI), Schloss Dagstuhl, Germany}, year = {2007}, url = {http://drops.dagstuhl.de/opus/volltexte/2007/1110}, timestamp = {Thu, 10 Jun 2021 13:02:10 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/AyalaAALL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/MamagkakisBACST06, author = {Stylianos Mamagkakis and Christos Baloukas and David Atienza and Francky Catthoor and Dimitrios Soudris and Antonios Thanailakis}, title = {Reducing memory fragmentation in network applications with dynamic memory allocators optimized for performance}, journal = {Comput. Commun.}, volume = {29}, number = {13-14}, pages = {2612--2620}, year = {2006}, url = {https://doi.org/10.1016/j.comcom.2006.01.031}, doi = {10.1016/J.COMCOM.2006.01.031}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/MamagkakisBACST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/AtienzaMPMCBS06, author = {David Atienza and Stylianos Mamagkakis and Francesco Poletti and Jose Manuel Mendias and Francky Catthoor and Luca Benini and Dimitrios Soudris}, title = {Efficient system-level prototyping of power-aware dynamic memory managers for embedded systems}, journal = {Integr.}, volume = {39}, number = {2}, pages = {113--130}, year = {2006}, url = {https://doi.org/10.1016/j.vlsi.2004.08.003}, doi = {10.1016/J.VLSI.2004.08.003}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/AtienzaMPMCBS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/AtienzaMMSC06, author = {David Atienza and Jose Manuel Mendias and Stylianos Mamagkakis and Dimitrios Soudris and Francky Catthoor}, title = {Systematic dynamic memory management design methodology for reduced memory footprint}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {11}, number = {2}, pages = {465--489}, year = {2006}, url = {https://doi.org/10.1145/1142155.1142165}, doi = {10.1145/1142155.1142165}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/AtienzaMMSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AtienzaVPPBMM06, author = {David Atienza and Pablo Garc{\'{\i}}a Del Valle and Giacomo Paci and Francesco Poletti and Luca Benini and Giovanni De Micheli and Jose Manuel Mendias}, editor = {Ellen Sentovich}, title = {A fast {HW/SW} FPGA-based thermal emulation framework for multi-processor system-on-chip}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {618--623}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147068}, doi = {10.1145/1146909.1147068}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AtienzaVPPBMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MuraliABM06, author = {Srinivasan Murali and David Atienza and Luca Benini and Giovanni De Micheli}, editor = {Ellen Sentovich}, title = {A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {845--848}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147124}, doi = {10.1145/1146909.1147124}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MuraliABM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BartzasMPACST06, author = {Alexandros Bartzas and Stylianos Mamagkakis and Georgios Pouiklis and David Atienza and Francky Catthoor and Dimitrios Soudris and Antonios Thanailakis}, editor = {Georges G. E. Gielen}, title = {Dynamic data type refinement methodology for systematic performance-energy design exploration of network applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {740--745}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244093}, doi = {10.1109/DATE.2006.244093}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BartzasMPACST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MamagkakisAPCSM06, author = {Stylianos Mamagkakis and David Atienza and Christophe Poucet and Francky Catthoor and Dimitrios Soudris and Jose Manuel Mendias}, editor = {Georges G. E. Gielen}, title = {Automated exploration of pareto-optimal configurations in parameterized dynamic memory allocation for embedded systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {874--875}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243771}, doi = {10.1109/DATE.2006.243771}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MamagkakisAPCSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/MamagkakisAPCS06, author = {Stylianos Mamagkakis and David Atienza and Christophe Poucet and Francky Catthoor and Dimitrios Soudris}, editor = {Sang Lyul Min and Wang Yi}, title = {Energy-efficient dynamic memory allocators at the middleware level of embedded systems}, booktitle = {Proceedings of the 6th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2006, October 22-25, 2006, Seoul, Korea}, pages = {215--222}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176887.1176919}, doi = {10.1145/1176887.1176919}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/MamagkakisAPCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MuraliMAACBMR06, author = {Srinivasan Murali and Paolo Meloni and Federico Angiolini and David Atienza and Salvatore Carta and Luca Benini and Giovanni De Micheli and Luigi Raffo}, editor = {Soha Hassoun}, title = {Designing application-specific networks on chips with floorplan information}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {355--362}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233573}, doi = {10.1145/1233501.1233573}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MuraliMAACBMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AngioliniAMBM06, author = {Federico Angiolini and David Atienza and Srinivasan Murali and Luca Benini and Giovanni De Micheli}, title = {Reliability Support for On-Chip Memories Using Networks-on-Chip}, booktitle = {24th International Conference on Computer Design {(ICCD} 2006), 1-4 October 2006, San Jose, CA, {USA}}, pages = {389--396}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICCD.2006.4380846}, doi = {10.1109/ICCD.2006.4380846}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AngioliniAMBM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/PoucetAC06, author = {Christophe Poucet and David Atienza and Francky Catthoor}, title = {Template-Based Semi-Automatic Profiling of Multimedia Applications}, booktitle = {Proceedings of the 2006 {IEEE} International Conference on Multimedia and Expo, {ICME} 2006, July 9-12 2006, Toronto, Ontario, Canada}, pages = {1061--1064}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICME.2006.262717}, doi = {10.1109/ICME.2006.262717}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/PoucetAC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BartzasPMACSM06, author = {Alexandros Bartzas and Miguel Pe{\'{o}}n Quir{\'{o}}s and Stylianos Mamagkakis and David Atienza and Francky Catthoor and Dimitrios Soudris and Jose Manuel Mendias}, title = {Systematic design flow for dynamic data management in visual texture decoder of {MPEG-4}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693691}, doi = {10.1109/ISCAS.2006.1693691}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BartzasPMACSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/MuraliTAPABM06, author = {Srinivasan Murali and Rutuparna Tamhankar and Federico Angiolini and Antonio Pullini and David Atienza and Luca Benini and Giovanni De Micheli}, title = {Comparison of a Timing-Error Tolerant Scheme with a Traditional Re-transmission Mechanism for Networks on Chips}, booktitle = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland, November 13-16, 2006}, pages = {1--4}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSOC.2006.321983}, doi = {10.1109/ISSOC.2006.321983}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/MuraliTAPABM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/AtienzaRAMCVL06, author = {David Atienza and Praveen Raghavan and Jos{\'{e}} L. Ayala and Giovanni De Micheli and Francky Catthoor and Diederik Verkest and Marisa L{\'{o}}pez{-}Vallejo}, editor = {Johan Vounckx and Nadine Az{\'{e}}mard and Philippe Maurine}, title = {Compiler-Driven Leakage Energy Reduction in Banked Register Files}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 16th International Workshop, {PATMOS} 2006, Montpellier, France, September 13-15, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4148}, pages = {107--116}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11847083\_11}, doi = {10.1007/11847083\_11}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/AtienzaRAMCVL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/ValleAMFPMBM06, author = {Pablo Garc{\'{\i}}a Del Valle and David Atienza and Ivan Magan and Javier Garcia Flores and Esther Andres Perez and Jose Manuel Mendias and Luca Benini and Giovanni De Micheli}, title = {A Complete Multi-Processor System-on-Chip FPGA-Based Emulation Framework}, booktitle = {{IFIP} VLSI-SoC 2006, {IFIP} {WG} 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Nice, France, 16-18 October 2006}, pages = {140--145}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/VLSISOC.2006.313218}, doi = {10.1109/VLSISOC.2006.313218}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/ValleAMFPMBM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/MuraliMAACBMR06, author = {Srinivasan Murali and Paolo Meloni and Federico Angiolini and David Atienza and Salvatore Carta and Luca Benini and Giovanni De Micheli and Luigi Raffo}, title = {Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips}, booktitle = {{IFIP} VLSI-SoC 2006, {IFIP} {WG} 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Nice, France, 16-18 October 2006}, pages = {158--163}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/VLSISOC.2006.313226}, doi = {10.1109/VLSISOC.2006.313226}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/MuraliMAACBMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/MuraliMAACBMR06a, author = {Srinivasan Murali and Paolo Meloni and Federico Angiolini and David Atienza and Salvatore Carta and Luca Benini and Giovanni De Micheli and Luigi Raffo}, editor = {Giovanni De Micheli and Salvador Mir and Ricardo Reis}, title = {Designing Routing and Message-Dependent Deadlock Free Networks on Chips}, booktitle = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France}, series = {{IFIP}}, volume = {249}, pages = {337--355}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-0-387-74909-9\_19}, doi = {10.1007/978-0-387-74909-9\_19}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/MuraliMAACBMR06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LeemanADFMYCL05, author = {Marc Leeman and David Atienza and Geert Deconinck and Vincenzo De Florio and Jos{\'{e}} M. Mend{\'{\i}}as and Chantal Ykman{-}Couvreur and Francky Catthoor and Rudy Lauwereins}, title = {Methodology for Refinement and Optimisation of Dynamic Memory Management for Embedded Systems in Multimedia Applications}, journal = {J. {VLSI} Signal Process.}, volume = {40}, number = {3}, pages = {383--396}, year = {2005}, url = {https://doi.org/10.1007/s11265-005-5272-4}, doi = {10.1007/S11265-005-5272-4}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/LeemanADFMYCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GenkoAMMHC05, author = {Nicolas Genko and David Atienza and Giovanni De Micheli and Jose Manuel Mendias and Rom{\'{a}}n Hermida and Francky Catthoor}, title = {A Complete Network-On-Chip Emulation Framework}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {246--251}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.5}, doi = {10.1109/DATE.2005.5}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GenkoAMMHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GenkoAMBMHC05, author = {Nicolas Genko and David Atienza and Giovanni De Micheli and Luca Benini and Jose Manuel Mendias and Rom{\'{a}}n Hermida and Francky Catthoor}, title = {A novel approach for network on chip emulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {2365--2368}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465100}, doi = {10.1109/ISCAS.2005.1465100}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GenkoAMBMHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/VelascoAOC05, author = {Jos{\'{e}} Manuel Velasco and David Atienza and Katzalin Olcoz and Francky Catthoor}, editor = {Gerhard R. Joubert and Wolfgang E. Nagel and Frans J. Peters and Oscar G. Plata and P. Tirado and Emilio L. Zapata}, title = {Performance Evaluation of Barrier Techniques for Distributed Tracing Garbage Collectors}, booktitle = {Parallel Computing: Current {\&} Future Issues of High-End Computing, Proceedings of the International Conference ParCo 2005, 13-16 September 2005, Department of Computer Architecture, University of Malaga, Spain}, series = {John von Neumann Institute for Computing Series}, volume = {33}, pages = {549--556}, publisher = {Central Institute for Applied Mathematics, J{\"{u}}lich, Germany}, year = {2005}, timestamp = {Mon, 20 Nov 2006 12:54:33 +0100}, biburl = {https://dblp.org/rec/conf/parco/VelascoAOC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/GenkoAM05, author = {Nicolas Genko and David Atienza and Giovanni De Micheli}, editor = {Gerhard R. Joubert and Wolfgang E. Nagel and Frans J. Peters and Oscar G. Plata and P. Tirado and Emilio L. Zapata}, title = {Exploration and Tuning of Custom NoC Topologies Using an FPGA-Based Framework}, booktitle = {Parallel Computing: Current {\&} Future Issues of High-End Computing, Proceedings of the International Conference ParCo 2005, 13-16 September 2005, Department of Computer Architecture, University of Malaga, Spain}, series = {John von Neumann Institute for Computing Series}, volume = {33}, pages = {753--760}, publisher = {Central Institute for Applied Mathematics, J{\"{u}}lich, Germany}, year = {2005}, timestamp = {Mon, 20 Nov 2006 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/parco/GenkoAM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/Perez-RamasAPMMH05, author = {J. B. P{\'{e}}rez{-}Ramas and David Atienza and Miguel Pe{\'{o}}n Quir{\'{o}}s and Ivan Magan and Jose Manuel Mendias and Rom{\'{a}}n Hermida}, editor = {Gerhard R. Joubert and Wolfgang E. Nagel and Frans J. Peters and Oscar G. Plata and P. Tirado and Emilio L. Zapata}, title = {Versatile FPGA-Based Functional Validation Framework for Networks-on-Chip Interconnections Designs}, booktitle = {Parallel Computing: Current {\&} Future Issues of High-End Computing, Proceedings of the International Conference ParCo 2005, 13-16 September 2005, Department of Computer Architecture, University of Malaga, Spain}, series = {John von Neumann Institute for Computing Series}, volume = {33}, pages = {769--776}, publisher = {Central Institute for Applied Mathematics, J{\"{u}}lich, Germany}, year = {2005}, timestamp = {Mon, 07 Dec 2009 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/parco/Perez-RamasAPMMH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/VelascoAOCTM05, author = {Jos{\'{e}} Manuel Velasco and David Atienza and Katzalin Olcoz and Francky Catthoor and Francisco Tirado and Jose Manuel Mendias}, editor = {Vassilis Paliouras and Johan Vounckx and Diederik Verkest}, title = {Energy Characterization of Garbage Collectors for Dynamic Applications on Embedded Systems}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3728}, pages = {69--78}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556930\_8}, doi = {10.1007/11556930\_8}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/VelascoAOCTM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wwic/MamagkakisBACSMT05, author = {Stylianos Mamagkakis and Christos Baloukas and David Atienza and Francky Catthoor and Dimitrios Soudris and Jos{\'{e}} M. Mend{\'{\i}}as and Antonios Thanailakis}, editor = {Torsten Braun and Georg Carle and Yevgeni Koucheryavy and Vassilios Tsaoussidis}, title = {Reducing Memory Fragmentation with Performance-Optimized Dynamic Memory Allocators in Network Applications}, booktitle = {Wired/Wireless Internet Communications, Third International Conference, {WWIC} 2005, Xanthi, Greece, May 11-13, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3510}, pages = {354--364}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424505\_34}, doi = {10.1007/11424505\_34}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/wwic/MamagkakisBACSMT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/DaylightAVCM04, author = {Edgar G. Daylight and David Atienza and Arnout Vandecappelle and Francky Catthoor and Jos{\'{e}} M. Mend{\'{\i}}as}, title = {Memory-access-aware data structure transformations for embedded software with dynamic data accesses}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {12}, number = {3}, pages = {269--280}, year = {2004}, url = {https://doi.org/10.1109/TVLSI.2004.824303}, doi = {10.1109/TVLSI.2004.824303}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/DaylightAVCM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEinteract/VelascoACTOM04, author = {Jos{\'{e}} Manuel Velasco and David Atienza and Francky Catthoor and Francisco Tirado and Katzalin Olcoz and Jose Manuel Mendias}, title = {Garbage Collector Refinement for New Dynamic Multimedia Applications on Embedded Systems}, booktitle = {8th Annual Workshop on Interaction between Compilers and Computer Architecture {(INTERACT-8} 2004), 15 February 2004, Madrid, Spain}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/INTERA.2004.1299507}, doi = {10.1109/INTERA.2004.1299507}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEinteract/VelascoACTOM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FrancescoMABCM04, author = {Francesco Poletti and Paul Marchal and David Atienza and Luca Benini and Francky Catthoor and Jose Manuel Mendias}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {An integrated hardware/software approach for run-time scratchpad management}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {238--243}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996634}, doi = {10.1145/996566.996634}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FrancescoMABCM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AtienzaMCMS04, author = {David Atienza and Stylianos Mamagkakis and Francky Catthoor and Jose Manuel Mendias and Dimitrios Soudris}, title = {Dynamic Memory Management Design Methodology for Reduced Memory Footprint in Multimedia and Wireless Network Applications}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {532--537}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268900}, doi = {10.1109/DATE.2004.1268900}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AtienzaMCMS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/AtienzaMCMS04, author = {David Atienza and Stylianos Mamagkakis and Francky Catthoor and Jose Manuel Mendias and Dimitrios Soudris}, editor = {Miguel Miranda and Radu Marculescu}, title = {Reducing memory accesses with a system-level design methodology in customized dynamic memory management}, booktitle = {Proceedings of the 2nd Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2004, Stockholm, Sweden, September 6-7, 2004}, pages = {93--98}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ESTMED.2004.1359716}, doi = {10.1109/ESTMED.2004.1359716}, timestamp = {Thu, 17 Feb 2022 09:36:05 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/AtienzaMCMS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/AtienzaLCDMFL04, author = {David Atienza and Marc Leeman and Francky Catthoor and Geert Deconinck and Jose Manuel Mendias and Vincenzo De Florio and Rudy Lauwereins}, title = {Fast prototyping and refinement of complex dynamic data types in multimedia applications for consumer embedded devices}, booktitle = {Proceedings of the 2004 {IEEE} International Conference on Multimedia and Expo, {ICME} 2004, 27-30 June 2004, Taipei, Taiwan}, pages = {803--806}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICME.2004.1394322}, doi = {10.1109/ICME.2004.1394322}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/AtienzaLCDMFL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/AtienzaMCMS04, author = {David Atienza and Stylianos Mamagkakis and Francky Catthoor and Jose Manuel Mendias and Dimitrios Soudris}, editor = {Enrico Macii and Odysseas G. Koufopavlou and Vassilis Paliouras}, title = {Modular Construction and Power Modelling of Dynamic Memory Managers for Embedded Systems}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, {PATMOS} 2004, Santorini, Greece, September 15-17, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3254}, pages = {510--520}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30205-6\_53}, doi = {10.1007/978-3-540-30205-6\_53}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/AtienzaMCMS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wwic/MamagkakisMPACSMT04, author = {Stylianos Mamagkakis and Alexandros Mpartzas and Georgios Pouiklis and David Atienza and Francky Catthoor and Dimitrios Soudris and Jose Manuel Mendias and Antonios Thanailakis}, editor = {Peter Langend{\"{o}}rfer and Mingyan Liu and Ibrahim Matta and Vassilios Tsaoussidis}, title = {Design of Energy Efficient Wireless Networks Using Dynamic Data Type Refinement Methodology}, booktitle = {Wired/Wireless Internet Communications, Second International Conference, {WWIC} 2004, Frankfurt/Oder, Germany, February 4-6, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2957}, pages = {26--37}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24643-5\_3}, doi = {10.1007/978-3-540-24643-5\_3}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/wwic/MamagkakisMPACSMT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LeemanA03, author = {Marc Leeman and David Atienza Alonso}, title = {Intermediate variable elimination in a global context for a 3D multimedia application}, booktitle = {Proceedings of the 2003 {IEEE} International Conference on Multimedia and Expo, {ICME} 2003, 6-9 July 2003, Baltimore, MD, {USA}}, pages = {73--76}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICME.2003.1221556}, doi = {10.1109/ICME.2003.1221556}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LeemanA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/LeemanYAFD03, author = {Marc Leeman and Chantal Ykman{-}Couvreur and David Atienza and Vincenzo De Florio and Geert Deconinck}, title = {Automated Dynamic Memory Data Type Implementation Exploration and Optimization}, booktitle = {2003 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2003), New Trends and Technologies for {VLSI} Systems Design, 20-21 February 2003, Tampa, FL, {USA}}, pages = {222--224}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISVLSI.2003.1183476}, doi = {10.1109/ISVLSI.2003.1183476}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/LeemanYAFD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/LeemanACFDML03, author = {Marc Leeman and David Atienza and Francky Catthoor and Vincenzo De Florio and Geert Deconinck and Jose Manuel Mendias and Rudy Lauwereins}, editor = {Jorge Juan{-}Chico and Enrico Macii}, title = {Power Estimation Approach of Dynamic Data Storage on a Hardware Software Boundary Level}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 13th International Workshop, {PATMOS} 2003, Torino, Italy, September 10-12, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2799}, pages = {289--298}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39762-5\_35}, doi = {10.1007/978-3-540-39762-5\_35}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/LeemanACFDML03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.