Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Nader Bagherzadeh
@article{DBLP:journals/corr/abs-2402-04431, author = {Ali Sedaghatgoo and Amir M. Hajisadeghi and Mahmoud Momtazpour and Nader Bagherzadeh}, title = {{ARMAN:} {A} Reconfigurable Monolithic 3D Accelerator Architecture for Convolutional Neural Networks}, journal = {CoRR}, volume = {abs/2402.04431}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.04431}, doi = {10.48550/ARXIV.2402.04431}, eprinttype = {arXiv}, eprint = {2402.04431}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-04431.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/FaghihTNB23, author = {Ehsan Faghih and MohammadReza Taheri and Keivan Navi and Nader Bagherzadeh}, title = {Efficient realization of quantum balanced ternary reversible multiplier building blocks: {A} great step towards sustainable computing}, journal = {Sustain. Comput. Informatics Syst.}, volume = {40}, pages = {100908}, year = {2023}, url = {https://doi.org/10.1016/j.suscom.2023.100908}, doi = {10.1016/J.SUSCOM.2023.100908}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/FaghihTNB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/DingQB23, author = {Andrew Ding and Ye Qiao and Nader Bagherzadeh}, title = {{BNN} An Ideal Architecture for Acceleration With Resistive in Memory Computation}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {11}, number = {2}, pages = {281--291}, year = {2023}, url = {https://doi.org/10.1109/TETC.2023.3237778}, doi = {10.1109/TETC.2023.3237778}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/DingQB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09323, author = {Shima Nabiee and Nader Bagherzadeh}, title = {Stock Trend Prediction: {A} Semantic Segmentation Approach}, journal = {CoRR}, volume = {abs/2303.09323}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09323}, doi = {10.48550/ARXIV.2303.09323}, eprinttype = {arXiv}, eprint = {2303.09323}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-14368, author = {Harsimrat Kaeley and Ye Qiao and Nader Bagherzadeh}, title = {Support for Stock Trend Prediction Using Transformers and Sentiment Analysis}, journal = {CoRR}, volume = {abs/2305.14368}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.14368}, doi = {10.48550/ARXIV.2305.14368}, eprinttype = {arXiv}, eprint = {2305.14368}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-14368.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-qtc/SenguptaEDNB22, author = {Diganta Sengupta and Ahmed A. Abd El{-}Latif and Debashis De and Keivan Navi and Nader Bagherzadeh}, title = {Reversible quantum communication {\&} systems}, journal = {{IET} Quantum Commun.}, volume = {3}, number = {1}, pages = {1--4}, year = {2022}, url = {https://doi.org/10.1049/qtc2.12037}, doi = {10.1049/QTC2.12037}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-qtc/SenguptaEDNB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/KimAB22, author = {Hyunjin Kim and Mohammed Alnemari and Nader Bagherzadeh}, title = {A storage-efficient ensemble classification using filter sharing on binarized convolutional neural networks}, journal = {PeerJ Comput. Sci.}, volume = {8}, pages = {e924}, year = {2022}, url = {https://doi.org/10.7717/peerj-cs.924}, doi = {10.7717/PEERJ-CS.924}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/KimAB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/PagliariSBM22, author = {Daniele Jahier Pagliari and Frank Schirrmeister and Nader Bagherzadeh and Enrico Macii}, title = {Guest Editorial: Thematic Section on Applications of Emerging Computing Technologies in Smart Manufacturing and Industry 4.0}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {10}, number = {1}, pages = {6--8}, year = {2022}, url = {https://doi.org/10.1109/TETC.2022.3146784}, doi = {10.1109/TETC.2022.3146784}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/PagliariSBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/KimBKB22, author = {Min Soo Kim and Alberto A. Del Barrio and Hyun Jin Kim and Nader Bagherzadeh}, title = {The Effects of Approximate Multiplication on Convolutional Neural Networks}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {10}, number = {2}, pages = {904--916}, year = {2022}, url = {https://doi.org/10.1109/TETC.2021.3050989}, doi = {10.1109/TETC.2021.3050989}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/KimBKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/HosseiniEYB22, author = {Maryam S. Hosseini and Masoumeh Ebrahimi and Pooria M. Yaghini and Nader Bagherzadeh}, title = {Near Volatile and Non-Volatile Memory Processing in 3D Systems}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {10}, number = {3}, pages = {1657--1664}, year = {2022}, url = {https://doi.org/10.1109/TETC.2021.3115495}, doi = {10.1109/TETC.2021.3115495}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/HosseiniEYB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/MurilloBBKKB22, author = {Raul Murillo and Alberto A. Del Barrio and Guillermo Botella and Min Soo Kim and Hyun Jin Kim and Nader Bagherzadeh}, title = {{PLAM:} {A} Posit Logarithm-Approximate Multiplier}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {10}, number = {4}, pages = {2079--2085}, year = {2022}, url = {https://doi.org/10.1109/TETC.2021.3109127}, doi = {10.1109/TETC.2021.3109127}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/MurilloBBKKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/QiaoAB22, author = {Ye Qiao and Mohammed Alnemari and Nader Bagherzadeh}, title = {A Two-Stage Efficient 3-D {CNN} Framework for {EEG} Based Emotion Recognition}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2022, Shanghai, China, August 22-25, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICIT48603.2022.10002796}, doi = {10.1109/ICIT48603.2022.10002796}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icit2/QiaoAB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-00883, author = {Ye Qiao and Mohammed Alnemari and Nader Bagherzadeh}, title = {A Two-Stage Efficient 3-D {CNN} Framework for {EEG} Based Emotion Recognition}, journal = {CoRR}, volume = {abs/2208.00883}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.00883}, doi = {10.48550/ARXIV.2208.00883}, eprinttype = {arXiv}, eprint = {2208.00883}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-00883.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MoghadamAKB21, author = {Mina Chookhachizadeh Moghadam and Ehsan Masoumi Khalil Abad and Samir Kendale and Nader Bagherzadeh}, title = {Predicting hypotension in the {ICU} using noninvasive physiological signals}, journal = {Comput. Biol. Medicine}, volume = {129}, pages = {104120}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2020.104120}, doi = {10.1016/J.COMPBIOMED.2020.104120}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/MoghadamAKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/MirmahalehRBK21, author = {Seyedeh Yasaman Hosseini Mirmahaleh and Midia Reshadi and Nader Bagherzadeh and Ahmad Khademzadeh}, title = {Data scheduling and placement in deep learning accelerator}, journal = {Clust. Comput.}, volume = {24}, number = {4}, pages = {3651--3669}, year = {2021}, url = {https://doi.org/10.1007/s10586-021-03355-8}, doi = {10.1007/S10586-021-03355-8}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/MirmahalehRBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/TalafyZZB21, author = {Javad Talafy and Farzaneh Zokaee and Hamid R. Zarandi and Nader Bagherzadeh}, title = {A High Performance, Multi-Bit Output Logic-in-Memory Adder}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {9}, number = {4}, pages = {2223--2233}, year = {2021}, url = {https://doi.org/10.1109/TETC.2020.2982951}, doi = {10.1109/TETC.2020.2982951}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/TalafyZZB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/JasemiHB21, author = {Masoomeh Jasemi and Shaahin Hessabi and Nader Bagherzadeh}, title = {Enhancing Reliability of Emerging Memory Technology for Machine Learning Accelerators}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {9}, number = {4}, pages = {2234--2240}, year = {2021}, url = {https://doi.org/10.1109/TETC.2020.2984992}, doi = {10.1109/TETC.2020.2984992}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/JasemiHB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/HosseiniEYB21, author = {Maryam S. Hosseini and Masoumeh Ebrahimi and Pooria M. Yaghini and Nader Bagherzadeh}, title = {Application Characterization for Near Memory Processing}, booktitle = {29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2021, Valladolid, Spain, March 10-12, 2021}, pages = {148--152}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PDP52278.2021.00031}, doi = {10.1109/PDP52278.2021.00031}, timestamp = {Fri, 23 Apr 2021 11:35:13 +0200}, biburl = {https://dblp.org/rec/conf/pdp/HosseiniEYB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-09262, author = {Raul Murillo and Alberto A. Del Barrio and Guillermo Botella and Min Soo Kim and Hyunjin Kim and Nader Bagherzadeh}, title = {{PLAM:} a Posit Logarithm-Approximate Multiplier for Power Efficient Posit-based DNNs}, journal = {CoRR}, volume = {abs/2102.09262}, year = {2021}, url = {https://arxiv.org/abs/2102.09262}, eprinttype = {arXiv}, eprint = {2102.09262}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-09262.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhaoBWW20, author = {Hongzhi Zhao and Nader Bagherzadeh and Qiang Wang and Yongchang Wang}, title = {A Fine-Grained Source-Throttling Method for Mesh Architectures}, journal = {{IEEE} Access}, volume = {8}, pages = {33101--33112}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2967459}, doi = {10.1109/ACCESS.2020.2967459}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhaoBWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MoghadamABRLK20, author = {Mina Chookhachizadeh Moghadam and Ehsan Masoumi Khalil Abad and Nader Bagherzadeh and Davinder Ramsingh and Guann{-}Pyng Li and Zeev N. Kain}, title = {A machine-learning approach to predicting hypotensive events in {ICU} settings}, journal = {Comput. Biol. Medicine}, volume = {118}, pages = {103626}, year = {2020}, url = {https://doi.org/10.1016/j.compbiomed.2020.103626}, doi = {10.1016/J.COMPBIOMED.2020.103626}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/MoghadamABRLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/JasemiHB20, author = {Masoomeh Jasemi and Shaahin Hessabi and Nader Bagherzadeh}, title = {Reliable and Energy Efficient {MLC} {STT-RAM} Buffer for {CNN} Accelerators}, journal = {Comput. Electr. Eng.}, volume = {86}, pages = {106698}, year = {2020}, url = {https://doi.org/10.1016/j.compeleceng.2020.106698}, doi = {10.1016/J.COMPELECENG.2020.106698}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/JasemiHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/BozorgmehrJMNB20, author = {Ali Bozorgmehr and Mohammad Khaleqi Qaleh Jooq and Mohammad Hossein Moaiyeri and Keivan Navi and Nader Bagherzadeh}, title = {A novel digital fuzzy system for image edge detection based on wrap-gate carbon nanotube transistors}, journal = {Comput. Electr. Eng.}, volume = {87}, pages = {106811}, year = {2020}, url = {https://doi.org/10.1016/j.compeleceng.2020.106811}, doi = {10.1016/J.COMPELECENG.2020.106811}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/BozorgmehrJMNB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/AaliB20, author = {Sahar Nikbakht Aali and Nader Bagherzadeh}, title = {Divisible load scheduling of image processing applications on the heterogeneous star and tree networks using a new genetic algorithm}, journal = {Concurr. Comput. Pract. Exp.}, volume = {32}, number = {10}, year = {2020}, url = {https://doi.org/10.1002/cpe.5498}, doi = {10.1002/CPE.5498}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/AaliB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/MirmahalehRB20, author = {Seyedeh Yasaman Hosseini Mirmahaleh and Midia Reshadi and Nader Bagherzadeh}, title = {Flow mapping on mesh-based deep learning accelerator}, journal = {J. Parallel Distributed Comput.}, volume = {144}, pages = {80--97}, year = {2020}, url = {https://doi.org/10.1016/j.jpdc.2020.04.011}, doi = {10.1016/J.JPDC.2020.04.011}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/MirmahalehRB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/TajaryZB20, author = {Alireza Tajary and Hamid R. Zarandi and Nader Bagherzadeh}, title = {{IRHT:} An {SDC} detection and recovery architecture based on value locality of instruction binary codes}, journal = {Microprocess. Microsystems}, volume = {77}, pages = {103159}, year = {2020}, url = {https://doi.org/10.1016/j.micpro.2020.103159}, doi = {10.1016/J.MICPRO.2020.103159}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/TajaryZB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/AlbaqsamiHJB20, author = {Ahmad Albaqsami and Maryam S. Hosseini and Masoomeh Jasemi and Nader Bagherzadeh}, title = {Adaptive {HTF-MPR:} An Adaptive Heterogeneous TensorFlow Mapper Utilizing Bayesian Optimization and Genetic Algorithms}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {11}, number = {5}, pages = {55:1--55:25}, year = {2020}, url = {https://doi.org/10.1145/3396949}, doi = {10.1145/3396949}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tist/AlbaqsamiHJB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MoghadamABRK20, author = {Mina Chookhachizadeh Moghadam and Ehsan Masoumi Khalil Abad and Nader Bagherzadeh and Davinder Ramsingh and Zeev N. Kain}, title = {Supervised Machine-Learning Algorithms in Real-time Prediction of Hypotensive Events}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {5468--5471}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9175451}, doi = {10.1109/EMBC44109.2020.9175451}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/MoghadamABRK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/AlhubailJB20, author = {Lulwah Alhubail and Masoomeh Jasemi and Nader Bagherzadeh}, title = {NoC Design Methodologies for Heterogeneous Architecture}, booktitle = {28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2020, V{\"{a}}ster{\aa}s, Sweden, March 11-13, 2020}, pages = {299--306}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/PDP50117.2020.00052}, doi = {10.1109/PDP50117.2020.00052}, timestamp = {Tue, 19 May 2020 14:16:27 +0200}, biburl = {https://dblp.org/rec/conf/pdp/AlhubailJB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/ShahhosseiniAJB20, author = {Sina Shahhosseini and Ahmad Albaqsami and Masoomeh Jasemi and Nader Bagherzadeh}, title = {Partition Pruning: Parallelization-Aware Pruning for Dense Neural Networks}, booktitle = {28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2020, V{\"{a}}ster{\aa}s, Sweden, March 11-13, 2020}, pages = {307--311}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/PDP50117.2020.00053}, doi = {10.1109/PDP50117.2020.00053}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/ShahhosseiniAJB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-08806, author = {Masoomeh Jasemi and Shaahin Hessabi and Nader Bagherzadeh}, title = {Reliable and Energy Efficient {MLC} {STT-RAM} Buffer for {CNN} Accelerators}, journal = {CoRR}, volume = {abs/2001.08806}, year = {2020}, url = {https://arxiv.org/abs/2001.08806}, eprinttype = {arXiv}, eprint = {2001.08806}, timestamp = {Thu, 30 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-08806.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-10500, author = {Min Soo Kim and Alberto A. Del Barrio and Hyunjin Kim and Nader Bagherzadeh}, title = {Effects of Approximate Multiplication on Convolutional Neural Networks}, journal = {CoRR}, volume = {abs/2007.10500}, year = {2020}, url = {https://arxiv.org/abs/2007.10500}, eprinttype = {arXiv}, eprint = {2007.10500}, timestamp = {Wed, 08 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-10500.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/TabrizchiTNB19, author = {Sepehr Tabrizchi and MohammadReza Taheri and Keivan Navi and Nader Bagherzadeh}, title = {Novel {CNFET} ternary circuit techniques for high-performance and energy-efficient design}, journal = {{IET} Circuits Devices Syst.}, volume = {13}, number = {2}, pages = {193--202}, year = {2019}, url = {https://doi.org/10.1049/iet-cds.2018.5036}, doi = {10.1049/IET-CDS.2018.5036}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/TabrizchiTNB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/MehranzadehKBR19, author = {Amin Mehranzadeh and Ahmad Khademzadeh and Nader Bagherzadeh and Midia Reshadi}, title = {{DICA:} destination intensity and congestion-aware output selection strategy for network-on-chip systems}, journal = {{IET} Comput. Digit. Tech.}, volume = {13}, number = {4}, pages = {335--347}, year = {2019}, url = {https://doi.org/10.1049/iet-cdt.2018.5154}, doi = {10.1049/IET-CDT.2018.5154}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/MehranzadehKBR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbd/Torabzadehkashi19, author = {Mahdi Torabzadehkashi and Siavash Rezaei and Ali Heydarigorji and Hossein Bobarshad and Vladimir Castro Alves and Nader Bagherzadeh}, title = {Computational storage: an efficient and scalable platform for big data and {HPC} applications}, journal = {J. Big Data}, volume = {6}, pages = {100}, year = {2019}, url = {https://doi.org/10.1186/s40537-019-0265-5}, doi = {10.1186/S40537-019-0265-5}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbd/Torabzadehkashi19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/MoralesCB19, author = {Luis German Garcia Morales and Jose Edinson Aedo Cobo and Nader Bagherzadeh}, title = {A new approach to the Population-Based Incremental Learning algorithm using virtual regions for task mapping on NoCs}, journal = {J. Syst. Archit.}, volume = {97}, pages = {443--454}, year = {2019}, url = {https://doi.org/10.1016/j.sysarc.2019.01.013}, doi = {10.1016/J.SYSARC.2019.01.013}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/MoralesCB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/SoleymaniRBK19, author = {Mona Soleymani and Midia Reshadi and Nader Bagherzadeh and Ahmad Khademzadeh}, title = {{CLBM:} Controlled load-balancing mechanism for congestion management in silicon interposer NoC architecture}, journal = {J. Syst. Archit.}, volume = {98}, pages = {102--113}, year = {2019}, url = {https://doi.org/10.1016/j.sysarc.2019.07.003}, doi = {10.1016/J.SYSARC.2019.07.003}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/SoleymaniRBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/ToulabinejadTNB19, author = {Maryam Toulabinejad and MohammadReza Taheri and Keivan Navi and Nader Bagherzadeh}, title = {Toward efficient implementation of basic balanced ternary arithmetic operations in {CNFET} technology}, journal = {Microelectron. J.}, volume = {90}, pages = {267--277}, year = {2019}, url = {https://doi.org/10.1016/j.mejo.2019.05.010}, doi = {10.1016/J.MEJO.2019.05.010}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/ToulabinejadTNB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimBOHB19, author = {Min Soo Kim and Alberto A. Del Barrio and Leonardo Tavares Oliveira and Rom{\'{a}}n Hermida and Nader Bagherzadeh}, title = {Efficient Mitchell's Approximate Log Multipliers for Convolutional Neural Networks}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {5}, pages = {660--675}, year = {2019}, url = {https://doi.org/10.1109/TC.2018.2880742}, doi = {10.1109/TC.2018.2880742}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimBOHB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/Kim0BB19, author = {Hyun Jin Kim and Min Soo Kim and Alberto A. Del Barrio and Nader Bagherzadeh}, editor = {Naofumi Takagi and Sylvie Boldo and Martin Langhammer}, title = {A Cost-Efficient Iterative Truncated Logarithmic Multiplication for Convolutional Neural Networks}, booktitle = {26th {IEEE} Symposium on Computer Arithmetic, {ARITH} 2019, Kyoto, Japan, June 10-12, 2019}, pages = {108--111}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ARITH.2019.00029}, doi = {10.1109/ARITH.2019.00029}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arith/Kim0BB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlhubailB19, author = {Lulwah Alhubail and Nader Bagherzadeh}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Power and Performance Optimal NoC Design for {CPU-GPU} Architecture Using Formal Models}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {634--637}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714769}, doi = {10.23919/DATE.2019.8714769}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AlhubailB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edge/AlnemariB19, author = {Mohammed Alnemari and Nader Bagherzadeh}, editor = {Elisa Bertino and Carl K. Chang and Peter Chen and Ernesto Damiani and Michael Goul and Katsunori Oyama}, title = {Efficient Deep Neural Networks for Edge Computing}, booktitle = {3rd {IEEE} International Conference on Edge Computing, {EDGE} 2019, Milan, Italy, July 8-13, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EDGE.2019.00014}, doi = {10.1109/EDGE.2019.00014}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/edge/AlnemariB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esann/Oliveira0GBM19, author = {Leonardo Tavares Oliveira and Min Soo Kim and Alberto A. Del Barrio Garc{\'{\i}}a and Nader Bagherzadeh and Ricardo Menotti}, title = {Design of Power-Efficient {FPGA} Convolutional Cores with Approximate Log Multiplier}, booktitle = {27th European Symposium on Artificial Neural Networks, {ESANN} 2019, Bruges, Belgium, April 24-26, 2019}, year = {2019}, url = {https://www.esann.org/sites/default/files/proceedings/legacy/es2019-125.pdf}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esann/Oliveira0GBM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/Torabzadehkashi19, author = {Mahdi Torabzadehkashi and Ali Heydarigorji and Siavash Rezaei and Hossein Bobarshad and Vladimir Castro Alves and Nader Bagherzadeh}, editor = {Zheng Xiao and Laurence T. Yang and Pavan Balaji and Tao Li and Keqin Li and Albert Y. Zomaya}, title = {Accelerating {HPC} Applications Using Computational Storage Devices}, booktitle = {21st {IEEE} International Conference on High Performance Computing and Communications; 17th {IEEE} International Conference on Smart City; 5th {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2019, Zhangjiajie, China, August 10-12, 2019}, pages = {1878--1885}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCC/SmartCity/DSS.2019.00259}, doi = {10.1109/HPCC/SMARTCITY/DSS.2019.00259}, timestamp = {Fri, 19 Nov 2021 12:28:04 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/Torabzadehkashi19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohammadiJTBG19, author = {Saba Mohammadi and Masoomeh Jasemi and Seyed Mohammadjavad Seyed Talebi and Nader Bagherzadeh and Michael M. Green}, title = {A Radiation Hard Sense Circuit for Spin Transfer Torque Random Access Memory}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702416}, doi = {10.1109/ISCAS.2019.8702416}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohammadiJTBG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/MirmahalehRSGB19, author = {Seyedeh Yasaman Hosseini Mirmahaleh and Midia Reshadi and Hesam Shabani and Xiaochen Guo and Nader Bagherzadeh}, editor = {Paul Bogdan and Cristina Silvano}, title = {Flow mapping and data distribution on mesh-based deep learning accelerator}, booktitle = {Proceedings of the 13th {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS} 2019, New York, NY, USA, October 17-18, 2019}, pages = {13:1--13:8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3313231.3352378}, doi = {10.1145/3313231.3352378}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/MirmahalehRSGB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/Torabzadehkashi19, author = {Mahdi Torabzadehkashi and Siavash Rezaei and Ali Heydarigorji and Hossein Bobarshad and Vladimir Castro Alves and Nader Bagherzadeh}, title = {Catalina: In-Storage Processing Acceleration for Scalable Big Data Analytics}, booktitle = {27th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2019, Pavia, Italy, February 13-15, 2019}, pages = {430--437}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMPDP.2019.8671589}, doi = {10.1109/EMPDP.2019.8671589}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/Torabzadehkashi19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-11391, author = {Sina Shahhosseini and Ahmad Albaqsami and Masoomeh Jasemi and Shaahin Hessabi and Nader Bagherzadeh}, title = {Partition Pruning: Parallelization-Aware Pruning for Deep Neural Networks}, journal = {CoRR}, volume = {abs/1901.11391}, year = {2019}, url = {http://arxiv.org/abs/1901.11391}, eprinttype = {arXiv}, eprint = {1901.11391}, timestamp = {Mon, 04 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-11391.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/KhodaparastRB18, author = {Fatemeh Khodaparast and Midia Reshadi and Nader Bagherzadeh}, title = {Application partitioning and mapping for bypass channel based NoC}, journal = {Comput. Electr. Eng.}, volume = {71}, pages = {676--691}, year = {2018}, url = {https://doi.org/10.1016/j.compeleceng.2018.08.016}, doi = {10.1016/J.COMPELECENG.2018.08.016}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/KhodaparastRB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/NoghondarRB18, author = {Amir Fadakar Noghondar and Midia Reshadi and Nader Bagherzadeh}, title = {Reducing bypass-based network-on-chip latency using priority mechanism}, journal = {{IET} Comput. Digit. Tech.}, volume = {12}, number = {1}, pages = {1--8}, year = {2018}, url = {https://doi.org/10.1049/iet-cdt.2016.0161}, doi = {10.1049/IET-CDT.2016.0161}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/NoghondarRB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/Haghighathoseini18, author = {Atefehsadat Haghighathoseini and Hossein Bobarshad and Fatehmeh Saghafi and Mohammad Sadegh Rezaei and Nader Bagherzadeh}, title = {Hospital enterprise Architecture Framework (Study of Iranian University Hospital Organization)}, journal = {Int. J. Medical Informatics}, volume = {114}, pages = {88--100}, year = {2018}, url = {https://doi.org/10.1016/j.ijmedinf.2018.03.009}, doi = {10.1016/J.IJMEDINF.2018.03.009}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/Haghighathoseini18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ArastehMTNB18, author = {Armineh Arasteh and Mohammad Hossein Moaiyeri and MohammadReza Taheri and Keivan Navi and Nader Bagherzadeh}, title = {An energy and area efficient 4: 2 compressor based on FinFETs}, journal = {Integr.}, volume = {60}, pages = {224--231}, year = {2018}, url = {https://doi.org/10.1016/j.vlsi.2017.09.010}, doi = {10.1016/J.VLSI.2017.09.010}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/ArastehMTNB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/AlqahtaniRLB18, author = {Ayed Alqahtani and Zongqing Ren and Jaeho Lee and Nader Bagherzadeh}, title = {System-Level Analysis of 3D ICs with Thermal TSVs}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {14}, number = {3}, pages = {37:1--37:16}, year = {2018}, url = {https://doi.org/10.1145/3264736}, doi = {10.1145/3264736}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/AlqahtaniRLB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/DanehdaranKNB18, author = {Fahimeh Danehdaran and Milad Bagherian Khosroshahy and Keivan Navi and Nader Bagherzadeh}, title = {Design and Power Analysis of New Coplanar One-Bit Full-Adder Cell in Quantum-Dot Cellular Automata}, journal = {J. Low Power Electron.}, volume = {14}, number = {1}, pages = {38--48}, year = {2018}, url = {https://doi.org/10.1166/jolpe.2018.1529}, doi = {10.1166/JOLPE.2018.1529}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/DanehdaranKNB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/AgyemanAB18, author = {Michael Opoku Agyeman and Ali Ahmadinia and Nader Bagherzadeh}, title = {Energy and performance-aware application mapping for inhomogeneous 3D networks-on-chip}, journal = {J. Syst. Archit.}, volume = {89}, pages = {103--117}, year = {2018}, url = {https://doi.org/10.1016/j.sysarc.2018.08.002}, doi = {10.1016/J.SYSARC.2018.08.002}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/AgyemanAB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GhaderiBA18, author = {Zana Ghaderi and Nader Bagherzadeh and Ahmad Albaqsami}, title = {{STABLE:} Stress-Aware Boolean Matching to Mitigate BTI-Induced {SNM} Reduction in SRAM-Based FPGAs}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {1}, pages = {102--114}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2725952}, doi = {10.1109/TC.2017.2725952}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GhaderiBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/VerbeekYEB18, author = {Freek Verbeek and Pooria M. Yaghini and Ashkan Eghbal and Nader Bagherzadeh}, title = {A Compositional Approach for Verifying Protocols Running on On-Chip Networks}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {7}, pages = {905--919}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2786723}, doi = {10.1109/TC.2017.2786723}, timestamp = {Tue, 10 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/VerbeekYEB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SalamatKEB18, author = {Ronak Salamat and Misagh Khayambashi and Masoumeh Ebrahimi and Nader Bagherzadeh}, title = {{LEAD:} An Adaptive 3D-NoC Routing Algorithm with Queuing-Theory Based Analytical Verification}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {8}, pages = {1153--1166}, year = {2018}, url = {https://doi.org/10.1109/TC.2018.2801298}, doi = {10.1109/TC.2018.2801298}, timestamp = {Thu, 13 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SalamatKEB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CharifCEBZ18, author = {Amir Charif and Alexandre Coelho and Masoumeh Ebrahimi and Nader Bagherzadeh and Nacer{-}Eddine Zergainoh}, title = {First-Last: {A} Cost-Effective Adaptive Routing Solution for TSV-Based Three-Dimensional Networks-on-Chip}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {10}, pages = {1430--1444}, year = {2018}, url = {https://doi.org/10.1109/TC.2018.2822269}, doi = {10.1109/TC.2018.2822269}, timestamp = {Fri, 14 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/CharifCEBZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AngiziHBF18, author = {Shaahin Angizi and Zhezhi He and Nader Bagherzadeh and Deliang Fan}, title = {Design and Evaluation of a Spintronic In-Memory Processing Platform for Nonvolatile Data Encryption}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {9}, pages = {1788--1801}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2774291}, doi = {10.1109/TCAD.2017.2774291}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AngiziHBF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/BozorgmehrMNB18, author = {Ali Bozorgmehr and Mohammad Hossein Moaiyeri and Keivan Navi and Nader Bagherzadeh}, title = {Ultra-Efficient Fuzzy Min/Max Circuits Based on Carbon Nanotube FETs}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {26}, number = {2}, pages = {1073--1078}, year = {2018}, url = {https://doi.org/10.1109/TFUZZ.2017.2697404}, doi = {10.1109/TFUZZ.2017.2697404}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/BozorgmehrMNB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/GhaderiAB18, author = {Zana Ghaderi and Ayed Alqahtani and Nader Bagherzadeh}, title = {AROMa: Aging-Aware Deadlock-Free Adaptive Routing Algorithm and Online Monitoring in 3D NoCs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {4}, pages = {772--788}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2017.2780173}, doi = {10.1109/TPDS.2017.2780173}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/GhaderiAB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimBHB18, author = {Min Soo Kim and Alberto A. Del Barrio and Rom{\'{a}}n Hermida and Nader Bagherzadeh}, editor = {Youngsoo Shin}, title = {Low-power implementation of Mitchell's approximate logarithmic multiplication for convolutional neural networks}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {617--622}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297391}, doi = {10.1109/ASPDAC.2018.8297391}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KimBHB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlbaqsamiHB18, author = {Ahmad Albaqsami and Maryam S. Hosseini and Nader Bagherzadeh}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{HTF-MPR:} {A} heterogeneous TensorFlow mapper targeting performance using genetic algorithms and gradient boosting regressors}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {331--336}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342031}, doi = {10.23919/DATE.2018.8342031}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AlbaqsamiHB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/Torabzadehkashi18, author = {Mahdi Torabzadehkashi and Siavash Rezaei and Vladimir Castro Alves and Nader Bagherzadeh}, title = {CompStor: An In-storage Computation Platform for Scalable Distributed Processing}, booktitle = {2018 {IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPS} Workshops 2018, Vancouver, BC, Canada, May 21-25, 2018}, pages = {1260--1267}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IPDPSW.2018.00195}, doi = {10.1109/IPDPSW.2018.00195}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/Torabzadehkashi18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/AaliSB18, author = {Sahar Nikbakht Aali and Hadi Shahriar Shahhoseini and Nader Bagherzadeh}, editor = {Ivan Merelli and Pietro Li{\`{o}} and Igor V. Kotenko}, title = {Divisible Load Scheduling of Image Processing Applications on the Heterogeneous Star Network Using a new Genetic Algorithm}, booktitle = {26th Euromicro International Conference on Parallel, Distributed and Network-based Processing, {PDP} 2018, Cambridge, United Kingdom, March 21-23, 2018}, pages = {77--84}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/PDP2018.2018.00019}, doi = {10.1109/PDP2018.2018.00019}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/AaliSB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/MoralesCB18, author = {Luis German Garcia Morales and Jose Edinson Aedo Cobo and Nader Bagherzadeh}, editor = {Ivan Merelli and Pietro Li{\`{o}} and Igor V. Kotenko}, title = {Simulation-Based Evaluation Strategy for Task Mapping Approaches in WNoC Platforms}, booktitle = {26th Euromicro International Conference on Parallel, Distributed and Network-based Processing, {PDP} 2018, Cambridge, United Kingdom, March 21-23, 2018}, pages = {622--626}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/PDP2018.2018.00104}, doi = {10.1109/PDP2018.2018.00104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/MoralesCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/DaliriNMDB17, author = {Mahya Sam Daliri and Keivan Navi and Reza Faghih Mirzaee and Nader Bagherzadeh}, title = {A new approach for designing compressors with a new hardware-friendly mathematical method for multi-input {XOR} gates}, journal = {{IET} Circuits Devices Syst.}, volume = {11}, number = {1}, pages = {46--57}, year = {2017}, url = {https://doi.org/10.1049/iet-cds.2016.0041}, doi = {10.1049/IET-CDS.2016.0041}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/DaliriNMDB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/TabrizchiPSNB17, author = {Sepehr Tabrizchi and Atiyeh Panahi and Fazel Sharifi and Keivan Navi and Nader Bagherzadeh}, title = {Method for designing ternary adder cells based on CNFETs}, journal = {{IET} Circuits Devices Syst.}, volume = {11}, number = {5}, pages = {465--470}, year = {2017}, url = {https://doi.org/10.1049/iet-cds.2016.0443}, doi = {10.1049/IET-CDS.2016.0443}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/TabrizchiPSNB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/DaliriMNB17, author = {Mahya Sam Daliri and Reza Faghih Mirzaee and Keivan Navi and Nader Bagherzadeh}, title = {High-performance ternary operators for scrambling}, journal = {Integr.}, volume = {59}, pages = {1--9}, year = {2017}, url = {https://doi.org/10.1016/j.vlsi.2017.03.010}, doi = {10.1016/J.VLSI.2017.03.010}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/DaliriMNB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/RouhaniATNB17, author = {Zahra Rouhani and Shaahin Angizi and MohammadReza Taheri and Keivan Navi and Nader Bagherzadeh}, title = {Towards Approximate Computing with Quantum-Dot Cellular Automata}, journal = {J. Low Power Electron.}, volume = {13}, number = {1}, pages = {29--35}, year = {2017}, url = {https://doi.org/10.1166/jolpe.2017.1475}, doi = {10.1166/JOLPE.2017.1475}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/RouhaniATNB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/KhosroshahyMABN17, author = {Milad Bagherian Khosroshahy and Mohammad Hossein Moaiyeri and Shaahin Angizi and Nader Bagherzadeh and Keivan Navi}, title = {Quantum-dot cellular automata circuits with reduced external fixed inputs}, journal = {Microprocess. Microsystems}, volume = {50}, pages = {154--163}, year = {2017}, url = {https://doi.org/10.1016/j.micpro.2017.03.009}, doi = {10.1016/J.MICPRO.2017.03.009}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/KhosroshahyMABN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mvl/MirzaeeDNB17, author = {Reza Faghih Mirzaee and Mahya Sam Daliri and Keivan Navi and Nader Bagherzadeh}, title = {A Single Parity-Check Digit for One Trit Error Detection in Ternary Communication Systems: Gate-Level and Transistor-Level Designs}, journal = {J. Multiple Valued Log. Soft Comput.}, volume = {29}, number = {3-4}, pages = {303--326}, year = {2017}, url = {http://www.oldcitypublishing.com/journals/mvlsc-home/mvlsc-issue-contents/mvlsc-volume-29-number-3-4-2017/mvlsc-29-3-4-p-303-326/}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mvl/MirzaeeDNB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/VerbeekYEB17, author = {Freek Verbeek and Pooria M. Yaghini and Ashkan Eghbal and Nader Bagherzadeh}, title = {Deadlock Verification of Cache Coherence Protocols and Communication Fabrics}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {2}, pages = {272--284}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2584060}, doi = {10.1109/TC.2016.2584060}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/VerbeekYEB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GhaderiENBB17, author = {Zana Ghaderi and Mohammad Ebrahimi and Zainalabedin Navabi and Eli Bozorgzadeh and Nader Bagherzadeh}, title = {SENSIBle: {A} Highly Scalable SENsor DeSIgn for Path-Based Age Monitoring in FPGAs}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {5}, pages = {919--926}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2622688}, doi = {10.1109/TC.2016.2622688}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GhaderiENBB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ZhaoBW17, author = {Hongzhi Zhao and Nader Bagherzadeh and Jie Wu}, title = {A General Fault-Tolerant Minimal Routing for Mesh Architectures}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {7}, pages = {1240--1246}, year = {2017}, url = {https://doi.org/10.1109/TC.2017.2651828}, doi = {10.1109/TC.2017.2651828}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ZhaoBW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GhaderiAB17, author = {Zana Ghaderi and Ayed Alqahtani and Nader Bagherzadeh}, editor = {David Atienza and Giorgio Di Natale}, title = {Online monitoring and adaptive routing for aging mitigation in NoCs}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {67--72}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7926960}, doi = {10.23919/DATE.2017.7926960}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GhaderiAB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/Sarbazi-AzadBED16, author = {Hamid Sarbazi{-}Azad and Nader Bagherzadeh and Masoumeh Ebrahimi and Masoud Daneshtalab}, title = {Introduction to the Special Section on On-chip parallel and network-based systems}, journal = {Comput. Electr. Eng.}, volume = {51}, pages = {118--120}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2016.05.008}, doi = {10.1016/J.COMPELECENG.2016.05.008}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/Sarbazi-AzadBED16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/SharifiPSNBT16, author = {Fazel Sharifi and Atiyeh Panahi and Hojjat Sharifi and Keivan Navi and Nader Bagherzadeh and Himanshu Thapliyal}, title = {Design of quaternary 4-2 and 5-2 compressors for nanotechnology}, journal = {Comput. Electr. Eng.}, volume = {56}, pages = {64--74}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2016.11.006}, doi = {10.1016/J.COMPELECENG.2016.11.006}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/SharifiPSNBT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/MoaiyeriKNNB16, author = {Mohammad Hossein Moaiyeri and Nooshin Khastoo and Molood Nasiri and Keivan Navi and Nader Bagherzadeh}, title = {An Efficient Analog-to-Digital Converter Based on Carbon Nanotube FETs}, journal = {J. Low Power Electron.}, volume = {12}, number = {2}, pages = {150--157}, year = {2016}, url = {https://doi.org/10.1166/jolpe.2016.1432}, doi = {10.1166/JOLPE.2016.1432}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/MoaiyeriKNNB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/DaliriMNB16, author = {Mahya Sam Daliri and Reza Faghih Mirzaee and Keivan Navi and Nader Bagherzadeh}, title = {Ternary cyclic redundancy check by a new hardware-friendly ternary operator}, journal = {Microelectron. J.}, volume = {54}, pages = {126--137}, year = {2016}, url = {https://doi.org/10.1016/j.mejo.2016.04.018}, doi = {10.1016/J.MEJO.2016.04.018}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/DaliriMNB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/YaghiniEYBG16, author = {Pooria M. Yaghini and Ashkan Eghbal and Siavash S. Yazdi and Nader Bagherzadeh and Michael M. Green}, title = {Capacitive and Inductive TSV-to-TSV Resilient Approaches for 3D ICs}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {3}, pages = {693--705}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2498550}, doi = {10.1109/TC.2015.2498550}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/YaghiniEYBG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShabaniRRRBD16, author = {Hesam Shabani and Arman Roohi and Akram Reza and Midia Reshadi and Nader Bagherzadeh and Ronald F. DeMara}, title = {Loss-Aware Switch Design and Non-Blocking Detection Algorithm for Intra-Chip Scale Photonic Interconnection Networks}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {6}, pages = {1789--1801}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2458866}, doi = {10.1109/TC.2015.2458866}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ShabaniRRRBD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SalamatKEB16, author = {Ronak Salamat and Misagh Khayambashi and Masoumeh Ebrahimi and Nader Bagherzadeh}, title = {A Resilient Routing Algorithm with Formal Reliability Analysis for Partially Connected 3D-NoCs}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {11}, pages = {3265--3279}, year = {2016}, url = {https://doi.org/10.1109/TC.2016.2532871}, doi = {10.1109/TC.2016.2532871}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SalamatKEB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AgyemanAB16, author = {Michael Opoku Agyeman and Ali Ahmadinia and Nader Bagherzadeh}, title = {Performance and Energy Aware Inhomogeneous 3D Networks-on-Chip Architecture Generation}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {6}, pages = {1756--1769}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2457444}, doi = {10.1109/TPDS.2015.2457444}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AgyemanAB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VerbeekYEB16, author = {Freek Verbeek and Pooria M. Yaghini and Ashkan Eghbal and Nader Bagherzadeh}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {{ADVOCAT:} Automated deadlock verification for on-chip cache coherence and interconnects}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1640--1645}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459575/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VerbeekYEB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/SalamatEBV16, author = {Ronak Salamat and Masoumeh Ebrahimi and Nader Bagherzadeh and Freek Verbeek}, title = {CoBRA: Low cost compensation of {TSV} failures in 3D-NoC}, booktitle = {2016 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2016, Storrs, CT, USA, September 19-20, 2016}, pages = {115--120}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DFT.2016.7684081}, doi = {10.1109/DFT.2016.7684081}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/SalamatEBV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/DaneshtalabBS15, author = {Masoud Daneshtalab and Nader Bagherzadeh and Hamid Sarbazi{-}Azad}, title = {Special issue on on-chip parallel and network-based systems}, journal = {Computing}, volume = {97}, number = {6}, pages = {539--541}, year = {2015}, url = {https://doi.org/10.1007/s00607-015-0458-5}, doi = {10.1007/S00607-015-0458-5}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/DaneshtalabBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/DemirizBA15, author = {Ayhan Demiriz and Nader Bagherzadeh and Abdulaziz Alhussein}, title = {Using constraint programming for the design of network-on-chip architectures}, journal = {Computing}, volume = {97}, number = {6}, pages = {579--592}, year = {2015}, url = {https://doi.org/10.1007/s00607-013-0359-4}, doi = {10.1007/S00607-013-0359-4}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/DemirizBA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/JasemiMNB15, author = {Masoomeh Jasemi and Reza Faghih Mirzaee and Keivan Navi and Nader Bagherzadeh}, title = {Voltage mirror circuit by carbon nanotube field effect transistors for mirroring dynamic random access memories in multiple-valued logic and fuzzy logic}, journal = {{IET} Circuits Devices Syst.}, volume = {9}, number = {5}, pages = {343--352}, year = {2015}, url = {https://doi.org/10.1049/iet-cds.2014.0295}, doi = {10.1049/IET-CDS.2014.0295}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/JasemiMNB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/DaneshtalabBS15, author = {Masoud Daneshtalab and Nader Bagherzadeh and Hamid Sarbazi{-}Azad}, title = {On-chip parallel and network-based systems}, journal = {Integr.}, volume = {50}, pages = {137--138}, year = {2015}, url = {https://doi.org/10.1016/j.vlsi.2015.04.004}, doi = {10.1016/J.VLSI.2015.04.004}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/DaneshtalabBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/YaghiniEB15, author = {Pooria M. Yaghini and Ashkan Eghbal and Nader Bagherzadeh}, title = {On the design of hybrid routing mechanism for mesh-based network-on-chip}, journal = {Integr.}, volume = {50}, pages = {183--192}, year = {2015}, url = {https://doi.org/10.1016/j.vlsi.2014.12.006}, doi = {10.1016/J.VLSI.2014.12.006}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/YaghiniEB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/AngiziSRBN15, author = {Shaahin Angizi and Samira Sayedsalehi and Arman Roohi and Nader Bagherzadeh and Keivan Navi}, title = {Design and Verification of New n-Bit Quantum-Dot Synchronous Counters Using Majority Function-Based {JK} Flip-Flops}, journal = {J. Circuits Syst. Comput.}, volume = {24}, number = {10}, pages = {1550153:1--1550153:17}, year = {2015}, url = {https://doi.org/10.1142/S0218126615501534}, doi = {10.1142/S0218126615501534}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/AngiziSRBN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/KhayambashiYEB15, author = {Misagh Khayambashi and Pooria M. Yaghini and Ashkan Eghbal and Nader Bagherzadeh}, title = {Analytical Reliability Analysis of 3D NoC under {TSV} Failure}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {11}, number = {4}, pages = {43:1--43:16}, year = {2015}, url = {https://doi.org/10.1145/2700236}, doi = {10.1145/2700236}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/KhayambashiYEB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/AngiziDSSBN15, author = {Shaahin Angizi and Fahimeh Danehdaran and Soheil Sarmadi and Shadi Sheikhfaal and Nader Bagherzadeh and Keivan Navi}, title = {An Ultra-High Speed and Low Complexity Quantum-Dot Cellular Automata Full Adder}, journal = {J. Low Power Electron.}, volume = {11}, number = {2}, pages = {173--180}, year = {2015}, url = {https://doi.org/10.1166/jolpe.2015.1378}, doi = {10.1166/JOLPE.2015.1378}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/AngiziDSSBN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/AngiziMFNB15, author = {Shaahin Angizi and Mohammad Hossein Moaiyeri and Shohreh Farrokhi and Keivan Navi and Nader Bagherzadeh}, title = {Designing quantum-dot cellular automata counters with energy consumption analysis}, journal = {Microprocess. Microsystems}, volume = {39}, number = {7}, pages = {512--520}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.07.011}, doi = {10.1016/J.MICPRO.2015.07.011}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/AngiziMFNB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/SharifiMNB15, author = {Fazel Sharifi and Mohammad Hossein Moaiyeri and Keivan Navi and Nader Bagherzadeh}, title = {Robust and energy-efficient carbon nanotube FET-based {MVL} gates: {A} novel design approach}, journal = {Microelectron. J.}, volume = {46}, number = {12}, pages = {1333--1342}, year = {2015}, url = {https://doi.org/10.1016/j.mejo.2015.09.018}, doi = {10.1016/J.MEJO.2015.09.018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/SharifiMNB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/EghbalYBK15, author = {Ashkan Eghbal and Pooria M. Yaghini and Nader Bagherzadeh and Misagh Khayambashi}, title = {Analytical Fault Tolerance Assessment and Metrics for TSV-Based 3D Network-on-Chip}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {12}, pages = {3591--3604}, year = {2015}, url = {https://doi.org/10.1109/TC.2015.2401016}, doi = {10.1109/TC.2015.2401016}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/EghbalYBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/Sarbazi-AzadBJ15, author = {Hamid Sarbazi{-}Azad and Nader Bagherzadeh and G. Jaberipour}, title = {Advances in multicore systems architectures}, journal = {J. Supercomput.}, volume = {71}, number = {8}, pages = {2783--2786}, year = {2015}, url = {https://doi.org/10.1007/s11227-015-1487-8}, doi = {10.1007/S11227-015-1487-8}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/Sarbazi-AzadBJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/HuWB15, author = {Wen{-}Hsiang Hu and Chifeng Wang and Nader Bagherzadeh}, title = {Design and analysis of a mesh-based wireless network-on-chip}, journal = {J. Supercomput.}, volume = {71}, number = {8}, pages = {2830--2846}, year = {2015}, url = {https://doi.org/10.1007/s11227-014-1341-4}, doi = {10.1007/S11227-014-1341-4}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/HuWB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YaghiniEKB15, author = {Pooria M. Yaghini and Ashkan Eghbal and Misagh Khayambashi and Nader Bagherzadeh}, title = {Coupling Mitigation in 3-D Multiple-Stacked Devices}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {12}, pages = {2931--2944}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2379263}, doi = {10.1109/TVLSI.2014.2379263}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/YaghiniEKB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/YaghiniEYB15, author = {Pooria M. Yaghini and Ashkan Eghbal and Siavash S. Yazdi and Nader Bagherzadeh}, editor = {Andr{\'{e}} Ivanov and Diana Marculescu and Partha Pratim Pande and Jos{\'{e}} Flich and Karthik Pattabiraman}, title = {Accurate System-level TSV-to-TSV Capacitive Coupling Fault Model for 3D-NoC}, booktitle = {Proceedings of the 9th International Symposium on Networks-on-Chip, {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015}, pages = {3:1--3:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2786572.2786598}, doi = {10.1145/2786572.2786598}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/YaghiniEYB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SalamatEB15, author = {Ronak Salamat and Masoumeh Ebrahimi and Nader Bagherzadeh}, editor = {Masoud Daneshtalab and Marco Aldinucci and Ville Lepp{\"{a}}nen and Johan Lilius and Mats Brorsson}, title = {An Adaptive, Low Restrictive and Fault Resilient Routing Algorithm for 3D Network-on-Chip}, booktitle = {23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6, 2015}, pages = {392--395}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PDP.2015.91}, doi = {10.1109/PDP.2015.91}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SalamatEB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/EghbalYB15, author = {Ashkan Eghbal and Pooria M. Yaghini and Nader Bagherzadeh}, title = {Capacitive Coupling Mitigation for TSV-based 3D ICs}, booktitle = {33rd {IEEE} {VLSI} Test Symposium, {VTS} 2015, Napa, CA, USA, April 27-29, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/VTS.2015.7116279}, doi = {10.1109/VTS.2015.7116279}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/EghbalYB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/DemirizBO14, author = {Ayhan Demiriz and Nader Bagherzadeh and {\"{O}}zcan {\"{O}}zturk}, title = {Voltage island based heterogeneous NoC design through constraint programming}, journal = {Comput. Electr. Eng.}, volume = {40}, number = {8}, pages = {307--316}, year = {2014}, url = {https://doi.org/10.1016/j.compeleceng.2014.08.005}, doi = {10.1016/J.COMPELECENG.2014.08.005}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/DemirizBO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/AngiziABN14, author = {Shaahin Angizi and Esam Alkaldy and Nader Bagherzadeh and Keivan Navi}, title = {Novel Robust Single Layer Wire Crossing Approach for Exclusive {OR} Sum of Products Logic Design with Quantum-Dot Cellular Automata}, journal = {J. Low Power Electron.}, volume = {10}, number = {2}, pages = {259--271}, year = {2014}, url = {https://doi.org/10.1166/jolpe.2014.1320}, doi = {10.1166/JOLPE.2014.1320}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/AngiziABN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/WangB14, author = {Chifeng Wang and Nader Bagherzadeh}, title = {Design and evaluation of a high throughput QoS-aware and congestion-aware router architecture for Network-on-Chip}, journal = {Microprocess. Microsystems}, volume = {38}, number = {4}, pages = {304--315}, year = {2014}, url = {https://doi.org/10.1016/j.micpro.2013.09.006}, doi = {10.1016/J.MICPRO.2013.09.006}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/WangB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/BarrioBH14, author = {Alberto A. Del Barrio and Nader Bagherzadeh and Rom{\'{a}}n Hermida}, title = {Ultra-low-power adder stage design for exascale floating point units}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {3s}, pages = {105:1--105:24}, year = {2014}, url = {https://doi.org/10.1145/2567932}, doi = {10.1145/2567932}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/BarrioBH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/MirzaeeNB14, author = {Reza Faghih Mirzaee and Keivan Navi and Nader Bagherzadeh}, title = {High-Efficient Circuits for Ternary Addition}, journal = {{VLSI} Design}, volume = {2014}, pages = {534587:1--534587:15}, year = {2014}, url = {https://doi.org/10.1155/2014/534587}, doi = {10.1155/2014/534587}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/MirzaeeNB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/EghbalYYB14, author = {Ashkan Eghbal and Pooria M. Yaghini and Siavash S. Yazdi and Nader Bagherzadeh}, title = {TSV-to-TSV inductive coupling-aware coding scheme for 3D Network-on-Chip}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {92--97}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962067}, doi = {10.1109/DFT.2014.6962067}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/EghbalYYB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/YaghiniEB14, author = {Pooria M. Yaghini and Ashkan Eghbal and Nader Bagherzadeh}, editor = {Masoud Daneshtalab and Masoumeh Ebrahimi and Maurizio Palesi and Federico Angiolini and Juha Plosila}, title = {A {GALS} Router for Asynchronous Network-on-Chip}, booktitle = {Proceedings of the 2nd International Workshop on Many-core Embedded Systems, MES'2014, in conjunction with the 41st International Symposium on Computer Architecture, ISCA'2014, Minneapolis, MN, USA, June 15, 2014}, pages = {52--55}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2613908.2613918}, doi = {10.1145/2613908.2613918}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/YaghiniEB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/AzampanahKBJS13, author = {Sanaz Azampanah and Ahmad Khademzadeh and Nader Bagherzadeh and Majid Janidarmian and Reza Shojaee}, title = {Contention-aware selection strategy for application-specific network-on-chip}, journal = {{IET} Comput. Digit. Tech.}, volume = {7}, number = {3}, year = {2013}, url = {https://doi.org/10.1049/iet-cdt.2011.0173}, doi = {10.1049/IET-CDT.2011.0173}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/AzampanahKBJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/Sarbazi-AzadB13, author = {Hamid Sarbazi{-}Azad and Nader Bagherzadeh}, title = {Multicore computing systems: Architecture, programming tools, and applications}, journal = {J. Comput. Syst. Sci.}, volume = {79}, number = {4}, pages = {403--405}, year = {2013}, url = {https://doi.org/10.1016/j.jcss.2012.09.015}, doi = {10.1016/J.JCSS.2012.09.015}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcss/Sarbazi-AzadB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/WangHB13, author = {Chifeng Wang and Wen{-}Hsiang Hu and Nader Bagherzadeh}, title = {Scalable load balancing congestion-aware Network-on-Chip router architecture}, journal = {J. Comput. Syst. Sci.}, volume = {79}, number = {4}, pages = {421--439}, year = {2013}, url = {https://doi.org/10.1016/j.jcss.2012.09.007}, doi = {10.1016/J.JCSS.2012.09.007}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcss/WangHB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BolanosRAB13, author = {Freddy Bolanos and Fredy Rivera and Jose Edison Aedo and Nader Bagherzadeh}, title = {From {UML} specifications to mapping and scheduling of tasks into a NoC, with reliability considerations}, journal = {J. Syst. Archit.}, volume = {59}, number = {7}, pages = {429--440}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.04.009}, doi = {10.1016/J.SYSARC.2013.04.009}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/BolanosRAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/WangYJP0MB13, author = {Xiaohang Wang and Mei Yang and Yingtao Jiang and Maurizio Palesi and Peng Liu and Terrence S. T. Mak and Nader Bagherzadeh}, title = {Efficient multicast schemes for 3-D Networks-on-Chip}, journal = {J. Syst. Archit.}, volume = {59}, number = {9}, pages = {693--708}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.06.002}, doi = {10.1016/J.SYSARC.2013.06.002}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/WangYJP0MB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/DemirizB13, author = {Ayhan Demiriz and Nader Bagherzadeh}, editor = {Maurizio Palesi and Terrence S. T. Mak and Masoud Daneshtalab}, title = {On heterogeneous network-on-chip design based on constraint programming}, booktitle = {Network on Chip Architectures, NoCArc '13, in conjunction with the 46th Annual {IEEE/ACM} International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7, 2013}, pages = {29--34}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2536522.2536528}, doi = {10.1145/2536522.2536528}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/DemirizB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/DemirizBA13, author = {Ayhan Demiriz and Nader Bagherzadeh and Abdulaziz Alhussien}, title = {CPNoC: On Using Constraint Programming in Design of Network-on-Chip Architecture}, booktitle = {21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2013, Belfast, United Kingdom, February 27 - March 1, 2013}, pages = {486--493}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PDP.2013.78}, doi = {10.1109/PDP.2013.78}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/DemirizBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/EskandariKBJ13, author = {Azadeh Eskandari and Ahmad Khademzadeh and Nader Bagherzadeh and Majid Janidarmian}, title = {Quality of Service Optimization for Network-on-Chip Using Bandwidth-Constraint Mapping Algorithm}, booktitle = {21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2013, Belfast, United Kingdom, February 27 - March 1, 2013}, pages = {504--508}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PDP.2013.81}, doi = {10.1109/PDP.2013.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/EskandariKBJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/HuCBB12, author = {W.{-}H. Hu and C.{-}Y. Chen and Jun Ho Bahn and Nader Bagherzadeh}, title = {Parallel low-density parity check decoding on a network-on-chip-based multiprocessor platform}, journal = {{IET} Comput. Digit. Tech.}, volume = {6}, number = {2}, pages = {86--94}, year = {2012}, url = {https://doi.org/10.1049/iet-cdt.2010.0177}, doi = {10.1049/IET-CDT.2010.0177}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/HuCBB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/AlhussienWB12, author = {Abdulaziz Alhussien and Chifeng Wang and Nader Bagherzadeh}, title = {Design and evaluation of a high throughput robust router for network-on-chip}, journal = {{IET} Comput. Digit. Tech.}, volume = {6}, number = {3}, pages = {173--179}, year = {2012}, url = {https://doi.org/10.1049/iet-cdt.2011.0082}, doi = {10.1049/IET-CDT.2011.0082}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/AlhussienWB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpsa/WangB12, author = {Chifeng Wang and Nader Bagherzadeh}, title = {High-throughput differentiated service provision router architecture for wireless network-on-chip}, journal = {Int. J. High Perform. Syst. Archit.}, volume = {4}, number = {1}, pages = {38--56}, year = {2012}, url = {https://doi.org/10.1504/IJHPSA.2012.047578}, doi = {10.1504/IJHPSA.2012.047578}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpsa/WangB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jucs/BolanosARB12, author = {Freddy Bolanos and Jose Edison Aedo and Fredy Rivera and Nader Bagherzadeh}, title = {Mapping and Scheduling in Heterogeneous NoC through Population-Based Incremental Learning}, journal = {J. Univers. Comput. Sci.}, volume = {18}, number = {7}, pages = {901--916}, year = {2012}, url = {https://doi.org/10.3217/jucs-018-07-0901}, doi = {10.3217/JUCS-018-07-0901}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jucs/BolanosARB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/Sarbazi-AzadB12, author = {Hamid Sarbazi{-}Azad and Nader Bagherzadeh}, title = {Editorial notes: Special issue on on-chip parallel and network-based systems}, journal = {Microprocess. Microsystems}, volume = {36}, number = {7}, pages = {529--530}, year = {2012}, url = {https://doi.org/10.1016/j.micpro.2012.08.003}, doi = {10.1016/J.MICPRO.2012.08.003}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/Sarbazi-AzadB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/WangHB12, author = {Chifeng Wang and Wen{-}Hsiang Hu and Nader Bagherzadeh}, title = {A load-balanced congestion-aware wireless network-on-chip design for multi-core platforms}, journal = {Microprocess. Microsystems}, volume = {36}, number = {7}, pages = {555--570}, year = {2012}, url = {https://doi.org/10.1016/j.micpro.2011.10.002}, doi = {10.1016/J.MICPRO.2011.10.002}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/WangHB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scira/HatanakaB12, author = {Akira Hatanaka and Nader Bagherzadeh}, title = {A software pipelining algorithm of streaming applications with low buffer requirements}, journal = {Sci. Iran.}, volume = {19}, number = {3}, pages = {627--634}, year = {2012}, url = {https://doi.org/10.1016/j.scient.2011.08.034}, doi = {10.1016/J.SCIENT.2011.08.034}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scira/HatanakaB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/WangB12, author = {Chifeng Wang and Nader Bagherzadeh}, editor = {Rainer Stotzka and Michael Schiffers and Yannis Cotronis}, title = {Design and Evaluation of a High Throughput QoS-Aware and Congestion-Aware Router Architecture for Network-on-Chip}, booktitle = {Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2012, Munich, Germany, February 15-17, 2012}, pages = {457--464}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PDP.2012.20}, doi = {10.1109/PDP.2012.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/WangB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/HuWB12, author = {Wen{-}Hsiang Hu and Chifeng Wang and Nader Bagherzadeh}, editor = {Rainer Stotzka and Michael Schiffers and Yannis Cotronis}, title = {Design and Analysis of a Mesh-based Wireless Network-on-Chip}, booktitle = {Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2012, Munich, Germany, February 15-17, 2012}, pages = {483--490}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PDP.2012.19}, doi = {10.1109/PDP.2012.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/HuWB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/AzampanahKBJS12, author = {Sanaz Azampanah and Ahmad Khademzadeh and Nader Bagherzadeh and Majid Janidarmian and Reza Shojaee}, editor = {Rainer Stotzka and Michael Schiffers and Yannis Cotronis}, title = {{LATEX:} New Selection Policy for Adaptive Routing in Application-Specific NoC}, booktitle = {Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2012, Munich, Germany, February 15-17, 2012}, pages = {515--519}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PDP.2012.60}, doi = {10.1109/PDP.2012.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/AzampanahKBJS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/AlhussienBVGS12, author = {Abdulaziz Alhussien and Nader Bagherzadeh and Freek Verbeek and Bernard van Gastel and Julien Schmaltz}, title = {A formally verified deadlock-free routing function in a fault-tolerant NoC architecture}, booktitle = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI} 2012, Brasilia, Brazil, August 30 - September 2, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SBCCI.2012.6344433}, doi = {10.1109/SBCCI.2012.6344433}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/AlhussienBVGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpsa/HatanakaB11, author = {Akira Hatanaka and Nader Bagherzadeh}, title = {A scheduling approach for distributed resource architectures with scarce communication resources}, journal = {Int. J. High Perform. Syst. Archit.}, volume = {3}, number = {1}, pages = {12--22}, year = {2011}, url = {https://doi.org/10.1504/IJHPSA.2011.038054}, doi = {10.1504/IJHPSA.2011.038054}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpsa/HatanakaB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BagherzadehS11, author = {Nader Bagherzadeh and Hamid Sarbazi{-}Azad}, title = {Special issue on: On-chip parallel and network-based systems}, journal = {J. Syst. Archit.}, volume = {57}, number = {1}, pages = {1--3}, year = {2011}, url = {https://doi.org/10.1016/j.sysarc.2010.11.004}, doi = {10.1016/J.SYSARC.2010.11.004}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/BagherzadehS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/WangHLB11, author = {Chifeng Wang and Wen{-}Hsiang Hu and Seung Eun Lee and Nader Bagherzadeh}, title = {Area and power-efficient innovative congestion-aware Network-on-Chip architecture}, journal = {J. Syst. Archit.}, volume = {57}, number = {1}, pages = {24--38}, year = {2011}, url = {https://doi.org/10.1016/j.sysarc.2010.10.009}, doi = {10.1016/J.SYSARC.2010.10.009}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/WangHLB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/WangHB11, author = {Chifeng Wang and Wen{-}Hsiang Hu and Nader Bagherzadeh}, editor = {Yiannis Cotronis and Marco Danelutto and George Angelos Papadopoulos}, title = {A Wireless Network-on-Chip Design for Multicore Platforms}, booktitle = {Proceedings of the 19th International Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2011, Ayia Napa, Cyprus, 9-11 February 2011}, pages = {409--416}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PDP.2011.37}, doi = {10.1109/PDP.2011.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/WangHB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/YangCBL11, author = {Jungsook Yang and Chuny Chun and Nader Bagherzadeh and Seung Eun Lee}, editor = {Yiannis Cotronis and Marco Danelutto and George Angelos Papadopoulos}, title = {Load Balancing for Data-Parallel Applications on Network-on-Chip Enabled Multi-processor Platform}, booktitle = {Proceedings of the 19th International Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2011, Ayia Napa, Cyprus, 9-11 February 2011}, pages = {439--446}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PDP.2011.90}, doi = {10.1109/PDP.2011.90}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/YangCBL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpsa/YangBLYB10, author = {Yoon Seok Yang and Jun Ho Bahn and Seung Eun Lee and Jungsook Yang and Nader Bagherzadeh}, title = {Parallel processing for block ciphers on a fault tolerant networked processor array}, journal = {Int. J. High Perform. Syst. Archit.}, volume = {2}, number = {3/4}, pages = {156--167}, year = {2010}, url = {https://doi.org/10.1504/IJHPSA.2010.034537}, doi = {10.1504/IJHPSA.2010.034537}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpsa/YangBLYB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/PenczekHSSYCBG10, author = {Frank Penczek and Stephan Herhut and Sven{-}Bodo Scholz and Alexander V. Shafarenko and Jungsook Yang and Chun{-}Yi Chen and Nader Bagherzadeh and Clemens Grelck}, editor = {Wang{-}Chien Lee and Xin Yuan}, title = {Message Driven Programming with S-Net: Methodology and Performance}, booktitle = {39th International Conference on Parallel Processing, {ICPP} Workshops 2010, San Diego, California, USA, 13-16 September 2010}, pages = {405--412}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPPW.2010.61}, doi = {10.1109/ICPPW.2010.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/PenczekHSSYCBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ict/AlhussienWB10, author = {Abdulaziz Alhussien and Chifeng Wang and Nader Bagherzadeh}, title = {A scalable delay insensitive asynchronous NoC with adaptive routing}, booktitle = {17th International Conference on Telecommunications, {ICT} 2010, Doha, Qatar, April 4-7, 2010}, pages = {995--1002}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTEL.2010.5478830}, doi = {10.1109/ICTEL.2010.5478830}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ict/AlhussienWB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/WangHLB10, author = {Chifeng Wang and Wen{-}Hsiang Hu and Seung Eun Lee and Nader Bagherzadeh}, editor = {Marco Danelutto and Julien Bourgeois and Tom Gross}, title = {Area and Power-efficient Innovative Network-on-Chip Architecurte}, booktitle = {Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2010, Pisa, Italy, February 17-19, 2010}, pages = {533--539}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PDP.2010.15}, doi = {10.1109/PDP.2010.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/WangHLB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/GuhaBC09, author = {Radha Guha and Nader Bagherzadeh and Pai H. Chou}, title = {Resource management and task partitioning and scheduling on a run-time reconfigurable embedded system}, journal = {Comput. Electr. Eng.}, volume = {35}, number = {2}, pages = {258--285}, year = {2009}, url = {https://doi.org/10.1016/j.compeleceng.2008.06.008}, doi = {10.1016/J.COMPELECENG.2008.06.008}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/GuhaBC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/LeeB09, author = {Seung Eun Lee and Nader Bagherzadeh}, title = {A high level power model for Network-on-Chip (NoC) router}, journal = {Comput. Electr. Eng.}, volume = {35}, number = {6}, pages = {837--845}, year = {2009}, url = {https://doi.org/10.1016/j.compeleceng.2008.11.023}, doi = {10.1016/J.COMPELECENG.2008.11.023}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/LeeB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/BagciKUB09, author = {Faruk Bagci and Florian Kluge and Theo Ungerer and Nader Bagherzadeh}, title = {Optimisations for LocSens - an indoor location tracking system using wireless sensors}, journal = {Int. J. Sens. Networks}, volume = {6}, number = {3/4}, pages = {157--166}, year = {2009}, url = {https://doi.org/10.1504/IJSNET.2009.029392}, doi = {10.1504/IJSNET.2009.029392}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsnet/BagciKUB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LeeB09, author = {Seung Eun Lee and Nader Bagherzadeh}, title = {A variable frequency link for a power-aware network-on-chip (NoC)}, journal = {Integr.}, volume = {42}, number = {4}, pages = {479--485}, year = {2009}, url = {https://doi.org/10.1016/j.vlsi.2009.01.002}, doi = {10.1016/J.VLSI.2009.01.002}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/LeeB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/BahnYHB09, author = {Jun Ho Bahn and Jungsook Yang and Wen{-}Hsiang Hu and Nader Bagherzadeh}, title = {Parallel {FFT} Algorithms on Network-on-Chips}, journal = {J. Circuits Syst. Comput.}, volume = {18}, number = {2}, pages = {255--269}, year = {2009}, url = {https://doi.org/10.1142/S0218126609005046}, doi = {10.1142/S0218126609005046}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/BahnYHB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/BagherzadehM09, author = {Nader Bagherzadeh and Masaru Matsuura}, title = {Performance Impact of Task-to-Task Communication Protocol in Network-on-Chip}, journal = {J. Circuits Syst. Comput.}, volume = {18}, number = {2}, pages = {283--294}, year = {2009}, url = {https://doi.org/10.1142/S021812660900506X}, doi = {10.1142/S021812660900506X}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/BagherzadehM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/Sanchez-ElezBH09, author = {Marcos S{\'{a}}nchez{-}{\'{E}}lez and Nader Bagherzadeh and Rom{\'{a}}n Hermida}, title = {A framework for low energy data management in reconfigurable multi-context architectures}, journal = {J. Syst. Archit.}, volume = {55}, number = {2}, pages = {127--139}, year = {2009}, url = {https://doi.org/10.1016/j.sysarc.2008.10.006}, doi = {10.1016/J.SYSARC.2008.10.006}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/Sanchez-ElezBH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwn/BagciWUB09, author = {Faruk Bagci and Julian Wolf and Theo Ungerer and Nader Bagherzadeh}, editor = {Hamid R. Arabnia and Victor A. Clincy}, title = {Mobile Agents for Wireless Sensor Networks}, booktitle = {Proceedings of the 2009 International Conference on Wireless Networks, {ICWN} 2009, July 13-16, 2009, Las Vegas Nevada, USA, 2 Volumes}, pages = {502--508}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icwn/BagciWUB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LeeWZYLB09, author = {Seung Eun Lee and Chris Wilkerson and Ming Zhang and Rajendra S. Yavatkar and Shih{-}Lien Lu and Nader Bagherzadeh}, title = {Low power adaptive pipeline based on instruction isolation}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {788--793}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810393}, doi = {10.1109/ISQED.2009.4810393}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LeeWZYLB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itng/YangBLB09, author = {Yoon Seok Yang and Jun Ho Bahn and Seung Eun Lee and Nader Bagherzadeh}, editor = {Shahram Latifi}, title = {Parallel and Pipeline Processing for Block Cipher Algorithms on a Network-on-Chip}, booktitle = {Sixth International Conference on Information Technology: New Generations, {ITNG} 2009, Las Vegas, Nevada, USA, 27-29 April 2009}, pages = {849--854}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ITNG.2009.163}, doi = {10.1109/ITNG.2009.163}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itng/YangBLB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itng/HatanakaB09, author = {Akira Hatanaka and Nader Bagherzadeh}, editor = {Shahram Latifi}, title = {Scheduling Techniques for Multi-Core Architectures}, booktitle = {Sixth International Conference on Information Technology: New Generations, {ITNG} 2009, Las Vegas, Nevada, USA, 27-29 April 2009}, pages = {865--870}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ITNG.2009.219}, doi = {10.1109/ITNG.2009.219}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itng/HatanakaB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/HuBB09, author = {Wen{-}Hsiang Hu and Jun Ho Bahn and Nader Bagherzadeh}, title = {Parallel {LDPC} Decoding on a Network-on-Chip Based Multiprocessor Platform}, booktitle = {21st International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2009, Sao Paolo, Brazil, October 28-31, 2009}, pages = {35--40}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SBAC-PAD.2009.9}, doi = {10.1109/SBAC-PAD.2009.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/HuBB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/BahnB08, author = {Jun Ho Bahn and Nader Bagherzadeh}, title = {Design of simulation and analytical models for a 2D-meshed asymmetric adaptive router}, journal = {{IET} Comput. Digit. Tech.}, volume = {2}, number = {1}, pages = {63--73}, year = {2008}, url = {https://doi.org/10.1049/iet-cdt:20070043}, doi = {10.1049/IET-CDT:20070043}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/BahnB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/RiveraSHB08, author = {Fredy Rivera and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Rom{\'{a}}n Hermida and Nader Bagherzadeh}, title = {Scheduling methodology for conditional execution of kernels onto multicontext reconfigurable architectures}, journal = {{IET} Comput. Digit. Tech.}, volume = {2}, number = {3}, pages = {199--213}, year = {2008}, url = {https://doi.org/10.1049/iet-cdt:20070085}, doi = {10.1049/IET-CDT:20070085}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/RiveraSHB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/TabriziB08, author = {Nozar Tabrizi and Nader Bagherzadeh}, title = {An {ASIC} design and formal analysis of a novel pipelined and parallel sorting accelerator}, journal = {Integr.}, volume = {41}, number = {1}, pages = {65--75}, year = {2008}, url = {https://doi.org/10.1016/j.vlsi.2007.01.004}, doi = {10.1016/J.VLSI.2007.01.004}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/TabriziB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/BahnLYYB08, author = {Jun Ho Bahn and Seung Eun Lee and Yoon Seok Yang and Jungsook Yang and Nader Bagherzadeh}, title = {On Design and Application Mapping of a Network-on-Chip(NoC) Architecture}, journal = {Parallel Process. Lett.}, volume = {18}, number = {2}, pages = {239--255}, year = {2008}, url = {https://doi.org/10.1142/S0129626408003363}, doi = {10.1142/S0129626408003363}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppl/BahnLYYB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/LeeBYB08, author = {Seung Eun Lee and Jun Ho Bahn and Yoon Seok Yang and Nader Bagherzadeh}, editor = {Uwe Brinkschulte and Theo Ungerer and Christian Hochberger and Rainer G. Spallek}, title = {A Generic Network Interface Architecture for a Networked Processor Array (NePA)}, booktitle = {Architecture of Computing Systems - {ARCS} 2008, 21st International Conference, Dresden, Germany, February 25-28, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4934}, pages = {247--260}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-78153-0\_19}, doi = {10.1007/978-3-540-78153-0\_19}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arcs/LeeBYB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cic/ElsadekEAEB08, author = {Hala Elsadek and Hesham Eldeeb and Haytham Abdallah and Maha Eldesouky and Nader Bagherzadeh}, editor = {Brian J. d'Auriol and Hamid R. Arabnia}, title = {Specific Absorption Rate Calculation using Parallel 3D Finite Difference Time Domain Technique}, booktitle = {Proceedings of the 2008 International Conference on Communications in Computing, {CIC} 2008, July 14-17, 2008, Las Vegas, Nevada, {USA}}, pages = {153--159}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Thu, 12 Feb 2009 10:57:02 +0100}, biburl = {https://dblp.org/rec/conf/cic/ElsadekEAEB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csicc/BahnB08, author = {Jun Ho Bahn and Nader Bagherzadeh}, editor = {Hamid Sarbazi{-}Azad and Behrooz Parhami and Seyed Ghassem Miremadi and Shaahin Hessabi}, title = {Efficient Parallel Buffer Structure and Its Management Scheme for a Robust Network-on-Chip (NoC) Architecture}, booktitle = {Advances in Computer Science and Engineering - 13th International {CSI} Computer Conference, {CSICC} 2008, Kish Island, Iran, March 9-11, 2008 Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {6}, pages = {98--105}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89985-3\_12}, doi = {10.1007/978-3-540-89985-3\_12}, timestamp = {Thu, 23 Jun 2022 19:54:33 +0200}, biburl = {https://dblp.org/rec/conf/csicc/BahnB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/BagciKBU08, author = {Faruk Bagci and Florian Kluge and Nader Bagherzadeh and Theo Ungerer}, title = {LocSens - An Indoor Location Tracking System using Wireless Sensors}, booktitle = {Proceedings of the 17th International Conference on Computer Communications and Networks, {IEEE} {ICCCN} 2008, St. Thomas, {U.S.} Virgin Islands, August 3-7, 2008}, pages = {887--891}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICCCN.2008.ECP.165}, doi = {10.1109/ICCCN.2008.ECP.165}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccn/BagciKBU08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwn/BagciUB08, author = {Faruk Bagci and Theo Ungerer and Nader Bagherzadeh}, editor = {Hamid R. Arabnia and Victor A. Clincy}, title = {{ESTR} - Energy Saving Token Ring Protocol for Wireless Sensor Networks}, booktitle = {Proceedings of the 2008 International Conference on Wireless Networks, July 14-17, 2008, Las Vegas, Nevada, {USA}}, pages = {3--9}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Mon, 09 Feb 2009 10:37:49 +0100}, biburl = {https://dblp.org/rec/conf/icwn/BagciUB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10/TrumlerSUBB08, author = {Wolfgang Trumler and Sebastian Schlingmann and Theo Ungerer and Jun Ho Bahn and Nader Bagherzadeh}, editor = {Mike Hinchey and Anastasia Pagnoni and Franz J. Rammig and Hartmut Schmeck}, title = {Self-optimized Routing in a Network on-a-Chip}, booktitle = {Biologically-Inspired Collaborative Computing - {IFIP} 20th World Computer Congress, Second {IFIP} {TC} 10 International Conference on Biologically-Inspired Collaborative Computing, September 8-9, 2008, Milano, Italy}, series = {{IFIP}}, volume = {268}, pages = {199--212}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-0-387-09655-1\_18}, doi = {10.1007/978-0-387-09655-1\_18}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip10/TrumlerSUBB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itng/BahnYB08, author = {Jun Ho Bahn and Jungsook Yang and Nader Bagherzadeh}, editor = {Shahram Latifi}, title = {Parallel {FFT} Algorithms on Network-on-Chips}, booktitle = {Fifth International Conference on Information Technology: New Generations {(ITNG} 2008), 7-8 April 2008, Las Vegas, Nevada, {USA}}, pages = {1087--1093}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ITNG.2008.55}, doi = {10.1109/ITNG.2008.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itng/BahnYB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itng/BagherzadehM08, author = {Nader Bagherzadeh and Masaru Matsuura}, editor = {Shahram Latifi}, title = {Performance Impact of Task-to-Task Communication Protocol in Network-on-Chip}, booktitle = {Fifth International Conference on Information Technology: New Generations {(ITNG} 2008), 7-8 April 2008, Las Vegas, Nevada, {USA}}, pages = {1101--1106}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ITNG.2008.109}, doi = {10.1109/ITNG.2008.109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itng/BagherzadehM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/NiktashPKB08, author = {Afshin Niktash and Hooman Parizi and Amir Hosein Kamalizad and Nader Bagherzadeh}, title = {{RECFEC:} {A} Reconfigurable {FEC} Processor for Viterbi, Turbo, Reed-Solomon and {LDPC} Coding}, booktitle = {{WCNC} 2008, {IEEE} Wireless Communications {\&} Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings}, pages = {605--610}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/WCNC.2008.112}, doi = {10.1109/WCNC.2008.112}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/NiktashPKB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpsa/BahnLB07, author = {Jun Ho Bahn and Seung Eun Lee and Nader Bagherzadeh}, title = {Design of a router for network-on-chip}, journal = {Int. J. High Perform. Syst. Archit.}, volume = {1}, number = {2}, pages = {98--105}, year = {2007}, url = {https://doi.org/10.1504/IJHPSA.2007.015395}, doi = {10.1504/IJHPSA.2007.015395}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpsa/BahnLB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/GorjiaraBC07, author = {Bita Gorjiara and Nader Bagherzadeh and Pai H. Chou}, title = {Ultra-fast and efficient algorithm for energy optimization by gradient-based stochastic voltage and task scheduling}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {12}, number = {4}, pages = {39}, year = {2007}, url = {https://doi.org/10.1145/1278349.1278352}, doi = {10.1145/1278349.1278352}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/GorjiaraBC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/NiktashPB07, author = {Afshin Niktash and Hooman Parizi and Nader Bagherzadeh}, editor = {Paul Lukowicz and Lothar Thiele and Gerhard Tr{\"{o}}ster}, title = {A Reconfigurable Processor for Forward Error Correction}, booktitle = {Architecture of Computing Systems - {ARCS} 2007, 20th International Conference, Zurich, Switzerland, March 12-15, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4415}, pages = {1--13}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-71270-1\_1}, doi = {10.1007/978-3-540-71270-1\_1}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/arcs/NiktashPB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GorjiaraBC07, author = {Bita Gorjiara and Nader Bagherzadeh and Pai H. Chou}, title = {Integrating Power Management into Distributed Real-time Systems at Very Low Implementation Cost}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {872--877}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.358099}, doi = {10.1109/ASPDAC.2007.358099}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/GorjiaraBC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ersa/RiveraSB07, author = {Fredy Rivera and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Nader Bagherzadeh}, editor = {Toomas P. Plaks}, title = {Configuration and Data Scheduling for Executing Dynamic Applications onto Multi-Context Reconfigurable Architectures}, booktitle = {Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems {\&} Algorithms, {ERSA} 2007, Las Vegas, Nevada, USA, June 25-28, 2007}, pages = {85--91}, publisher = {{CSREA} Press}, year = {2007}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ersa/RiveraSB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/HatanakaB07, author = {Akira Hatanaka and Nader Bagherzadeh}, title = {A Modulo Scheduling Algorithm for a Coarse-Grain Reconfigurable Array Template}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--8}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370371}, doi = {10.1109/IPDPS.2007.370371}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/HatanakaB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NiktashPB07, author = {Afshin Niktash and Hooman Parizi and Nader Bagherzadeh}, title = {Application of a Heterogeneous Reconfigurable Architecture to {OFDM} Wireless Systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {2586--2589}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.377844}, doi = {10.1109/ISCAS.2007.377844}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NiktashPB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itng/BahnLB07, author = {Jun Ho Bahn and Seung Eun Lee and Nader Bagherzadeh}, editor = {Shahram Latifi}, title = {On Design and Analysis of a Feasible Network-on-Chip (NoC) Architecture}, booktitle = {Fourth International Conference on Information Technology: New Generations {(ITNG} 2007), 2-4 April 2007, Las Vegas, Nevada, {USA}}, pages = {1033--1038}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ITNG.2007.139}, doi = {10.1109/ITNG.2007.139}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itng/BahnLB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/LeeBB07, author = {Seung Eun Lee and Jun Ho Bahn and Nader Bagherzadeh}, title = {Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor {(CMP)}}, booktitle = {19th Symposium on Computer Architecture and High Performance Computing {(SBAC-PAD} 2007), 24-27 October 2007, Gramado, RS, Brazil}, pages = {211--218}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SBAC-PAD.2007.38}, doi = {10.1109/SBAC-PAD.2007.38}, timestamp = {Fri, 13 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbac-pad/LeeBB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LeeB06, author = {Seung Eun Lee and Nader Bagherzadeh}, editor = {Reinaldo A. Bergamaschi and Kiyoung Choi}, title = {Increasing the throughput of an adaptive router in network-on-chip (NoC)}, booktitle = {Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October 22-25, 2006}, pages = {82--87}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176254.1176276}, doi = {10.1145/1176254.1176276}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/LeeB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DavilaTSSBR06, author = {Javier Davila and Alfonso de Torres and Jose Manuel Sanchez and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Nader Bagherzadeh and Fredy Rivera}, editor = {Georges G. E. Gielen}, title = {Design and implementation of a rendering algorithm in a {SIMD} reconfigurable architecture (MorphoSys)}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {52--57}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243748}, doi = {10.1109/DATE.2006.243748}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DavilaTSSBR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/RiveraSFHB06, author = {Fredy Rivera and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Nader Bagherzadeh}, title = {Configuration Scheduling for Conditional Branch Execution Onto Multi-Context Reconfigurable Architectures}, booktitle = {Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), Madrid, Spain, August 28-30, 2006}, pages = {1--8}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/FPL.2006.311271}, doi = {10.1109/FPL.2006.311271}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/RiveraSFHB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itng/PariziNKB06, author = {Hooman Parizi and Afshin Niktash and Amir Hosein Kamalizad and Nader Bagherzadeh}, title = {A Reconfigurable Architecture for Wireless Communication Systems}, booktitle = {Third International Conference on Information Technology: New Generations {(ITNG} 2006), 10-12 April 2006, Las Vegas, Nevada, {USA}}, pages = {250--255}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ITNG.2006.16}, doi = {10.1109/ITNG.2006.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itng/PariziNKB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/NiktashPB06, author = {Afshin Niktash and Hooman Parizi and Nader Bagherzadeh}, title = {A Multi-Standard Viterbi Decoder for Mobile Applications Using a Reconfigurable Architecture}, booktitle = {Proceedings of the 64th {IEEE} Vehicular Technology Conference, {VTC} Fall 2006, 25-28 September 2006, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/VTCF.2006.176}, doi = {10.1109/VTCF.2006.176}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/NiktashPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/KamalizadTBH05, author = {Amir Hosein Kamalizad and Nozar Tabrizi and Nader Bagherzadeh and Akira Hatanaka}, title = {A Programmable {DSP} Architecture for Wireless Communication Systems}, booktitle = {16th {IEEE} International Conference on Application-Specific Systems, Architectures, and Processors {(ASAP} 2005), 23-25 July 2005, Samos, Greece}, pages = {231--238}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ASAP.2005.9}, doi = {10.1109/ASAP.2005.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/KamalizadTBH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RiveraFB05, author = {Fredy Rivera and Milagros Fern{\'{a}}ndez and Nader Bagherzadeh}, title = {An Approach to Execute Conditional Branches onto {SIMD} Multi-Context Reconfigurable Architectures}, booktitle = {Eighth Euromicro Symposium on Digital Systems Design {(DSD} 2005), 30 August - 3 September 2005, Porto, Portugal}, pages = {396--402}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DSD.2005.14}, doi = {10.1109/DSD.2005.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/RiveraFB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/RiveraSFHB05, author = {Fredy Rivera and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Nader Bagherzadeh}, title = {Low Power Data Prefetch for 3D Image Applications on Coarse-Grain Reconfigurable Architectures}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.270}, doi = {10.1109/IPDPS.2005.270}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/RiveraSFHB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cf/2005, editor = {Nader Bagherzadeh and Mateo Valero and Alex Ram{\'{\i}}rez}, title = {Proceedings of the Second Conference on Computing Frontiers, 2005, Ischia, Italy, May 4-6, 2005}, publisher = {{ACM}}, year = {2005}, isbn = {1-59593-019-1}, timestamp = {Mon, 15 Jun 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Gorji-AraCBRJ04, author = {Bita Gorjiara and Pai H. Chou and Nader Bagherzadeh and Mehrdad Reshadi and David W. Jensen}, editor = {Masaharu Imai}, title = {Fast and efficient voltage scheduling by evolutionary slack distribution}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {659--662}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.102}, doi = {10.1109/ASPDAC.2004.102}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/Gorji-AraCBRJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/TabriziBKD04, author = {Nozar Tabrizi and Nader Bagherzadeh and Amir Hosein Kamalizad and Haitao Du}, editor = {Stamatis Vassiliadis and Jean{-}Luc Gaudiot and Vincenzo Piuri}, title = {MaRS: a macro-pipelined reconfigurable system}, booktitle = {Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004}, pages = {343--349}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/977091.977141}, doi = {10.1145/977091.977141}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/TabriziBKD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/RiveraSFHB04, author = {Fredy Rivera and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Nader Bagherzadeh}, editor = {Alex Orailoglu and Pai H. Chou and Petru Eles and Axel Jantsch}, title = {Efficient mapping of hierarchical trees on coarse-grain reconfigurable architectures}, booktitle = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004, Stockholm, Sweden, September 8-10, 2004}, pages = {30--35}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1016720.1016731}, doi = {10.1145/1016720.1016731}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/RiveraSFHB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/GorjiaraBC04, author = {Bita Gorjiara and Nader Bagherzadeh and Pai H. Chou}, editor = {Rajiv V. Joshi and Kiyoung Choi and Vivek Tiwari and Kaushik Roy}, title = {An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes}, booktitle = {Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004}, pages = {381--386}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1013235.1013326}, doi = {10.1145/1013235.1013326}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/GorjiaraBC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KamalizadPPB04, author = {Amir Hosein Kamalizad and Richard Plettner and Chengzhi Pan and Nader Bagherzadeh}, title = {Fast parallel soft Viterbi decoder mapping on a reconfigurable {DSP} platform}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {3--6}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362330}, doi = {10.1109/SOCC.2004.1362330}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/KamalizadPPB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/Sanchez-ElezDTLBF03, author = {Marcos S{\'{a}}nchez{-}{\'{E}}lez and Haitao Du and Nozar Tabrizi and Yun Long and Nader Bagherzadeh and Milagros Fern{\'{a}}ndez}, title = {Algorithm optimizations and mapping scheme for interactive ray tracing on a reconfigurable architecture}, journal = {Comput. Graph.}, volume = {27}, number = {5}, pages = {701--713}, year = {2003}, url = {https://doi.org/10.1016/S0097-8493(03)00143-2}, doi = {10.1016/S0097-8493(03)00143-2}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/Sanchez-ElezDTLBF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/VenkataramaniNKBBH03, author = {Girish Venkataramani and Walid A. Najjar and Fadi J. Kurdahi and Nader Bagherzadeh and A. P. Wim B{\"{o}}hm and Jeffrey Hammes}, title = {Automatic compilation to a coarse-grained reconfigurable system-opn-chip}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {2}, number = {4}, pages = {560--589}, year = {2003}, url = {https://doi.org/10.1145/950162.950167}, doi = {10.1145/950162.950167}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/VenkataramaniNKBBH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ReussMMBBHS03, author = {Robert Reuss and Jose L. Mu{\~{n}}oz and Toshiaki Miyazaki and Nader Bagherzadeh and Prith Banerjee and Brad L. Hutchings and Brian Schott}, editor = {Hiroto Yasuura}, title = {Adaptive computing: what can it do, where can it go?}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {463}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119866}, doi = {10.1145/1119772.1119866}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ReussMMBBHS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DuSTBAF03, author = {Haitao Du and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Nozar Tabrizi and Nader Bagherzadeh and Manuel Lois Anido and Milagros Fern{\'{a}}ndez}, editor = {Hiroto Yasuura}, title = {Interactive ray tracing on reconfigurable {SIMD} MorphoSys}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {471--476}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119869}, doi = {10.1145/1119772.1119869}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DuSTBAF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiCB03, author = {Dexin Li and Pai H. Chou and Nader Bagherzadeh}, editor = {Hiroto Yasuura}, title = {Topology selection for energy minimization in embedded networks}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {693--696}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119927}, doi = {10.1145/1119772.1119927}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LiCB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/KoohiBP03, author = {Arezou Koohi and Nader Bagherzadeh and Chengzi Pan}, editor = {Rajesh Gupta and Yukihiro Nakamura and Alex Orailoglu and Pai H. Chou}, title = {A fast parallel reed-solomon decoder on a reconfigurable architecture}, booktitle = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003, Newport Beach, CA, USA, October 1-3, 2003}, pages = {59--64}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/944645.944660}, doi = {10.1145/944645.944660}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/KoohiBP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sanchez-ElezFADBH03, author = {Marcos S{\'{a}}nchez{-}{\'{E}}lez and Milagros Fern{\'{a}}ndez and Manuel L. Anido and Haitao Du and Nader Bagherzadeh and Rom{\'{a}}n Hermida}, title = {Low Energy Data Management for Different On-Chip Memory Levels in Multi-Context Reconfigurable Architectures}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {10036--10043}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10191}, doi = {10.1109/DATE.2003.10191}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Sanchez-ElezFADBH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PanBKK03, author = {Chengzhi Pan and Nader Bagherzadeh and Amir Hosein Kamalizad and Arezou Koohi}, title = {Design and Analysis of a Programmable Single-Chip Architecture for {DVB-T} Base-Band Receiver}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {10468--10475}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10162}, doi = {10.1109/DATE.2003.10162}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PanBKK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DuSTBAF03, author = {Haitao Du and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Nozar Tabrizi and Nader Bagherzadeh and Manuel L. Anido and Milagros Fern{\'{a}}ndez}, title = {Interactive Ray Tracing on Reconfigurable {SIMD} MorphoSys}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {20144--20149}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DATE.2003.1186686}, doi = {10.1109/DATE.2003.1186686}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DuSTBAF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/PaarDB03, author = {Alexander Paar and Haitao Du and Nader Bagherzadeh}, editor = {Gerhard Fohler and Radu Marculescu}, title = {A Component Oriented Simulator for {HW/SW} Co-Designs}, booktitle = {First Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2003, October 3-4, 2003, Newport Beach, California, USA, co-located with {CODES-ISSS} 2003, Proceedings}, pages = {79--86}, year = {2003}, timestamp = {Thu, 17 Feb 2022 09:36:08 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/PaarDB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurographics/SainzSCB03, author = {Miguel Sainz and Antonio Sus{\'{\i}}n and A. Cervantes and Nader Bagherzadeh}, editor = {Juli{\'{a}}n Flores and Pedro Cano}, title = {Persepolis: Recovering history with a handheld camera}, booktitle = {24th Annual Conference of the European Association for Computer Graphics, Eurographics 2003 - Posters, Granada, Spain, September 1-5, 2003}, publisher = {Eurographics Association}, year = {2003}, url = {https://doi.org/10.2312/egp.20031029}, doi = {10.2312/EGP.20031029}, timestamp = {Mon, 06 Jul 2020 17:14:21 +0200}, biburl = {https://dblp.org/rec/conf/eurographics/SainzSCB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/SainzSB03, author = {Miguel Sainz and Antonio Sus{\'{\i}}n and Nader Bagherzadeh}, title = {Camera calibration of long image sequences with the presence of occlusions}, booktitle = {Proceedings of the 2003 International Conference on Image Processing, {ICIP} 2003, Barcelona, Catalonia, Spain, September 14-18, 2003}, pages = {317--320}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICIP.2003.1246962}, doi = {10.1109/ICIP.2003.1246962}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/SainzSB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/KamalizadPB03, author = {Amir Hosein Kamalizad and Chengzhi Pan and Nader Bagherzadeh}, title = {Fast Parallel {FFT} on a Reconfigurable Computation Platform}, booktitle = {15th Symposium on Computer Architecture and High Performance Computing {(SBAC-PAD} 2003), 10-12 November 2003, Sao Paulo, Brazil}, pages = {254--259}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/CAHPC.2003.1250345}, doi = {10.1109/CAHPC.2003.1250345}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/KamalizadPB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/03/DuSTBAF03, author = {Haitao Du and Marcos S{\'{a}}nchez{-}{\'{E}}lez and Nozar Tabrizi and Nader Bagherzadeh and Manuel L. Anido and Milagros Fern{\'{a}}ndez}, editor = {Ahmed Amine Jerraya and Sungjoo Yoo and Diederik Verkest and Norbert Wehn}, title = {Interactive Ray Tracing on Reconfigurable {SIMD} Morphosys}, booktitle = {Embedded Software for SoC}, pages = {151--163}, publisher = {Kluwer / Springer}, year = {2003}, url = {https://doi.org/10.1007/0-306-48709-8\_12}, doi = {10.1007/0-306-48709-8\_12}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/03/DuSTBAF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/ChouLLB02, author = {Pai H. Chou and Jinfeng Liu and Dexin Li and Nader Bagherzadeh}, title = {{IMPACCT:} Methodology and Tools for Power-Aware Embedded Systems}, journal = {Des. Autom. Embed. Syst.}, volume = {7}, number = {3}, pages = {205--232}, year = {2002}, url = {https://doi.org/10.1023/A:1019730322551}, doi = {10.1023/A:1019730322551}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/ChouLLB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LiuCB02, author = {Jinfeng Liu and Pai H. Chou and Nader Bagherzadeh}, editor = {J{\"{o}}rg Henkel and Xiaobo Sharon Hu and Rajesh Gupta and Sri Parameswaran}, title = {Communication speed selection for embedded systems with networked voltage-scalable processors}, booktitle = {Proceedings of the Tenth International Symposium on Hardware/Software Codesign, {CODES} 2002, Estes Park, Colorado, USA, May 6-8, 2002}, pages = {169--174}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/774789.774824}, doi = {10.1145/774789.774824}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/LiuCB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sanchez-ElezFMMKHB02, author = {Marcos S{\'{a}}nchez{-}{\'{E}}lez and Milagros Fern{\'{a}}ndez and Rafael Maestre and Rom{\'{a}}n Hermida and Nader Bagherzadeh and Fadi J. Kurdahi}, title = {A Complete Data Scheduler for Multi-Context Reconfigurable Architectures}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {547--552}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998354}, doi = {10.1109/DATE.2002.998354}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Sanchez-ElezFMMKHB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/AnidoPB02, author = {Manuel Lois Anido and Alexander Paar and Nader Bagherzadeh}, title = {Improving the Operation Autonomy of {SIMD} Processing Elements by Using Guarded Instructions and Pseudo Branches}, booktitle = {2002 Euromicro Symposium on Digital Systems Design {(DSD} 2002), Systems-on-Chip, 4-6 September 2002, Dortmund, Germany}, pages = {148--155}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DSD.2002.1115363}, doi = {10.1109/DSD.2002.1115363}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/AnidoPB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/PaarAB02, author = {Alexander Paar and Manuel L. Anido and Nader Bagherzadeh}, editor = {Burkhard Monien and Rainer Feldmann}, title = {A Novel Predication Scheme for a {SIMD} System-on-Chip}, booktitle = {Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2400}, pages = {834--843}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45706-2\_118}, doi = {10.1007/3-540-45706-2\_118}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/PaarAB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/PariziNBK02, author = {Hooman Parizi and Afshin Niktash and Nader Bagherzadeh and Fadi J. Kurdahi}, editor = {Burkhard Monien and Rainer Feldmann}, title = {MorphoSys: {A} Coarse Grain Reconfigurable Architecture for Multimedia Applications (Research Note)}, booktitle = {Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2400}, pages = {844--848}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45706-2\_119}, doi = {10.1007/3-540-45706-2\_119}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/PariziNBK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/BagherzadehCL02, author = {Nader Bagherzadeh and Pai H. Chou and Jinfeng Liu}, editor = {El Mostapha Aboulhamid and Yukihiro Nakamura}, title = {Combined Functional Partitioning and Communication Speed Selection for Networked Voltage-Scalable Processors}, booktitle = {Proceedings of the 15th International Symposium on System Synthesis {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan}, pages = {14--19}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISSS.2002.1227145}, doi = {10.1109/ISSS.2002.1227145}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isss/BagherzadehCL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcc/SainzBS02, author = {Miguel Sainz and Nader Bagherzadeh and Antonio Sus{\'{\i}}n}, title = {Recovering 3D Metric Structure and Motion from Multiple Uncalibrated Cameras}, booktitle = {2002 International Symposium on Information Technology {(ITCC} 2002), 8-10 April 2002, Las Vegas, NV, {USA}}, pages = {268--273}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ITCC.2002.1000399}, doi = {10.1109/ITCC.2002.1000399}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcc/SainzBS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacs/LiuCB02, author = {Jinfeng Liu and Pai H. Chou and Nader Bagherzadeh}, editor = {Babak Falsafi and T. N. Vijaykumar}, title = {Power-Aware Task Motion for Enhancing Dynamic Range of Embedded Systems with Renewable Energy Sources}, booktitle = {Power-Aware Computer Systems, Second International Workshop, {PACS} 2002 Cambridge, MA, USA, February 2, 2002, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2325}, pages = {84--98}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36612-1\_6}, doi = {10.1007/3-540-36612-1\_6}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pacs/LiuCB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/AnidBTDS02, author = {Manuel L. Anido and Nader Bagherzadeh and Nozar Tabrizi and Haitao Du and Marcos S{\'{a}}nchez{-}{\'{E}}lez}, title = {Interactive Ray Tracing Using a {SIMD} Reconfigurable Architecture}, booktitle = {14th Symposium on Computer Architecture and High Performance Computing {(SBAC-PAD} 2002), 28-30 October 2002, Vitoria, Espirito Santo, Brazil}, pages = {20--28}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/CAHPC.2002.1180755}, doi = {10.1109/CAHPC.2002.1180755}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbac-pad/AnidBTDS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/AnidoPB02, author = {Manuel Lois Anido and Alexander Paar and Nader Bagherzadeh}, title = {A Novel Method for Improving the Operation Autonomy of {SIMD} Processing Elements}, booktitle = {Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14, 2002}, pages = {49--56}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://dl.acm.org/doi/10.5555/827246.827410}, doi = {10.5555/827246.827410}, timestamp = {Fri, 10 Jun 2022 11:12:41 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/AnidoPB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/LiCB02, author = {Dexin Li and Pai H. Chou and Nader Bagherzadeh}, title = {Mode Selection and Mode-Dependency Modeling for Power-Aware Embedded Systems}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {697--704}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.995016}, doi = {10.1109/ASPDAC.2002.995016}, timestamp = {Mon, 14 Nov 2022 15:28:09 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/LiCB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/MaestreKFHBS01, author = {Rafael Maestre and Fadi J. Kurdahi and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Nader Bagherzadeh and Hartej Singh}, title = {Kernel scheduling techniques for efficient solution space exploration in reconfigurable computing}, journal = {J. Syst. Archit.}, volume = {47}, number = {3-4}, pages = {277--292}, year = {2001}, url = {https://doi.org/10.1016/S1383-7621(00)00050-3}, doi = {10.1016/S1383-7621(00)00050-3}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/MaestreKFHBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MaestreKFHBS01, author = {Rafael Maestre and F. Kurdahl and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Nader Bagherzadeh and Hartej Singh}, title = {A formal approach to context scheduling for multicontext reconfigurable architectures}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {1}, pages = {173--185}, year = {2001}, url = {https://doi.org/10.1109/92.920831}, doi = {10.1109/92.920831}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MaestreKFHBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MaestreKFHBS01a, author = {Rafael Maestre and Fadi J. Kurdahi and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Nader Bagherzadeh and Hartej Singh}, title = {A framework for reconfigurable computing: task scheduling and context management}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {6}, pages = {858--873}, year = {2001}, url = {https://doi.org/10.1109/92.974899}, doi = {10.1109/92.974899}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MaestreKFHBS01a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/VenkataramaniNKBB01, author = {Girish Venkataramani and Walid A. Najjar and Fadi J. Kurdahi and Nader Bagherzadeh and A. P. Wim B{\"{o}}hm}, editor = {Guang R. Gao and Trevor N. Mudge and Krishna V. Palem}, title = {A compiler framework for mapping applications to a coarse-grained reconfigurable computer architecture}, booktitle = {Proceedings of the 2001 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2001, Atlanta, Georgia, USA, November 16-17, 2001}, pages = {116--125}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/502217.502235}, doi = {10.1145/502217.502235}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/VenkataramaniNKBB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LiuCBK01, author = {Jinfeng Liu and Pai H. Chou and Nader Bagherzadeh and Fadi J. Kurdahi}, editor = {Jan Madsen and J{\"{o}}rg Henkel and Xiaobo Sharon Hu}, title = {A constraint-based application model and scheduling techniques for power-aware systems}, booktitle = {Proceedings of the Ninth International Symposium on Hardware/Software Codesign, {CODES} 2001, Copenhagen, Denmark, 2001}, pages = {153--158}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/371636.371707}, doi = {10.1145/371636.371707}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/LiuCBK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuCBK01, author = {Jinfeng Liu and Pai H. Chou and Nader Bagherzadeh and Fadi J. Kurdahi}, title = {Power-Aware Scheduling under Timing Constraints for Mission-Critical Embedded Systems}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {840--845}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379076}, doi = {10.1145/378239.379076}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuCBK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/Sanchez-ElezFHMKB01, author = {Marcos S{\'{a}}nchez{-}{\'{E}}lez and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Rafael Maestre and Fadi J. Kurdahi and Nader Bagherzadeh}, editor = {Rom{\'{a}}n Hermida and El Mostapha Aboulhamid}, title = {A data scheduler for multi-context reconfigurable architectures}, booktitle = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, pages = {177--182}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2001}, url = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2001.957935}, doi = {10.1109/ISSS.2001.957935}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isss/Sanchez-ElezFHMKB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MeadENB01, author = {Mohamed Ahamed Mead and Hesham Eldeeb and Salwa M. Nassar and Nader Bagherzadeh}, title = {Design and implementation of Automatic Parallel Detection Layer}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man {\&} Cybernetics: "e-Systems and e-Man for Cybernetics in Cyberspace", Tucson, Arizona, USA, 7-10 October 2001}, pages = {2371--2376}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICSMC.2001.972912}, doi = {10.1109/ICSMC.2001.972912}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/MeadENB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KurdahiBAM00, author = {Fadi J. Kurdahi and Nader Bagherzadeh and Peter Athanas and Jose L. Mu{\~{n}}oz}, title = {Guest Editors' Introduction: Configurable Computing}, journal = {{IEEE} Des. Test Comput.}, volume = {17}, number = {1}, pages = {17--19}, year = {2000}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KurdahiBAM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SinghLLKBF00, author = {Hartej Singh and Ming{-}Hau Lee and Guangming Lu and Fadi J. Kurdahi and Nader Bagherzadeh and Eliseu M. Chaves Filho}, title = {\emph{MorphoSys}: An Integrated Reconfigurable System for Data-Parallel and Computation-Intensive Applications}, journal = {{IEEE} Trans. Computers}, volume = {49}, number = {5}, pages = {465--481}, year = {2000}, url = {https://doi.org/10.1109/12.859540}, doi = {10.1109/12.859540}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/SinghLLKBF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LeeSLBKFA00, author = {Ming{-}Hau Lee and Hartej Singh and Guangming Lu and Nader Bagherzadeh and Fadi J. Kurdahi and Eliseu M. Chaves Filho and Vladimir Castro Alves}, title = {Design and Implementation of the MorphoSys Reconfigurable Computing Processor}, journal = {J. {VLSI} Signal Process.}, volume = {24}, number = {2-3}, pages = {147--164}, year = {2000}, url = {https://doi.org/10.1023/A:1008189221436}, doi = {10.1023/A:1008189221436}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/LeeSLBKFA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghLFMLKB00, author = {Hartej Singh and Guangming Lu and Eliseu M. Chaves Filho and Rafael Maestre and Ming{-}Hau Lee and Fadi J. Kurdahi and Nader Bagherzadeh}, editor = {Giovanni De Micheli}, title = {MorphoSys: case study of a reconfigurable computing system targeting multimedia applications}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {573--578}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337583}, doi = {10.1145/337292.337583}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SinghLFMLKB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/MaestreFHKBS00, author = {Rafael Maestre and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Fadi J. Kurdahi and Nader Bagherzadeh and Hartej Singh}, title = {Optimal vs. Heuristic Approaches to Context Scheduling for Multi-Context Reconfigurable Architectures}, booktitle = {8th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2000), 17-19 April 2000, Napa Valley, CA, USA, Proceedings}, pages = {297--298}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/FPGA.2000.903424}, doi = {10.1109/FPGA.2000.903424}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/MaestreFHKBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaestreFHKBS00, author = {Rafael Maestre and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Fadi J. Kurdahi and Nader Bagherzadeh and Hartej Singh}, title = {Optimal vs. Heuristic Approaches to Context Scheduling for Multi-Context Reconfigurable Architectures}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design: {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas, USA, September 17-20, 2000}, pages = {575--576}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCD.2000.878346}, doi = {10.1109/ICCD.2000.878346}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaestreFHKBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/MaestreKFBS00, author = {Rafael Maestre and Fadi J. Kurdahi and Milagros Fern{\'{a}}ndez and Nader Bagherzadeh and Hartej Singh}, editor = {Fadi J. Kurdahi and Rom{\'{a}}n Hermida}, title = {Configuration Management in Multi-Context Reconfigurable Systems for Simultaneous Performance and Power Optimization}, booktitle = {Proceedings of the 13th International Symposium on System Synthesis, ISSS'00, Madrid, Spain, September 20-22, 2000}, pages = {107--114}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISSS.2000.874036}, doi = {10.1109/ISSS.2000.874036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/MaestreKFBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaestreKBSHF99, author = {Rafael Maestre and Fadi J. Kurdahi and Nader Bagherzadeh and Hartej Singh and Rom{\'{a}}n Hermida and Milagros Fern{\'{a}}ndez}, title = {Kernel Scheduling in Reconfigurable Computing}, booktitle = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, pages = {90--96}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1999}, url = {https://doi.org/10.1109/DATE.1999.761102}, doi = {10.1109/DATE.1999.761102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MaestreKBSHF99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eh/LuSLBKFA99, author = {Guangming Lu and Hartej Singh and Ming{-}Hau Lee and Nader Bagherzadeh and Fadi J. Kurdahi and Eliseu M. Chaves Filho and Vladimir Castro Alves}, title = {The MorphoSys Dynamically Reconfigurable System-on-Chip}, booktitle = {1st {NASA} / DoD Workshop on Evolvable Hardware {(EH} '99), July 19-21, 1999, Pasadena, CA, {USA}}, pages = {152--160}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/EH.1999.785447}, doi = {10.1109/EH.1999.785447}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eh/LuSLBKFA99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/LuSLBKF99, author = {Guangming Lu and Hartej Singh and Ming{-}Hau Lee and Nader Bagherzadeh and Fadi J. Kurdahi and Eliseu M. Chaves Filho}, editor = {Patrick Amestoy and Philippe Berger and Michel J. Dayd{\'{e}} and Iain S. Duff and Val{\'{e}}rie Frayss{\'{e}} and Luc Giraud and Daniel Ruiz}, title = {The MorphoSys Parallel Reconfigurable System}, booktitle = {Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1685}, pages = {727--734}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-48311-X\_102}, doi = {10.1007/3-540-48311-X\_102}, timestamp = {Tue, 04 Jun 2019 14:36:07 +0200}, biburl = {https://dblp.org/rec/conf/europar/LuSLBKF99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LuLSBKF99, author = {Guangming Lu and Ming{-}Hau Lee and Hartej Singh and Nader Bagherzadeh and Fadi J. Kurdahi and Eliseu M. Chaves Filho}, editor = {Jos{\'{e}} D. P. Rolim and Frank Mueller and Albert Y. Zomaya and Fikret Er{\c{c}}al and Stephan Olariu and Binoy Ravindran and Jan Gustafsson and Hiroaki Takada and Ronald A. Olsson and Laxmikant V. Kal{\'{e}} and Peter H. Beckman and Matthew Haines and Hossam A. ElGindy and Denis Caromel and Serge Chaumette and Geoffrey C. Fox and Yi Pan and Keqin Li and Tao Yang and G. Ghiola and Gianni Conte and Luigi V. Mancini and Dominique M{\'{e}}ry and Beverly A. Sanders and Devesh Bhatt and Viktor K. Prasanna}, title = {MorphoSys: {A} Reconfigurable Processor Trageted to High Performance Image Application}, booktitle = {Parallel and Distributed Processing, 11 IPPS/SPDP'99 Workshops Held in Conjunction with the 13th International Parallel Processing Symposium and 10th Symposium on Parallel and Distributed Processing, San Juan, Puerto Rico, USA, April 12-16, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1586}, pages = {661--669}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/BFb0097951}, doi = {10.1007/BFB0097951}, timestamp = {Mon, 22 Mar 2021 14:03:05 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LuLSBKF99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/MaestreFHB99, author = {Rafael Maestre and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Nader Bagherzadeh}, title = {A Framework for Scheduling and Context Allocation in Reconfigurable Computing}, booktitle = {Proceedings of the 12th International Symposium on System Synthesis, {ISSS} '99, Boca Raton, Florida, USA, November 1-4, 1999}, pages = {134--140}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ISSS.1999.814272}, doi = {10.1109/ISSS.1999.814272}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/MaestreFHB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/WallaceB98, author = {Steven Wallace and Nader Bagherzadeh}, title = {A scalable register file architecture for superscalar processors}, journal = {Microprocess. Microsystems}, volume = {22}, number = {1}, pages = {49--60}, year = {1998}, url = {https://doi.org/10.1016/S0141-9331(98)00048-9}, doi = {10.1016/S0141-9331(98)00048-9}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/WallaceB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/ShoariBGMSN98, author = {S. Shoari and Nader Bagherzadeh and D. Goodman and Thomas E. Milner and D. J. Smithies and J. S. Nelson}, title = {A parallel algorithm for pulsed laser infrared tomography}, journal = {Pattern Recognit. Lett.}, volume = {19}, number = {5-6}, pages = {521--526}, year = {1998}, url = {https://doi.org/10.1016/S0167-8655(98)00029-4}, doi = {10.1016/S0167-8655(98)00029-4}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/ShoariBGMSN98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/BagherzadehDL98, author = {Nader Bagherzadeh and Martin Dowd and Shahram Latifi}, title = {Faster column operations in star networks}, journal = {Telecommun. Syst.}, volume = {10}, number = {1}, pages = {33--44}, year = {1998}, url = {https://doi.org/10.1023/A:1019150529592}, doi = {10.1023/A:1019150529592}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/BagherzadehDL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AzevedoBL98, author = {Marcelo M. de Azevedo and Nader Bagherzadeh and Shahram Latifi}, title = {Low Expansion Packings and Embeddings of Hypercubes into Star Graphs: {A} Performance-Oriented Approach}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {9}, number = {3}, pages = {261--274}, year = {1998}, url = {https://doi.org/10.1109/71.674318}, doi = {10.1109/71.674318}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AzevedoBL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/WallaceB98, author = {Steven Wallace and Nader Bagherzadeh}, title = {Modeled and Measured Instruction Fetching Performance for Superscalar Microprocessors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {9}, number = {6}, pages = {570--578}, year = {1998}, url = {https://doi.org/10.1109/71.689444}, doi = {10.1109/71.689444}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/WallaceB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/SinghLLKB98, author = {Hartej Singh and Ming{-}Hau Lee and Guangming Lu and Fadi J. Kurdahi and Nader Bagherzadeh}, title = {MorphoSys: {A} Reconfigurable Architecture for Multimedia Applications}, booktitle = {Proceedings of the 11th Annual Symposium on Integrated Circuits Design, {SBCCI} 1998, Rio de Janiero, Brazil, September 30 - October 2, 1998}, pages = {134--140}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.ieeecomputersociety.org/10.1109/SBCCI.1998.715427}, doi = {10.1109/SBCCI.1998.715427}, timestamp = {Fri, 27 May 2022 10:20:08 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/SinghLLKB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LatifiB97, author = {Shahram Latifi and Nader Bagherzadeh}, title = {On Embedding Rings into a Star-Related Network}, journal = {Inf. Sci.}, volume = {99}, number = {1-2}, pages = {21--35}, year = {1997}, url = {https://doi.org/10.1016/S0020-0255(96)00280-0}, doi = {10.1016/S0020-0255(96)00280-0}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LatifiB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/WallaceB97, author = {Steven Wallace and Nader Bagherzadeh}, title = {Multiple Branch and Block Prediction}, booktitle = {Proceedings of the 3rd {IEEE} Symposium on High-Performance Computer Architecture {(HPCA} '97), San Antonio, Texas, USA, February 1-5, 1997}, pages = {94--103}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HPCA.1997.569645}, doi = {10.1109/HPCA.1997.569645}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/WallaceB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/AzevedoBDL96, author = {Marcelo M. de Azevedo and Nader Bagherzadeh and Martin Dowd and Shahram Latifi}, title = {Some Topological Properties of Star Connected Cycles}, journal = {Inf. Process. Lett.}, volume = {58}, number = {2}, pages = {81--85}, year = {1996}, url = {https://doi.org/10.1016/0020-0190(96)00041-5}, doi = {10.1016/0020-0190(96)00041-5}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/AzevedoBDL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/NassifB96, author = {Nayla Nassif and Nader Bagherzadeh}, title = {A Grid Embedding into the Star Graph for Image Analysis Solutions}, journal = {Inf. Process. Lett.}, volume = {60}, number = {5}, pages = {255--260}, year = {1996}, url = {https://doi.org/10.1016/S0020-0190(96)00165-2}, doi = {10.1016/S0020-0190(96)00165-2}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/NassifB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BagherzadehDN96, author = {Nader Bagherzadeh and Martin Dowd and Nayla Nassif}, title = {Embedding an Arbitrary Binary Tree into the Star Graph}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {4}, pages = {475--481}, year = {1996}, url = {https://doi.org/10.1109/12.494105}, doi = {10.1109/12.494105}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BagherzadehDN96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LoikkanenB96, author = {M. Loikkanen and Nader Bagherzadeh}, title = {A fine-grain multithreading superscalar architecture}, booktitle = {Proceedings of the Fifth International Conference on Parallel Architectures and Compilation Techniques, PACT'96, Boston, MA, USA, October 20-23, 1996}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/PACT.1996.552663}, doi = {10.1109/PACT.1996.552663}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/LoikkanenB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/WallaceB96, author = {Steven Wallace and Nader Bagherzadeh}, title = {A scalable register file architecture for dynamically scheduled processors}, booktitle = {Proceedings of the Fifth International Conference on Parallel Architectures and Compilation Techniques, PACT'96, Boston, MA, USA, October 20-23, 1996}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/PACT.1996.552666}, doi = {10.1109/PACT.1996.552666}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/WallaceB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/AzevdeoBL96, author = {Marcelo Moraes de Azevdeo and Nader Bagherzadeh and Shahram Latifi}, editor = {Luc Boug{\'{e}} and Pierre Fraigniaud and Anne Mignotte and Yves Robert}, title = {Variable-Dilation Embeddings of Hypercubes into Star Graphs: Performance Metrics, Mapping Functions, and Routing}, booktitle = {Euro-Par '96 Parallel Processing, Second International Euro-Par Conference, Lyon, France, August 26-29, 1996, Proceedings, Volume {I}}, series = {Lecture Notes in Computer Science}, volume = {1123}, pages = {247--252}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-61626-8\_32}, doi = {10.1007/3-540-61626-8\_32}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/AzevdeoBL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/WallaceB96, author = {Steven Wallace and Nader Bagherzadeh}, editor = {Luc Boug{\'{e}} and Pierre Fraigniaud and Anne Mignotte and Yves Robert}, title = {Instruction Fetching Mechanisms for Superscalar Microprocessors}, booktitle = {Euro-Par '96 Parallel Processing, Second International Euro-Par Conference, Lyon, France, August 26-29, 1996, Proceedings, Volume {II}}, series = {Lecture Notes in Computer Science}, volume = {1124}, pages = {747--756}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0024773}, doi = {10.1007/BFB0024773}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/WallaceB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/GulatiB96, author = {Manu Gulati and Nader Bagherzadeh}, title = {Performance Study of a Multithreaded Superscalar Microprocessor}, booktitle = {Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996}, pages = {291--301}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/HPCA.1996.501194}, doi = {10.1109/HPCA.1996.501194}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/GulatiB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/LatifiB96, author = {Shahram Latifi and Nader Bagherzadeh}, editor = {Hamid R. Arabnia}, title = {Hamiltonicity of the Clustered-Star Graph with Embedding Applications}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 1996, August 9-11, 1996, Sunnyvale, California, {USA}}, pages = {734--744}, publisher = {{CSREA} Press}, year = {1996}, timestamp = {Wed, 21 Apr 2004 11:30:43 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/LatifiB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/AzevedoBDL96, author = {Marcelo M. de Azevedo and Nader Bagherzadeh and Martin Dowd and Shahram Latifi}, title = {Average distance and routing algorithms in the star-connected cycles interconnection network}, booktitle = {Proceedings of the Eighth {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1996, New Orleans, Louisiana, USA, October 23-26, 1996}, pages = {443--452}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/SPDP.1996.570367}, doi = {10.1109/SPDP.1996.570367}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/AzevedoBDL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/AzevedoBL95, author = {Marcelo M. de Azevedo and Nader Bagherzadeh and Shahram Latifi}, title = {Broadcasting Algorithms for the Star-Connected Cycles Interconnection Network}, journal = {J. Parallel Distributed Comput.}, volume = {25}, number = {2}, pages = {209--222}, year = {1995}, url = {https://doi.org/10.1006/jpdc.1995.1041}, doi = {10.1006/JPDC.1995.1041}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/AzevedoBL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/WallaceB95, author = {Steven Wallace and Nader Bagherzadeh}, title = {Performance issues of a superscalar microprocessor}, journal = {Microprocess. Microsystems}, volume = {19}, number = {4}, pages = {187--199}, year = {1995}, url = {https://doi.org/10.1016/0141-9331(95)91858-2}, doi = {10.1016/0141-9331(95)91858-2}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/WallaceB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/BagherzadehDL95, author = {Nader Bagherzadeh and Martin Dowd and Shahram Latifi}, title = {A Well-Behaved Enumeration of Star Graphs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {6}, number = {5}, pages = {531--535}, year = {1995}, url = {https://doi.org/10.1109/71.382321}, doi = {10.1109/71.382321}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/BagherzadehDL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/AzevedoBL95, author = {Marcelo M. de Azevedo and Nader Bagherzadeh and Shahram Latifi}, title = {Fault-diameter of the star-connected cycles interconnection network}, booktitle = {28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, {USA}}, pages = {469--478}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/HICSS.1995.375510}, doi = {10.1109/HICSS.1995.375510}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/AzevedoBL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WallaceDB95, author = {Steven Wallace and Nirav Dagli and Nader Bagherzadeh}, title = {Design and implementation of a 100 MHz centralized instruction window for a superscalar microprocessor}, booktitle = {1995 International Conference on Computer Design {(ICCD} '95), {VLSI} in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings}, pages = {96--101}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICCD.1995.528796}, doi = {10.1109/ICCD.1995.528796}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WallaceDB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/KavianpourB94, author = {Alireza Kavianpour and Nader Bagherzadeh}, title = {Parallel Algorithms for Line Detection on {A} Pyramid Architecture}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {8}, number = {1}, pages = {337--349}, year = {1994}, url = {https://doi.org/10.1142/S0218001494000164}, doi = {10.1142/S0218001494000164}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/KavianpourB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/ShoariKB94, author = {S. Shoari and Alireza Kavianpour and Nader Bagherzadeh}, title = {Pyramid simulation of image processing applications}, journal = {Image Vis. Comput.}, volume = {12}, number = {8}, pages = {523--529}, year = {1994}, url = {https://doi.org/10.1016/0262-8856(94)90005-1}, doi = {10.1016/0262-8856(94)90005-1}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ivc/ShoariKB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/KavianpourSB94, author = {Alireza Kavianpour and S. Shoari and Nader Bagherzadeh}, title = {A New Approach for Circle Detection on Multiprocessors}, journal = {J. Parallel Distributed Comput.}, volume = {20}, number = {2}, pages = {256--260}, year = {1994}, url = {https://doi.org/10.1006/jpdc.1994.1025}, doi = {10.1006/JPDC.1994.1025}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/KavianpourSB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LenellB94, author = {John Lenell and Nader Bagherzadeh}, title = {A performance comparison of several superscalar processor models with a {VLIW} processor}, journal = {Microprocess. Microsystems}, volume = {18}, number = {3}, pages = {131--139}, year = {1994}, url = {https://doi.org/10.1016/0141-9331(94)90110-4}, doi = {10.1016/0141-9331(94)90110-4}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/LenellB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LatifiB94, author = {Shahram Latifi and Nader Bagherzadeh}, title = {Incomplete Star: An Incrementally Scalable Network Based on the Star Graph}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {5}, number = {1}, pages = {97--102}, year = {1994}, url = {https://doi.org/10.1109/71.262593}, doi = {10.1109/71.262593}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LatifiB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AbnousB94, author = {Arthur Abnous and Nader Bagherzadeh}, title = {Pipelining and Bypassing in a {VLIW} Processor}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {5}, number = {6}, pages = {658--664}, year = {1994}, url = {https://doi.org/10.1109/71.285612}, doi = {10.1109/71.285612}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AbnousB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/WallaceB94, author = {Steven Wallace and Nader Bagherzadeh}, editor = {Dharma P. Agrawal}, title = {Performance Issues of a Superscalar Microprocessor}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {I:} Architecture}, pages = {293--297}, publisher = {{CRC} Press}, year = {1994}, url = {https://doi.org/10.1109/ICPP.1994.160}, doi = {10.1109/ICPP.1994.160}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/WallaceB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KavianpourB93, author = {Alireza Kavianpour and Nader Bagherzadeh}, title = {A Systematic Approch for Mapping Application Tasks in Hypercubes}, journal = {{IEEE} Trans. Computers}, volume = {42}, number = {6}, pages = {742--746}, year = {1993}, url = {https://doi.org/10.1109/12.277294}, doi = {10.1109/12.277294}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KavianpourB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BagherzadehNL93, author = {Nader Bagherzadeh and Nayla Nassif and Shahram Latifi}, title = {A Routing and Broadcasting Scheme on Faulty Star Graphs}, journal = {{IEEE} Trans. Computers}, volume = {42}, number = {11}, pages = {1398--1403}, year = {1993}, url = {https://doi.org/10.1109/12.247843}, doi = {10.1109/12.247843}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BagherzadehNL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/LatifiAB93, author = {Shahram Latifi and Marcelo M. de Azevedo and Nader Bagherzadeh}, editor = {C. Y. Roger Chen and P. Bruce Berra}, title = {The Star Connected Cycles: {A} Fixed-Degree Network for Parallel Processing}, booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {I:} Architecture}, pages = {91--95}, publisher = {{CRC} Press}, year = {1993}, url = {https://doi.org/10.1109/ICPP.1993.177}, doi = {10.1109/ICPP.1993.177}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/LatifiAB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LenellB93, author = {John Lenell and Nader Bagherzadeh}, title = {A Performance Comparison of Several Superscalar Processor Models with a {VLIW} Processor}, booktitle = {The Seventh International Parallel Processing Symposium, Proceedings, Newport Beach, California, USA, April 13-16, 1993}, pages = {44--48}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/IPPS.1993.262853}, doi = {10.1109/IPPS.1993.262853}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LenellB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LatifiB93, author = {Shahram Latifi and Nader Bagherzadeh}, title = {The Clustered-Star Graph: {A} New Topology for Large Interconnection Networks}, booktitle = {The Seventh International Parallel Processing Symposium, Proceedings, Newport Beach, California, USA, April 13-16, 1993}, pages = {514--518}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/IPPS.1993.262824}, doi = {10.1109/IPPS.1993.262824}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LatifiB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/AbnousCGLNB92, author = {Arthur Abnous and Christopher Christensen and Jeffrey Gray and John Lenell and Andrew Naylor and Nader Bagherzadeh}, title = {Design and implementation of the 'Tiny RISC' microprocessor}, journal = {Microprocess. Microsystems}, volume = {16}, number = {4}, pages = {187--193}, year = {1992}, url = {https://doi.org/10.1016/0141-9331(92)90021-K}, doi = {10.1016/0141-9331(92)90021-K}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/AbnousCGLNB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/KavianpourB92, author = {Alireza Kavianpour and Nader Bagherzadeh}, title = {Finding circular shapes in an image on a pyramid architecture}, journal = {Pattern Recognit. Lett.}, volume = {13}, number = {12}, pages = {843--848}, year = {1992}, url = {https://doi.org/10.1016/0167-8655(92)90083-C}, doi = {10.1016/0167-8655(92)90083-C}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/KavianpourB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/LatifiZB92, author = {Shahram Latifi and Si{-}Qing Zheng and Nader Bagherzadeh}, title = {Optimal Ring Embedding in Hypercubes with Faulty Links}, booktitle = {Digest of Papers: FTCS-22, The Twenty-Second Annual International Symposium on Fault-Tolerant Computing, Boston, Massachusetts, USA, July 8-10, 1992}, pages = {178--184}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/FTCS.1992.243602}, doi = {10.1109/FTCS.1992.243602}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/LatifiZB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KatoSB92, author = {Takaaki Kato and Koji Suginuma and Nader Bagherzadeh}, editor = {Trevor N. Mudge}, title = {On Design and Performance Analysis of a Superscalar Architecture}, booktitle = {Proceedings of the 1992 International Conference on Parallel Processing, University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992. Volume {I:} Architecture}, pages = {171--178}, publisher = {{CRC} Press}, year = {1992}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/KatoSB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BagherzadehH92, author = {Nader Bagherzadeh and Kent Hawk}, editor = {Viktor K. Prasanna and Larry H. Canter}, title = {Parallel Implementation of the Auction Algorithm on the Intel Hypercube}, booktitle = {Proceedings of the 6th International Parallel Processing Symposium, Beverly Hills, CA, USA, March 1992}, pages = {443--447}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/IPPS.1992.223005}, doi = {10.1109/IPPS.1992.223005}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BagherzadehH92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AbnousB92, author = {Arthur Abnous and Nader Bagherzadeh}, editor = {Allan Gottlieb}, title = {Pipelining and bypassing in a {VLIW} processor}, booktitle = {Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, Australia, May 1992}, pages = {434}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/146628.140527}, doi = {10.1145/146628.140527}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/AbnousB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KatoOB92, author = {Takaaki Kato and Toshihisa Ono and Nader Bagherzadeh}, editor = {Wen{-}mei W. Hwu}, title = {Performance analysis and design methodology for a scalable superscalar architecture}, booktitle = {Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992}, pages = {246--255}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/MICRO.1992.697026}, doi = {10.1109/MICRO.1992.697026}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/KatoOB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/BagherzadehHW91, author = {Nader Bagherzadeh and Seng{-}lai Heng and Chuan{-}lin Wu}, title = {A Parallel Asynchronous Garbage Collection Algorithm for Distributed Systems}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {3}, number = {1}, pages = {100--107}, year = {1991}, url = {https://doi.org/10.1109/69.75893}, doi = {10.1109/69.75893}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/BagherzadehHW91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/AbnousPBN91, author = {Arthur Abnous and Roni Potasman and Nader Bagherzadeh and Alexandru Nicolau}, title = {A Percolation Based {VLIW} Architecture}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {144--148}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/AbnousPBN91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KavianpourB91, author = {Alireza Kavianpour and Nader Bagherzadeh}, title = {Parallel Hough Transform for Image Processing on a Pyramid Architecture}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {395--398}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Mon, 28 Jul 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/KavianpourB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/TsaiBK91, author = {Wei Kang Tsai and Nader Bagherzadeh and Young C. Kim}, title = {Hypermesh: {A} Combined Quad Tree and Mesh Network for Parallel Processing}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {696--697}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Tue, 13 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/TsaiBK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AbnousB91, author = {Arthur Abnous and Nader Bagherzadeh}, editor = {V. K. Prasanna Kumar}, title = {Special Features of a {VLIW} Architecture}, booktitle = {The Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30 - May 2, 1991}, pages = {224--227}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/IPPS.1991.153782}, doi = {10.1109/IPPS.1991.153782}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AbnousB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/BloughB90, author = {Douglas M. Blough and Nader Bagherzadeh}, title = {Near-optimal message routing and broadcasting in faulty hypercubes}, journal = {Int. J. Parallel Program.}, volume = {19}, number = {5}, pages = {405--423}, year = {1990}, url = {https://doi.org/10.1007/BF01407908}, doi = {10.1007/BF01407908}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/BloughB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/GuzmanKMB87, author = {Adolfo Guzm{\'{a}}n and Edward J. Krall and Patrick F. McGehearty and Nader Bagherzadeh}, title = {Performance of symbolic applications on a parallel architecture}, journal = {Int. J. Parallel Program.}, volume = {16}, number = {3}, pages = {183--214}, year = {1987}, url = {https://doi.org/10.1007/BF01407933}, doi = {10.1007/BF01407933}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/GuzmanKMB87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/simcn/KanduHWB87, author = {B. Kandu and Seng{-}lai Heng and Chuan{-}lin Wu and Nader Bagherzadeh}, editor = {Paul Roth}, title = {Network simulation of synchronous garbage collection algorithm}, booktitle = {1987 Symposium on the Simulation of Computer Networks, Colorado Springs, Colorado, USA, August 4-7, 1987}, pages = {215--222}, publisher = {{IEEE}}, year = {1987}, url = {https://dl.acm.org/doi/10.5555/41298.41326}, doi = {10.5555/41298.41326}, timestamp = {Wed, 04 May 2022 13:03:25 +0200}, biburl = {https://dblp.org/rec/conf/simcn/KanduHWB87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/software/SuhlerBMI86, author = {Paul A. Suhler and Nader Bagherzadeh and Miroslav Malek and Neil Iscoe}, title = {Software Authorization Systems}, journal = {{IEEE} Softw.}, volume = {3}, number = {5}, pages = {34--41}, year = {1986}, url = {https://doi.org/10.1109/MS.1986.234396}, doi = {10.1109/MS.1986.234396}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/software/SuhlerBMI86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/LeeFWBB85, author = {Manjai Lee and Eric Fiene and Chuan{-}lin Wu and Geoffrey Brown and Nader Bagherzadeh}, title = {Network Facility for a Reconfigurable Computer Architecture}, booktitle = {Proceedings of the 5th International Conference on Distributed Computing Systems, Denver, Colorado, USA, May 13-17, 1985}, pages = {264--271}, publisher = {{IEEE} Computer Society}, year = {1985}, timestamp = {Wed, 27 Nov 2013 16:54:35 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/LeeFWBB85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.