BibTeX records: Alper Buyuktosunoglu

download as .bib file

@inproceedings{DBLP:conf/hpca/BuyuktosunogluTABWL24,
  author       = {Alper Buyuktosunoglu and
                  David Trilla and
                  B{\"{u}}lent Abali and
                  Deanna Postles Dunn Berger and
                  Craig R. Walters and
                  Jang{-}Soo Lee},
  title        = {Enterprise-Class Cache Compression Design},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024},
  pages        = {996--1011},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/HPCA57654.2024.00080},
  doi          = {10.1109/HPCA57654.2024.00080},
  timestamp    = {Wed, 17 Apr 2024 17:17:11 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/BuyuktosunogluTABWL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SantosJZCGLSTZBCGMPTTWYAJMPSABBCSW24,
  author       = {Maico Cassel dos Santos and
                  Tianyu Jia and
                  Joseph Zuckerman and
                  Martin Cochet and
                  Davide Giri and
                  Erik Jens Loscalzo and
                  Karthik Swaminathan and
                  Thierry Tambe and
                  Jeff Jun Zhang and
                  Alper Buyuktosunoglu and
                  Kuan{-}Lin Chiu and
                  Giuseppe Di Guglielmo and
                  Paolo Mantovani and
                  Luca Piccolboni and
                  Gabriele Tombesi and
                  David Trilla and
                  John{-}David Wellman and
                  En{-}Yu Yang and
                  Aporva Amarnath and
                  Ying Jing and
                  Bakshree Mishra and
                  Joshua Park and
                  Vignesh Suresh and
                  Sarita V. Adve and
                  Pradip Bose and
                  David Brooks and
                  Luca P. Carloni and
                  Kenneth L. Shepard and
                  Gu{-}Yeon Wei},
  title        = {14.5 {A} 12nm Linux-SMP-Capable {RISC-V} SoC with 14 Accelerator Types,
                  Distributed Hardware Power Management and Flexible NoC-Based Data
                  Orchestration},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024,
                  San Francisco, CA, USA, February 18-22, 2024},
  pages        = {262--264},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISSCC49657.2024.10454572},
  doi          = {10.1109/ISSCC49657.2024.10454572},
  timestamp    = {Tue, 19 Mar 2024 09:04:31 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/SantosJZCGLSTZBCGMPTTWYAJMPSABBCSW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/HossainBWBM23,
  author       = {Naorin Hossain and
                  Alper Buyuktosunoglu and
                  John{-}David Wellman and
                  Pradip Bose and
                  Margaret Martonosi},
  title        = {SoCurity: {A} Design Approach for Enhancing SoC Security},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {22},
  number       = {2},
  pages        = {105--108},
  year         = {2023},
  url          = {https://doi.org/10.1109/LCA.2023.3301448},
  doi          = {10.1109/LCA.2023.3301448},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/HossainBWBM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/ShahSSBB23,
  author       = {Parth Shah and
                  Ranjal Gautham Shenoy and
                  Vaidyanathan Srinivasan and
                  Pradip Bose and
                  Alper Buyuktosunoglu},
  title        = {TokenSmart: Distributed, Scalable Power Management in the Many-core
                  Era},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {20},
  number       = {1},
  pages        = {4:1--4:26},
  year         = {2023},
  url          = {https://doi.org/10.1145/3559762},
  doi          = {10.1145/3559762},
  timestamp    = {Sat, 11 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/ShahSSBB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/OrtegaABBRBM23,
  author       = {Cristobal Ortega and
                  Lluc Alvarez and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  Todd Rosedahl and
                  Pradip Bose and
                  Miquel Moret{\'{o}}},
  title        = {Adaptive Power Shifting for Power-Constrained Heterogeneous Systems},
  journal      = {{IEEE} Trans. Computers},
  volume       = {72},
  number       = {3},
  pages        = {627--640},
  year         = {2023},
  url          = {https://doi.org/10.1109/TC.2022.3174545},
  doi          = {10.1109/TC.2022.3174545},
  timestamp    = {Sat, 25 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/OrtegaABBRBM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/SwaminathanBBBHCAJPB23,
  author       = {Karthik Swaminathan and
                  Ramon Bertran and
                  Doug Balazich and
                  Alper Buyuktosunoglu and
                  Arvind Haran and
                  Sean M. Carey and
                  Karl Anderson and
                  Hans M. Jacobson and
                  Matthias Pflanz and
                  Pradip Bose},
  title        = {Characterization and Exploration of Latch Checkers for Efficient {RAS}
                  Protection},
  booktitle    = {53rd Annual {IEEE/IFIP} International Conference on Dependable Systems
                  and Networks, {DSN} 2023 - Supplemental Volume, Porto, Portugal, June
                  27-30, 2023},
  pages        = {63--69},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DSN-S58398.2023.00026},
  doi          = {10.1109/DSN-S58398.2023.00026},
  timestamp    = {Thu, 17 Aug 2023 15:16:15 +0200},
  biburl       = {https://dblp.org/rec/conf/dsn/SwaminathanBBBHCAJPB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esorics/AharoniBBBDPPSSSV23,
  author       = {Ehud Aharoni and
                  Moran Baruch and
                  Pradip Bose and
                  Alper Buyuktosunoglu and
                  Nir Drucker and
                  Subhankar Pal and
                  Tomer Pelleg and
                  Kanthi K. Sarpatwar and
                  Hayim Shaul and
                  Omri Soceanu and
                  Roman Vacul{\'{\i}}n},
  editor       = {Gene Tsudik and
                  Mauro Conti and
                  Kaitai Liang and
                  Georgios Smaragdakis},
  title        = {Efficient Pruning for Machine Learning Under Homomorphic Encryption},
  booktitle    = {Computer Security - {ESORICS} 2023 - 28th European Symposium on Research
                  in Computer Security, The Hague, The Netherlands, September 25-29,
                  2023, Proceedings, Part {IV}},
  series       = {Lecture Notes in Computer Science},
  volume       = {14347},
  pages        = {204--225},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-51482-1\_11},
  doi          = {10.1007/978-3-031-51482-1\_11},
  timestamp    = {Thu, 18 Jan 2024 08:27:16 +0100},
  biburl       = {https://dblp.org/rec/conf/esorics/AharoniBBBDPPSSSV23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computing/VegaBCLB22,
  author       = {Augusto Vega and
                  Alper Buyuktosunoglu and
                  Davide Callegaro and
                  Marco Levorato and
                  Pradip Bose},
  title        = {Cloud-backed mobile cognition},
  journal      = {Computing},
  volume       = {104},
  number       = {3},
  pages        = {461--479},
  year         = {2022},
  url          = {https://doi.org/10.1007/s00607-021-00953-7},
  doi          = {10.1007/S00607-021-00953-7},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/computing/VegaBCLB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/SaileshwarBCSB22,
  author       = {Gururaj Saileshwar and
                  Rick Boivie and
                  Tong Chen and
                  Benjamin Segal and
                  Alper Buyuktosunoglu},
  title        = {HeapCheck: Low-cost Hardware Support for Memory Safety},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {19},
  number       = {1},
  pages        = {10:1--10:24},
  year         = {2022},
  url          = {https://doi.org/10.1145/3495152},
  doi          = {10.1145/3495152},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/SaileshwarBCSB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/BoivieSCSB22,
  author       = {Rick Boivie and
                  Gururaj Saileshwar and
                  Tong Chen and
                  Benjamin Segal and
                  Alper Buyuktosunoglu},
  title        = {On the Scalability of HeapCheck},
  booktitle    = {52nd Annual {IEEE/IFIP} International Conference on Dependable Systems
                  and Networks, {DSN} 2022, Supplemental Volume, Baltimore, MD, USA,
                  June 27-30, 2022},
  pages        = {15--18},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/DSN-S54099.2022.00015},
  doi          = {10.1109/DSN-S54099.2022.00015},
  timestamp    = {Tue, 14 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsn/BoivieSCSB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/LichtenauBBF0PP22,
  author       = {C{\'{e}}dric Lichtenau and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  Peter Figuli and
                  Christian Jacobi and
                  Nikolaos Papandreou and
                  Haris Pozidis and
                  Anthony Saporito and
                  Andrew Sica and
                  Elpida Tzortzatos},
  editor       = {Valentina Salapura and
                  Mohamed Zahran and
                  Fred Chong and
                  Lingjia Tang},
  title        = {{AI} accelerator on {IBM} telum processor: industrial product},
  booktitle    = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture,
                  New York, New York, USA, June 18 - 22, 2022},
  pages        = {1012--1028},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3470496.3533042},
  doi          = {10.1145/3470496.3533042},
  timestamp    = {Wed, 01 Jun 2022 14:28:13 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/LichtenauBBF0PP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/GevaBS0CSPLBHZM22,
  author       = {Ofer Geva and
                  Christopher J. Berry and
                  Robert J. Sonnelitter and
                  David Wolpert and
                  Adam Collura and
                  Thomas Strach and
                  Di Phan and
                  C{\'{e}}dric Lichtenau and
                  Alper Buyuktosunoglu and
                  Hubert Harrer and
                  Jeffrey A. Zitz and
                  Chad Marquart and
                  Douglas Malone and
                  Tobias Webel and
                  Adam Jatkowski and
                  John Isakson and
                  Dina Hamid and
                  Mark Cichanowski and
                  Michael Romain and
                  Faisal Hasan and
                  Kevin Williams and
                  Jesse Surprise and
                  Chris Cavitt and
                  Mark Cohen},
  title        = {{IBM} Telum: a 16-Core 5+ GHz {DCM}},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022,
                  San Francisco, CA, USA, February 20-26, 2022},
  pages        = {46--48},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISSCC42614.2022.9731541},
  doi          = {10.1109/ISSCC42614.2022.9731541},
  timestamp    = {Mon, 21 Mar 2022 13:32:47 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/GevaBS0CSPLBHZM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2203-13396,
  author       = {Aporva Amarnath and
                  Subhankar Pal and
                  Hiwot Kassa and
                  Augusto Vega and
                  Alper Buyuktosunoglu and
                  Hubertus Franke and
                  John{-}David Wellman and
                  Ronald G. Dreslinski and
                  Pradip Bose},
  title        = {HetSched: Quality-of-Mission Aware Scheduling for Autonomous Vehicle
                  SoCs},
  journal      = {CoRR},
  volume       = {abs/2203.13396},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2203.13396},
  doi          = {10.48550/ARXIV.2203.13396},
  eprinttype    = {arXiv},
  eprint       = {2203.13396},
  timestamp    = {Tue, 29 Mar 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2203-13396.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2207-03384,
  author       = {Ehud Aharoni and
                  Moran Baruch and
                  Pradip Bose and
                  Alper Buyuktosunoglu and
                  Nir Drucker and
                  Subhankar Pal and
                  Tomer Pelleg and
                  Kanthi K. Sarpatwar and
                  Hayim Shaul and
                  Omri Soceanu and
                  Roman Vacul{\'{\i}}n},
  title        = {HE-PEx: Efficient Machine Learning under Homomorphic Encryption using
                  Pruning, Permutation and Expansion},
  journal      = {CoRR},
  volume       = {abs/2207.03384},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2207.03384},
  doi          = {10.48550/ARXIV.2207.03384},
  eprinttype    = {arXiv},
  eprint       = {2207.03384},
  timestamp    = {Wed, 13 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2207-03384.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/ShahSSBB21,
  author       = {Parth Shah and
                  Ranjal Gautham Shenoy and
                  Vaidyanathan Srinivasan and
                  Pradip Bose and
                  Alper Buyuktosunoglu},
  title        = {TokenSmart: Distributed, Scalable Power Management in the Many-Core
                  Era},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {20},
  number       = {1},
  pages        = {42--45},
  year         = {2021},
  url          = {https://doi.org/10.1109/LCA.2021.3064441},
  doi          = {10.1109/LCA.2021.3064441},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/ShahSSBB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/AmarnathPKVBFWD21,
  author       = {Aporva Amarnath and
                  Subhankar Pal and
                  Hiwot Tadese Kassa and
                  Augusto Vega and
                  Alper Buyuktosunoglu and
                  Hubertus Franke and
                  John{-}David Wellman and
                  Ronald Dreslinski Jr. and
                  Pradip Bose},
  title        = {Heterogeneity-Aware Scheduling on SoCs for Autonomous Vehicles},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {20},
  number       = {2},
  pages        = {82--85},
  year         = {2021},
  url          = {https://doi.org/10.1109/LCA.2021.3085505},
  doi          = {10.1109/LCA.2021.3085505},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/AmarnathPKVBFWD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WolpertBBJSIGDC21,
  author       = {David Wolpert and
                  Christopher J. Berry and
                  Brian Bell and
                  Adam Jatkowski and
                  Jesse Surprise and
                  John Isakson and
                  Ofer Geva and
                  Brian Deskin and
                  Mark Cichanowski and
                  Dina Hamid and
                  Chris Cavitt and
                  Gregory Fredeman and
                  Dinesh Kannambadi and
                  Anthony Saporito and
                  Ashutosh Mishra and
                  Alper Buyuktosunoglu and
                  Tobias Webel and
                  Preetham Lobo and
                  Ramon Bertran and
                  Pradeep Bhadravati Parashurama and
                  Dureseti Chidambarrao and
                  Brandon Bruen and
                  Alan P. Wagstaff and
                  Eric Lukes and
                  Sean M. Carey and
                  Hunter F. Shi and
                  Michael Romain and
                  Paul Logsdon and
                  Ishita Agarwal},
  title        = {Cores, Cache, Content, and Characterization: IBM's Second Generation
                  14-nm Product, z15},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {56},
  number       = {1},
  pages        = {98--111},
  year         = {2021},
  url          = {https://doi.org/10.1109/JSSC.2020.3030062},
  doi          = {10.1109/JSSC.2020.3030062},
  timestamp    = {Mon, 04 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/WolpertBBJSIGDC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/OrtegaACBBEBM21,
  author       = {Cristobal Ortega and
                  Lluc Alvarez and
                  Marc Casas and
                  Ramon Bertran and
                  Alper Buyuktosunoglu and
                  Alexandre E. Eichenberger and
                  Pradip Bose and
                  Miquel Moret{\'{o}}},
  title        = {Intelligent Adaptation of Hardware Knobs for Improving Performance
                  and Power Consumption},
  journal      = {{IEEE} Trans. Computers},
  volume       = {70},
  number       = {1},
  pages        = {1--16},
  year         = {2021},
  url          = {https://doi.org/10.1109/TC.2020.2980230},
  doi          = {10.1109/TC.2020.2980230},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/OrtegaACBBEBM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LengBBBZR21,
  author       = {Jingwen Leng and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  Pradip Bose and
                  Yazhou Zu and
                  Vijay Janapa Reddi},
  title        = {Predictive Guardbanding: Program-Driven Timing Margin Reduction for
                  GPUs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {1},
  pages        = {171--184},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2020.2992684},
  doi          = {10.1109/TCAD.2020.2992684},
  timestamp    = {Tue, 23 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/LengBBBZR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LengBBBZR21a,
  author       = {Jingwen Leng and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  Pradip Bose and
                  Yazhou Zu and
                  Vijay Janapa Reddi},
  title        = {Erratum to "Predictive Guardbanding: Program-Driven Timing Margin
                  Reduction for GPUs"},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {6},
  pages        = {1272},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2021.3058491},
  doi          = {10.1109/TCAD.2021.3058491},
  timestamp    = {Tue, 01 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LengBBBZR21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/BoivieSCSB21,
  author       = {Rick Boivie and
                  Gururaj Saileshwar and
                  Tong Chen and
                  Benjamin Segal and
                  Alper Buyuktosunoglu},
  title        = {Hardware Support for Low-Cost Memory Safety},
  booktitle    = {51st Annual {IEEE/IFIP} International Conference on Dependable Systems
                  and Networks, {DSN} 2021, Taipei, Taiwan, June 21-24, 2021 - Supplemental
                  Volume},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DSN-S52858.2021.00032},
  doi          = {10.1109/DSN-S52858.2021.00032},
  timestamp    = {Tue, 14 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsn/BoivieSCSB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/ThomptoNMBJERGB21,
  author       = {Brian W. Thompto and
                  Dung Q. Nguyen and
                  Jos{\'{e}} E. Moreira and
                  Ramon Bertran and
                  Hans M. Jacobson and
                  Richard J. Eickemeyer and
                  Rahul M. Rao and
                  Michael Goulet and
                  Marcy Byers and
                  Christopher J. Gonzalez and
                  Karthik Swaminathan and
                  Nagu R. Dhanwada and
                  Silvia M. M{\"{u}}ller and
                  Andreas Wagner and
                  Satish Kumar Sadasivam and
                  Robert K. Montoye and
                  William J. Starke and
                  Christian G. Zoellin and
                  Michael S. Floyd and
                  Jeffrey Stuecheli and
                  Nandhini Chandramoorthy and
                  John{-}David Wellman and
                  Alper Buyuktosunoglu and
                  Matthias Pflanz and
                  Balaram Sinharoy and
                  Pradip Bose},
  title        = {Energy Efficiency Boost in the AI-Infused {POWER10} Processor},
  booktitle    = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021},
  pages        = {29--42},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISCA52012.2021.00012},
  doi          = {10.1109/ISCA52012.2021.00012},
  timestamp    = {Mon, 19 Feb 2024 07:32:07 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/ThomptoNMBJERGB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/TrillaWBB21,
  author       = {David Trilla and
                  John{-}David Wellman and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {{NOVIA:} {A} Framework for Discovering Non-Conventional Inline Accelerators},
  booktitle    = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  Virtual Event, Greece, October 18-22, 2021},
  pages        = {507--521},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3466752.3480094},
  doi          = {10.1145/3466752.3480094},
  timestamp    = {Tue, 19 Oct 2021 15:51:04 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/TrillaWBB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ibmrd/WebelLSPPBB20,
  author       = {Tobias Webel and
                  Preetham M. Lobo and
                  Thomas Strach and
                  Pradeep Bhadravati Parashurama and
                  Srinivas Purushotham and
                  Ramon Bertran and
                  Alper Buyuktosunoglu},
  title        = {Proactive power management in {IBM} z15},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {64},
  number       = {5/6},
  pages        = {15:1--15:12},
  year         = {2020},
  url          = {https://doi.org/10.1147/JRD.2020.3008143},
  doi          = {10.1147/JRD.2020.3008143},
  timestamp    = {Wed, 02 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ibmrd/WebelLSPPBB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/LengBBB0GR20,
  author       = {Jingwen Leng and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  Pradip Bose and
                  Quan Chen and
                  Minyi Guo and
                  Vijay Janapa Reddi},
  title        = {Asymmetric Resilience: Exploiting Task-Level Idempotency for Transient
                  Error Recovery in Accelerator-Based Systems},
  booktitle    = {{IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020},
  pages        = {44--57},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/HPCA47549.2020.00014},
  doi          = {10.1109/HPCA47549.2020.00014},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/LengBBB0GR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/ZhangCKLFKSMBDK20,
  author       = {Wei Zhang and
                  Xiaodong Cui and
                  Abdullah Kayi and
                  Mingrui Liu and
                  Ulrich Finkler and
                  Brian Kingsbury and
                  George Saon and
                  Youssef Mroueh and
                  Alper Buyuktosunoglu and
                  Payel Das and
                  David S. Kung and
                  Michael Picheny},
  title        = {Improving Efficiency in Large-Scale Decentralized Distributed Training},
  booktitle    = {2020 {IEEE} International Conference on Acoustics, Speech and Signal
                  Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020},
  pages        = {3022--3026},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICASSP40776.2020.9054065},
  doi          = {10.1109/ICASSP40776.2020.9054065},
  timestamp    = {Wed, 23 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icassp/ZhangCKLFKSMBDK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/AbaliBRKMASBJSM20,
  author       = {B{\"{u}}lent Abali and
                  Bart Blaner and
                  John J. Reilly and
                  Matthias Klein and
                  Ashutosh Mishra and
                  Craig B. Agricola and
                  Bedri Sendir and
                  Alper Buyuktosunoglu and
                  Christian Jacobi and
                  William J. Starke and
                  Haren Myneni and
                  Charlie Wang},
  title        = {Data Compression Accelerator on {IBM} {POWER9} and z15 Processors
                  : Industrial Product},
  booktitle    = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020},
  pages        = {1--14},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCA45697.2020.00012},
  doi          = {10.1109/ISCA45697.2020.00012},
  timestamp    = {Mon, 19 Feb 2024 07:32:24 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/AbaliBRKMASBJSM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BerryBJSIGDCHCF20,
  author       = {Christopher J. Berry and
                  Brian Bell and
                  Adam Jatkowski and
                  Jesse Surprise and
                  John Isakson and
                  Ofer Geva and
                  Brian Deskin and
                  Mark Cichanowski and
                  Dina Hamid and
                  Chris Cavitt and
                  Gregory Fredeman and
                  Anthony Saporito and
                  Ashutosh Mishra and
                  Alper Buyuktosunoglu and
                  Tobias Webel and
                  Preetham Lobo and
                  Pradeep Parashurama and
                  Ramon Bertran and
                  Dureseti Chidambarrao and
                  David Wolpert and
                  Brandon Bruen},
  title        = {2.7 {IBM} z15: {A} 12-Core 5.2GHz Microprocessor},
  booktitle    = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC}
                  2020, San Francisco, CA, USA, February 16-20, 2020},
  pages        = {54--56},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISSCC19947.2020.9063030},
  doi          = {10.1109/ISSCC19947.2020.9063030},
  timestamp    = {Mon, 04 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/BerryBJSIGDCHCF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2002-01119,
  author       = {Wei Zhang and
                  Xiaodong Cui and
                  Abdullah Kayi and
                  Mingrui Liu and
                  Ulrich Finkler and
                  Brian Kingsbury and
                  George Saon and
                  Youssef Mroueh and
                  Alper Buyuktosunoglu and
                  Payel Das and
                  David S. Kung and
                  Michael Picheny},
  title        = {Improving Efficiency in Large-Scale Decentralized Distributed Training},
  journal      = {CoRR},
  volume       = {abs/2002.01119},
  year         = {2020},
  url          = {https://arxiv.org/abs/2002.01119},
  eprinttype    = {arXiv},
  eprint       = {2002.01119},
  timestamp    = {Wed, 23 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2002-01119.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2007-14371,
  author       = {Augusto Vega and
                  Aporva Amarnath and
                  John{-}David Wellman and
                  Hiwot Kassa and
                  Subhankar Pal and
                  Hubertus Franke and
                  Alper Buyuktosunoglu and
                  Ronald G. Dreslinski and
                  Pradip Bose},
  title        = {{STOMP:} {A} Tool for Evaluation of Scheduling Policies in Heterogeneous
                  Multi-Processors},
  journal      = {CoRR},
  volume       = {abs/2007.14371},
  year         = {2020},
  url          = {https://arxiv.org/abs/2007.14371},
  eprinttype    = {arXiv},
  eprint       = {2007.14371},
  timestamp    = {Mon, 03 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2007-14371.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/LengBBBR19,
  author       = {Jingwen Leng and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  Pradip Bose and
                  Vijay Janapa Reddi},
  title        = {Asymmetric Resilience for Accelerator-Rich Systems},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {18},
  number       = {1},
  pages        = {83--86},
  year         = {2019},
  url          = {https://doi.org/10.1109/LCA.2019.2917898},
  doi          = {10.1109/LCA.2019.2917898},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/LengBBBR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BerryWVRCMSCJSS19,
  author       = {Christopher J. Berry and
                  David Wolpert and
                  Christos Vezyrtzis and
                  Richard F. Rizzolo and
                  Sean M. Carey and
                  Yaniv Maroz and
                  Hunter F. Shi and
                  Dureseti Chidambarrao and
                  Christian Jacobi and
                  Anthony Saporito and
                  Thomas Strach and
                  Alper Buyuktosunoglu and
                  Preetham Lobo and
                  Pierce Chuang and
                  Pawel Owczarczyk and
                  Ramon Bertran and
                  Tobias Webel and
                  Phillip J. Restle},
  title        = {{IBM} z14: Processor Characterization and Power Management for High-Reliability
                  Mainframe Systems},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {54},
  number       = {1},
  pages        = {121--132},
  year         = {2019},
  url          = {https://doi.org/10.1109/JSSC.2018.2873582},
  doi          = {10.1109/JSSC.2018.2873582},
  timestamp    = {Tue, 19 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BerryWVRCMSCJSS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChengMABBCCLSSS19,
  author       = {Eric Cheng and
                  Daniel Mueller{-}Gritschneder and
                  Jacob A. Abraham and
                  Pradip Bose and
                  Alper Buyuktosunoglu and
                  Deming Chen and
                  Hyungmin Cho and
                  Yanjing Li and
                  Uzair Sharif and
                  Kevin Skadron and
                  Mircea Stan and
                  Ulf Schlichtmann and
                  Subhasish Mitra},
  title        = {Cross-Layer Resilience: Challenges, Insights, and the Road Ahead},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {198},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3323474},
  doi          = {10.1145/3316781.3323474},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ChengMABBCCLSSS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ChandramoorthyS19,
  author       = {Nandhini Chandramoorthy and
                  Karthik Swaminathan and
                  Martin Cochet and
                  Arun Paidimarri and
                  Schuyler Eldridge and
                  Rajiv V. Joshi and
                  Matthew M. Ziegler and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {Resilient Low Voltage Accelerators for High Energy Efficiency},
  booktitle    = {25th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2019, Washington, DC, USA, February 16-20, 2019},
  pages        = {147--158},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HPCA.2019.00034},
  doi          = {10.1109/HPCA.2019.00034},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/ChandramoorthyS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/AcunBLP19,
  author       = {Bilge Acun and
                  Alper Buyuktosunoglu and
                  Eun Kyung Lee and
                  Yoonho Park},
  title        = {Power Aware Heterogeneous Node Assembly},
  booktitle    = {25th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2019, Washington, DC, USA, February 16-20, 2019},
  pages        = {715--727},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HPCA.2019.00068},
  doi          = {10.1109/HPCA.2019.00068},
  timestamp    = {Tue, 02 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/AcunBLP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/interspeech/ZhangCFSKBK0P19,
  author       = {Wei Zhang and
                  Xiaodong Cui and
                  Ulrich Finkler and
                  George Saon and
                  Abdullah Kayi and
                  Alper Buyuktosunoglu and
                  Brian Kingsbury and
                  David S. Kung and
                  Michael Picheny},
  editor       = {Gernot Kubin and
                  Zdravko Kacic},
  title        = {A Highly Efficient Distributed Deep Learning System for Automatic
                  Speech Recognition},
  booktitle    = {Interspeech 2019, 20th Annual Conference of the International Speech
                  Communication Association, Graz, Austria, 15-19 September 2019},
  pages        = {2628--2632},
  publisher    = {{ISCA}},
  year         = {2019},
  url          = {https://doi.org/10.21437/Interspeech.2019-2700},
  doi          = {10.21437/INTERSPEECH.2019-2700},
  timestamp    = {Fri, 29 Jan 2021 17:41:10 +0100},
  biburl       = {https://dblp.org/rec/conf/interspeech/ZhangCFSKBK0P19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/HongABHN19,
  author       = {Seokin Hong and
                  B{\"{u}}lent Abali and
                  Alper Buyuktosunoglu and
                  Michael B. Healy and
                  Prashant J. Nair},
  title        = {Touch{\'{e}}: Towards Ideal and Efficient Cache Compression By
                  Mitigating Tag Area Overheads},
  booktitle    = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16,
                  2019},
  pages        = {453--465},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3352460.3358281},
  doi          = {10.1145/3352460.3358281},
  timestamp    = {Wed, 16 Oct 2019 09:55:30 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/HongABHN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1907-05701,
  author       = {Wei Zhang and
                  Xiaodong Cui and
                  Ulrich Finkler and
                  George Saon and
                  Abdullah Kayi and
                  Alper Buyuktosunoglu and
                  Brian Kingsbury and
                  David S. Kung and
                  Michael Picheny},
  title        = {A Highly Efficient Distributed Deep Learning System For Automatic
                  Speech Recognition},
  journal      = {CoRR},
  volume       = {abs/1907.05701},
  year         = {2019},
  url          = {http://arxiv.org/abs/1907.05701},
  eprinttype    = {arXiv},
  eprint       = {1907.05701},
  timestamp    = {Wed, 23 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1907-05701.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1909-00553,
  author       = {Seokin Hong and
                  B{\"{u}}lent Abali and
                  Alper Buyuktosunoglu and
                  Michael B. Healy and
                  Prashant J. Nair},
  title        = {Touch{\'{e}}: Towards Ideal and Efficient Cache Compression By
                  Mitigating Tag Area Overheads},
  journal      = {CoRR},
  volume       = {abs/1909.00553},
  year         = {2019},
  url          = {http://arxiv.org/abs/1909.00553},
  eprinttype    = {arXiv},
  eprint       = {1909.00553},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1909-00553.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ibmrd/BerryWBBBBBCCGH18,
  author       = {Christopher J. Berry and
                  James D. Warnock and
                  John Badar and
                  Dean G. Bair and
                  Erwin Behnen and
                  Brian Bell and
                  Alper Buyuktosunoglu and
                  Chris Cavitt and
                  Pierce Chuang and
                  Ofer Geva and
                  Dina Hamid and
                  John Isakson and
                  Preetham Lobo and
                  Frank Malgioglio and
                  Guenter Mayer and
                  Jos{\'{e}} Luis Neves and
                  Thomas Strach and
                  Jesse Surprise and
                  Christos Vezyrtzis and
                  Tobias Webel and
                  David Wolpert},
  title        = {{IBM} z14 design methodology enhancements in the 14-nm technology
                  node},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {62},
  number       = {2/3},
  pages        = {9:1--9:12},
  year         = {2018},
  url          = {https://doi.org/10.1147/JRD.2018.2800218},
  doi          = {10.1147/JRD.2018.2800218},
  timestamp    = {Mon, 04 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ibmrd/BerryWBBBBBCCGH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ibmrd/FluhrRSBM18,
  author       = {Eric J. Fluhr and
                  Rahul M. Rao and
                  Howard Smith and
                  Alper Buyuktosunoglu and
                  Ramon Bertran Monfort},
  title        = {{IBM} {POWER9} circuit design and energy optimization for 14-nm technology},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {62},
  number       = {4/5},
  pages        = {4:1--4:11},
  year         = {2018},
  url          = {https://doi.org/10.1147/JRD.2018.2846158},
  doi          = {10.1147/JRD.2018.2846158},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ibmrd/FluhrRSBM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PuticVEBBS18,
  author       = {Mateja Putic and
                  Swagath Venkataramani and
                  Schuyler Eldridge and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Mircea Stan},
  title        = {Dyhard-DNN: even more {DNN} acceleration with dynamic hardware reconfiguration},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {14:1--14:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196033},
  doi          = {10.1145/3195970.3196033},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/PuticVEBBS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/VegaBB18,
  author       = {Augusto Vega and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Energy-secure swarm power management},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {1652--1657},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342279},
  doi          = {10.23919/DATE.2018.8342279},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/VegaBB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/VenkatagiriSLWB18,
  author       = {Radha Venkatagiri and
                  Karthik Swaminathan and
                  Chung{-}Ching Lin and
                  Liang Wang and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Sarita V. Adve},
  title        = {Impact of Software Approximations on the Resiliency of a Video Summarization
                  System},
  booktitle    = {48th Annual {IEEE/IFIP} International Conference on Dependable Systems
                  and Networks, {DSN} 2018, Luxembourg City, Luxembourg, June 25-28,
                  2018},
  pages        = {598--609},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/DSN.2018.00067},
  doi          = {10.1109/DSN.2018.00067},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsn/VenkatagiriSLWB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/BullaAMBBB18,
  author       = {Calvin Bulla and
                  Lluc Alvarez and
                  Miquel Moret{\'{o}} and
                  Ramon Bertran and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {ChopStiX: Systematic Extraction of Code-Representative Microbenchmarks},
  booktitle    = {2018 {IEEE} International Symposium on Workload Characterization,
                  {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018},
  pages        = {80--81},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/IISWC.2018.8573473},
  doi          = {10.1109/IISWC.2018.8573473},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/BullaAMBBB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/VezyrtzisSCLRWO18,
  author       = {Christos Vezyrtzis and
                  Thomas Strach and
                  Pierce I{-}Jen Chuang and
                  Preetham Lobo and
                  Richard F. Rizzolo and
                  Tobias Webel and
                  Pawel Owczarczyk and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  David T. Hui and
                  Susan M. Eickhoff and
                  Michael S. Floyd and
                  Gerard Salem and
                  Sean M. Carey and
                  Stelios G. Tsapepas and
                  Phillip J. Restle},
  title        = {Droop mitigation using critical-path sensors and an on-chip distributed
                  power supply estimation engine in the z14{\texttrademark} enterprise
                  processor},
  booktitle    = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2018, San Francisco, CA, USA, February 11-15, 2018},
  pages        = {300--302},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISSCC.2018.8310303},
  doi          = {10.1109/ISSCC.2018.8310303},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/VezyrtzisSCLRWO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ivs/VegaBB18,
  author       = {Augusto Vega and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {Towards "Smarter" Vehicles Through Cloud-Backed Swarm Cognition},
  booktitle    = {2018 {IEEE} Intelligent Vehicles Symposium, {IV} 2018, Changshu, Suzhou,
                  China, June 26-30, 2018},
  pages        = {1079--1086},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/IVS.2018.8500627},
  doi          = {10.1109/IVS.2018.8500627},
  timestamp    = {Wed, 16 Oct 2019 14:14:57 +0200},
  biburl       = {https://dblp.org/rec/conf/ivs/VegaBB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/HongNABKH18,
  author       = {Seokin Hong and
                  Prashant Jayaprakash Nair and
                  B{\"{u}}lent Abali and
                  Alper Buyuktosunoglu and
                  Kyu{-}Hyoun Kim and
                  Michael B. Healy},
  title        = {Attach{\'{e}}: Towards Ideal Memory Compression by Mitigating
                  Metadata Bandwidth Overheads},
  booktitle    = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018},
  pages        = {326--338},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MICRO.2018.00034},
  doi          = {10.1109/MICRO.2018.00034},
  timestamp    = {Fri, 28 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/HongNABKH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/SasakiBVB17,
  author       = {Hiroshi Sasaki and
                  Alper Buyuktosunoglu and
                  Augusto Vega and
                  Pradip Bose},
  title        = {Mitigating Power Contention: {A} Scheduling Based Approach},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {16},
  number       = {1},
  pages        = {60--63},
  year         = {2017},
  url          = {https://doi.org/10.1109/LCA.2016.2572080},
  doi          = {10.1109/LCA.2016.2572080},
  timestamp    = {Fri, 17 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/SasakiBVB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ibmrd/ZieglerMBB17,
  author       = {Matthew M. Ziegler and
                  Ramon Bertran Monfort and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {Machine learning techniques for taming the complexity of modern hardware
                  design},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {61},
  number       = {4-5},
  pages        = {13:1--13:14},
  year         = {2017},
  url          = {https://doi.org/10.1147/JRD.2017.2721699},
  doi          = {10.1147/JRD.2017.2721699},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ibmrd/ZieglerMBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/BoseB17,
  author       = {Pradip Bose and
                  Alper Buyuktosunoglu},
  title        = {Architectural Support for Cognitive Processing},
  journal      = {{IEEE} Micro},
  volume       = {37},
  number       = {1},
  pages        = {6--7},
  year         = {2017},
  url          = {https://doi.org/10.1109/MM.2017.20},
  doi          = {10.1109/MM.2017.20},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/BoseB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/SwaminathanCCBB17,
  author       = {Karthik Swaminathan and
                  Nandhini Chandramoorthy and
                  Chen{-}Yong Cher and
                  Ramon Bertran and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {{BRAVO:} Balanced Reliability-Aware Voltage Optimization},
  booktitle    = {2017 {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2017, Austin, TX, USA, February 4-8, 2017},
  pages        = {97--108},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/HPCA.2017.56},
  doi          = {10.1109/HPCA.2017.56},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/SwaminathanCCBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChengABBCCCCLLM17,
  author       = {Eric Cheng and
                  Jacob A. Abraham and
                  Pradip Bose and
                  Alper Buyuktosunoglu and
                  Keith A. Campbell and
                  Deming Chen and
                  Chen{-}Yong Cher and
                  Hyungmin Cho and
                  Binh Q. Le and
                  Klas Lilja and
                  Shahrzad Mirkhani and
                  Kevin Skadron and
                  Mircea Stan and
                  Lukasz G. Szafaryn and
                  Christos Vezyrtzis and
                  Subhasish Mitra},
  title        = {Cross-Layer Resilience in Low-Voltage Digital Systems: Key Insights},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {593--596},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.103},
  doi          = {10.1109/ICCD.2017.103},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChengABBCCCCLLM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BertranBBBBCCCE17,
  author       = {Ramon Bertran and
                  Pradip Bose and
                  David M. Brooks and
                  Jeff Burns and
                  Alper Buyuktosunoglu and
                  Nandhini Chandramoorthy and
                  Eric Cheng and
                  Martin Cochet and
                  Schuyler Eldridge and
                  Daniel Friedman and
                  Hans M. Jacobson and
                  Rajiv V. Joshi and
                  Subhasish Mitra and
                  Robert K. Montoye and
                  Arun Paidimarri and
                  Pritish Parida and
                  Kevin Skadron and
                  Mircea Stan and
                  Karthik Swaminathan and
                  Augusto Vega and
                  Swagath Venkataramani and
                  Christos Vezyrtzis and
                  Gu{-}Yeon Wei and
                  John{-}David Wellman and
                  Matthew M. Ziegler},
  title        = {Very Low Voltage {(VLV)} Design},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {601--604},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.105},
  doi          = {10.1109/ICCD.2017.105},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BertranBBBBCCCE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/OrtegaMCBBEB17,
  author       = {Cristobal Ortega and
                  Miquel Moret{\'{o}} and
                  Marc Casas and
                  Ramon Bertran and
                  Alper Buyuktosunoglu and
                  Alexandre E. Eichenberger and
                  Pradip Bose},
  editor       = {William D. Gropp and
                  Pete Beckman and
                  Zhiyuan Li and
                  Francisco J. Cazorla},
  title        = {libPRISM: an intelligent adaptation of prefetch and {SMT} levels},
  booktitle    = {Proceedings of the International Conference on Supercomputing, {ICS}
                  2017, Chicago, IL, USA, June 14-16, 2017},
  pages        = {28:1--28:10},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3079079.3079101},
  doi          = {10.1145/3079079.3079101},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ics/OrtegaMCBBEB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BoseB17,
  author       = {Pradip Bose and
                  Alper Buyuktosunoglu},
  title        = {Invited paper: Resilient and energy-secure power management},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009187},
  doi          = {10.1109/ISLPED.2017.8009187},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BoseB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VegaBB17,
  author       = {Augusto Vega and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {Invited paper: Secure swarm intelligence: {A} new approach to many-core
                  power management},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009188},
  doi          = {10.1109/ISLPED.2017.8009188},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/VegaBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChuangVPRWSTLBB17,
  author       = {Pierce I{-}Jen Chuang and
                  Christos Vezyrtzis and
                  Divya Pathak and
                  Richard F. Rizzolo and
                  Tobias Webel and
                  Thomas Strach and
                  Otto A. Torreiter and
                  Preetham Lobo and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  Michael S. Floyd and
                  Malcolm S. Ware and
                  Gerard Salem and
                  Sean M. Carey and
                  Phillip J. Restle},
  title        = {26.2 Power supply noise in a 22nm z13{\texttrademark} microprocessor},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {438--439},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870449},
  doi          = {10.1109/ISSCC.2017.7870449},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/ChuangVPRWSTLBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/SasakiBVB16,
  author       = {Hiroshi Sasaki and
                  Alper Buyuktosunoglu and
                  Augusto Vega and
                  Pradip Bose},
  title        = {Characterization and mitigation of power contention across multiprogrammed
                  workloads},
  booktitle    = {2016 {IEEE} International Symposium on Workload Characterization,
                  {IISWC} 2016, Providence, RI, USA, September 25-27, 2016},
  pages        = {55--64},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/IISWC.2016.7581266},
  doi          = {10.1109/IISWC.2016.7581266},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/SasakiBVB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/VenkatagiriSLWB16,
  author       = {Radha Venkatagiri and
                  Karthik Swaminathan and
                  Chung{-}Ching Lin and
                  Liang Wang and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Sarita V. Adve},
  title        = {Resilience characterization of a vision analytics application under
                  varying degrees of approximation},
  booktitle    = {2016 {IEEE} International Symposium on Workload Characterization,
                  {IISWC} 2016, Providence, RI, USA, September 25-27, 2016},
  pages        = {221--222},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/IISWC.2016.7581283},
  doi          = {10.1109/IISWC.2016.7581283},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/VenkatagiriSLWB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SongBCB16,
  author       = {William J. Song and
                  Alper Buyuktosunoglu and
                  Chen{-}Yong Cher and
                  Pradip Bose},
  title        = {Measurement-Driven Methodology for Evaluating Processor Heterogeneity
                  Options for Power-Performance Efficiency},
  booktitle    = {Proceedings of the 2016 International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August
                  08 - 10, 2016},
  pages        = {284--289},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2934583.2934637},
  doi          = {10.1145/2934583.2934637},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SongBCB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ibmrd/WebelLBSARCSBLB15,
  author       = {Tobias Webel and
                  Preetham M. Lobo and
                  Ramon Bertran and
                  Gerard Salem and
                  Malcolm Allen{-}Ware and
                  Richard F. Rizzolo and
                  Sean M. Carey and
                  Thomas Strach and
                  Alper Buyuktosunoglu and
                  Charles Lefurgy and
                  Pradip Bose and
                  Ricardo Nigaglioni and
                  Timothy J. Slegel and
                  Michael S. Floyd and
                  Brian W. Curran},
  title        = {Robust power management in the {IBM} z13},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {59},
  number       = {4/5},
  year         = {2015},
  url          = {https://doi.org/10.1147/JRD.2015.2446872},
  doi          = {10.1147/JRD.2015.2446872},
  timestamp    = {Thu, 04 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ibmrd/WebelLBSARCSBLB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/JimenezBBOCV15,
  author       = {V{\'{\i}}ctor Jim{\'{e}}nez and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Francis P. O'Connell and
                  Francisco J. Cazorla and
                  Mateo Valero},
  title        = {Increasing multicore system efficiency through intelligent bandwidth
                  shifting},
  booktitle    = {21st {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2015, Burlingame, CA, USA, February 7-11, 2015},
  pages        = {39--50},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/HPCA.2015.7056020},
  doi          = {10.1109/HPCA.2015.7056020},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/JimenezBBOCV15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ViguierLSVBPBAB15,
  author       = {Raphael Viguier and
                  Chung{-}Ching Lin and
                  Karthik Swaminathan and
                  Augusto Vega and
                  Alper Buyuktosunoglu and
                  Sharathchandra Pankanti and
                  Pradip Bose and
                  H. Akbarpour and
                  Filiz Bunyak and
                  Kannappan Palaniappan and
                  Guna Seetharaman},
  title        = {Resilient mobile cognition: Algorithms, innovations, and architectures},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {728--731},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357187},
  doi          = {10.1109/ICCD.2015.7357187},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ViguierLSVBPBAB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/VegaLSBPB15,
  author       = {Augusto Vega and
                  Chung{-}Ching Lin and
                  Karthik Swaminathan and
                  Alper Buyuktosunoglu and
                  Sharathchandra Pankanti and
                  Pradip Bose},
  title        = {Resilient, UAV-embedded real-time computing},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {736--739},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357189},
  doi          = {10.1109/ICCD.2015.7357189},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/VegaLSBPB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangVBBS15,
  author       = {Liang Wang and
                  Augusto Vega and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Kevin Skadron},
  title        = {Power-efficient embedded processing with resilience and real-time
                  constraints},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {231--236},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273519},
  doi          = {10.1109/ISLPED.2015.7273519},
  timestamp    = {Thu, 04 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangVBBS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/LengBBBR15,
  author       = {Jingwen Leng and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  Pradip Bose and
                  Vijay Janapa Reddi},
  editor       = {Milos Prvulovic},
  title        = {Safe limits on voltage reduction efficiency in GPUs: a direct measurement
                  approach},
  booktitle    = {Proceedings of the 48th International Symposium on Microarchitecture,
                  {MICRO} 2015, Waikiki, HI, USA, December 5-9, 2015},
  pages        = {294--307},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2830772.2830811},
  doi          = {10.1145/2830772.2830811},
  timestamp    = {Wed, 11 Aug 2021 11:51:26 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/LengBBBR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/PugsleyJBSBDL14,
  author       = {Seth H. Pugsley and
                  Jeffrey Jestes and
                  Rajeev Balasubramonian and
                  Vijayalakshmi Srinivasan and
                  Alper Buyuktosunoglu and
                  Al Davis and
                  Feifei Li},
  title        = {Comparing Implementations of Near-Data Computing with In-Memory MapReduce
                  Workloads},
  journal      = {{IEEE} Micro},
  volume       = {34},
  number       = {4},
  pages        = {44--52},
  year         = {2014},
  url          = {https://doi.org/10.1109/MM.2014.54},
  doi          = {10.1109/MM.2014.54},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/PugsleyJBSBDL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/VegaBB14,
  author       = {Augusto Vega and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {Special Series on Harsh Chips [Guest editors' introduction]},
  journal      = {{IEEE} Micro},
  volume       = {34},
  number       = {6},
  pages        = {6--7},
  year         = {2014},
  url          = {https://doi.org/10.1109/MM.2014.102},
  doi          = {10.1109/MM.2014.102},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/VegaBB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/topc/JimenezCGBBOM14,
  author       = {V{\'{\i}}ctor Jim{\'{e}}nez and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Francis P. O'Connell and
                  Bruce G. Mealey},
  title        = {Adaptive Prefetching on {POWER7:} Improving Performance and Power
                  Consumption},
  journal      = {{ACM} Trans. Parallel Comput.},
  volume       = {1},
  number       = {1},
  pages        = {4:1--4:25},
  year         = {2014},
  url          = {https://doi.org/10.1145/2588889},
  doi          = {10.1145/2588889},
  timestamp    = {Sat, 25 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/topc/JimenezCGBBOM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/EmmaBHKPYHBM14,
  author       = {Philip G. Emma and
                  Alper Buyuktosunoglu and
                  Michael B. Healy and
                  Krishnan Kailas and
                  Valentin Puente and
                  Roy Yu and
                  Allan Hartstein and
                  Pradip Bose and
                  Jaime H. Moreno and
                  Eren Kursun},
  title        = {3D stacking of high-performance processors},
  booktitle    = {20th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014},
  pages        = {500--511},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/HPCA.2014.6835959},
  doi          = {10.1109/HPCA.2014.6835959},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/EmmaBHKPYHBM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/WangBBBS14,
  author       = {Liang Wang and
                  Ramon Bertran and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Kevin Skadron},
  title        = {Characterization of transient error tolerance for a class of mobile
                  embedded applications},
  booktitle    = {2014 {IEEE} International Symposium on Workload Characterization,
                  {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014},
  pages        = {74--75},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/IISWC.2014.6983042},
  doi          = {10.1109/IISWC.2014.6983042},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/WangBBBS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JacobsonJPBB14,
  author       = {Hans M. Jacobson and
                  Arun Joseph and
                  Dharmesh Parikh and
                  Pradip Bose and
                  Alper Buyuktosunoglu},
  editor       = {Yuan Xie and
                  Tanay Karnik and
                  Muhammad M. Khellah and
                  Renu Mehra},
  title        = {Empirically derived abstractions in uncore power modeling for a server-class
                  processor chip},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'14,
                  La Jolla, CA, {USA} - August 11 - 13, 2014},
  pages        = {147--152},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2627369.2627619},
  doi          = {10.1145/2627369.2627619},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JacobsonJPBB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/PugsleyJZBSBDL14,
  author       = {Seth H. Pugsley and
                  Jeffrey Jestes and
                  Huihui Zhang and
                  Rajeev Balasubramonian and
                  Vijayalakshmi Srinivasan and
                  Alper Buyuktosunoglu and
                  Al Davis and
                  Feifei Li},
  title        = {{NDC:} Analyzing the impact of 3D-stacked memory+logic devices on
                  MapReduce workloads},
  booktitle    = {2014 {IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014},
  pages        = {190--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISPASS.2014.6844483},
  doi          = {10.1109/ISPASS.2014.6844483},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispass/PugsleyJZBSBDL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/BertranBBSSCRS14,
  author       = {Ramon Bertran and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Timothy J. Slegel and
                  Gerard Salem and
                  Sean M. Carey and
                  Richard F. Rizzolo and
                  Thomas Strach},
  title        = {Voltage Noise in Multi-Core Processors: Empirical Characterization
                  and Optimization Opportunities},
  booktitle    = {47th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2014, Cambridge, United Kingdom, December 13-17, 2014},
  pages        = {368--380},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MICRO.2014.12},
  doi          = {10.1109/MICRO.2014.12},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/BertranBBSSCRS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/TembeyVBSB13,
  author       = {Priyanka Tembey and
                  Augusto Vega and
                  Alper Buyuktosunoglu and
                  Dilma Da Silva and
                  Pradip Bose},
  title        = {{SMT} Switch: Software Mechanisms for Power Shifting},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {12},
  number       = {2},
  pages        = {67--70},
  year         = {2013},
  url          = {https://doi.org/10.1109/L-CA.2012.26},
  doi          = {10.1109/L-CA.2012.26},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/TembeyVBSB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ibmrd/BertranSJBB13,
  author       = {Ramon Bertran and
                  Yutaka Sugawara and
                  Hans M. Jacobson and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {Application-level power and performance characterization and optimization
                  on {IBM} Blue Gene/Q systems},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {57},
  number       = {1/2},
  pages        = {4},
  year         = {2013},
  url          = {https://doi.org/10.1147/JRD.2012.2227580},
  doi          = {10.1147/JRD.2012.2227580},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ibmrd/BertranSJBB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/SarikayaIB13,
  author       = {Ruhi Sarikaya and
                  Canturk Isci and
                  Alper Buyuktosunoglu},
  title        = {Runtime Application Behavior Prediction Using a Statistical Metric
                  Model},
  journal      = {{IEEE} Trans. Computers},
  volume       = {62},
  number       = {3},
  pages        = {575--588},
  year         = {2013},
  url          = {https://doi.org/10.1109/TC.2012.25},
  doi          = {10.1109/TC.2012.25},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/SarikayaIB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/MorariBCGCBBV13,
  author       = {Alessandro Morari and
                  Carlos Boneti and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Chen{-}Yong Cher and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Mateo Valero},
  title        = {{SMT} Malleability in {IBM} {POWER5} and {POWER6} Processors},
  journal      = {{IEEE} Trans. Computers},
  volume       = {62},
  number       = {4},
  pages        = {813--826},
  year         = {2013},
  url          = {https://doi.org/10.1109/TC.2012.34},
  doi          = {10.1109/TC.2012.34},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/MorariBCGCBBV13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/VegaBB13,
  author       = {Augusto Vega and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  editor       = {Christian Fensch and
                  Michael F. P. O'Boyle and
                  Andr{\'{e}} Seznec and
                  Fran{\c{c}}ois Bodin},
  title        = {SMT-centric power-aware thread placement in chip multiprocessors},
  booktitle    = {Proceedings of the 22nd International Conference on Parallel Architectures
                  and Compilation Techniques, Edinburgh, United Kingdom, September 7-11,
                  2013},
  pages        = {167--176},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/PACT.2013.6618814},
  doi          = {10.1109/PACT.2013.6618814},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/VegaBB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/VegaBHBR13,
  author       = {Augusto Vega and
                  Alper Buyuktosunoglu and
                  Heather Hanson and
                  Pradip Bose and
                  Srinivasan Ramani},
  editor       = {Matthew K. Farrens and
                  Christos Kozyrakis},
  title        = {Crank it up or dial it down: coordinated multiprocessor frequency
                  and folding control},
  booktitle    = {The 46th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  MICRO-46, Davis, CA, USA, December 7-11, 2013},
  pages        = {210--221},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2540708.2540727},
  doi          = {10.1145/2540708.2540727},
  timestamp    = {Wed, 11 Aug 2021 11:51:26 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/VegaBHBR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/WenischB12,
  author       = {Thomas F. Wenisch and
                  Alper Buyuktosunoglu},
  title        = {Energy-Aware Computing},
  journal      = {{IEEE} Micro},
  volume       = {32},
  number       = {5},
  pages        = {6--8},
  year         = {2012},
  url          = {https://doi.org/10.1109/MM.2012.78},
  doi          = {10.1109/MM.2012.78},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/WenischB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LuqueMCGBV12,
  author       = {Carlos Luque and
                  Miquel Moret{\'{o}} and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Alper Buyuktosunoglu and
                  Mateo Valero},
  title        = {{CPU} Accounting for Multicore Processors},
  journal      = {{IEEE} Trans. Computers},
  volume       = {61},
  number       = {2},
  pages        = {251--264},
  year         = {2012},
  url          = {https://doi.org/10.1109/TC.2011.152},
  doi          = {10.1109/TC.2011.152},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/LuqueMCGBV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/JimenezGCBBO12,
  author       = {V{\'{\i}}ctor Jim{\'{e}}nez and
                  Roberto Gioiosa and
                  Francisco J. Cazorla and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Francis P. O'Connell},
  editor       = {Pen{-}Chung Yew and
                  Sangyeun Cho and
                  Luiz DeRose and
                  David J. Lilja},
  title        = {Making data prefetch smarter: adaptive prefetching on {POWER7}},
  booktitle    = {International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} '12, Minneapolis, MN, {USA} - September 19 - 23,
                  2012},
  pages        = {137--146},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2370816.2370837},
  doi          = {10.1145/2370816.2370837},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/JimenezGCBBO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BoseBDGHJNRSVW12,
  author       = {Pradip Bose and
                  Alper Buyuktosunoglu and
                  John A. Darringer and
                  Meeta Sharma Gupta and
                  Michael B. Healy and
                  Hans M. Jacobson and
                  Indira Nair and
                  Jude A. Rivers and
                  Jeonghee Shin and
                  Augusto Vega and
                  Alan J. Weger},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Power management of multi-core chips: Challenges and pitfalls},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {977--982},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176638},
  doi          = {10.1109/DATE.2012.6176638},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BoseBDGHJNRSVW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/VegaBBDFJM12,
  author       = {Augusto Vega and
                  Pradip Bose and
                  Alper Buyuktosunoglu and
                  Jeff H. Derby and
                  Michele Franceschini and
                  Charles Johnson and
                  Robert K. Montoye},
  title        = {Architectural perspectives of future wireless base stations based
                  on the {IBM} PowerEN{\texttrademark} processor},
  booktitle    = {18th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2012, New Orleans, LA, USA, 25-29 February, 2012},
  pages        = {423--432},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/HPCA.2012.6169045},
  doi          = {10.1109/HPCA.2012.6169045},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/VegaBBDFJM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/BertranBGGB12,
  author       = {Ramon Bertran and
                  Alper Buyuktosunoglu and
                  Meeta Sharma Gupta and
                  Marc Gonz{\'{a}}lez and
                  Pradip Bose},
  title        = {Systematic Energy Characterization of {CMP/SMT} Processor Systems
                  via Automated Micro-Benchmarks},
  booktitle    = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2012, Vancouver, BC, Canada, December 1-5, 2012},
  pages        = {199--211},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MICRO.2012.27},
  doi          = {10.1109/MICRO.2012.27},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/BertranBGGB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/HuangLKBFRAB12,
  author       = {Wei Huang and
                  Charles Lefurgy and
                  William Kuk and
                  Alper Buyuktosunoglu and
                  Michael S. Floyd and
                  Karthick Rajamani and
                  Malcolm Allen{-}Ware and
                  Bishop Brock},
  title        = {Accurate Fine-Grained Processor Power Proxies},
  booktitle    = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2012, Vancouver, BC, Canada, December 1-5, 2012},
  pages        = {224--234},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MICRO.2012.29},
  doi          = {10.1109/MICRO.2012.29},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/HuangLKBFRAB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/JimenezCGVBKCIBB11,
  author       = {V{\'{\i}}ctor Jim{\'{e}}nez and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Mateo Valero and
                  Carlos Boneti and
                  Eren Kursun and
                  Chen{-}Yong Cher and
                  Canturk Isci and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {Characterizing Power and Temperature Behavior of POWER6-Based System},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {1},
  number       = {3},
  pages        = {228--241},
  year         = {2011},
  url          = {https://doi.org/10.1109/JETCAS.2011.2169630},
  doi          = {10.1109/JETCAS.2011.2169630},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/JimenezCGVBKCIBB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ibmrd/FloydARGBBBRSSTP11,
  author       = {Michael S. Floyd and
                  Malcolm Allen{-}Ware and
                  Karthick Rajamani and
                  Tilman Gloekler and
                  Bishop Brock and
                  Pradip Bose and
                  Alper Buyuktosunoglu and
                  Juan C. Rubio and
                  Birgit Schubert and
                  Bruno Spruth and
                  Jos{\'{e}} A. Tierno and
                  Lorena Pesantez},
  title        = {Adaptive energy-management features of the {IBM} {POWER7} chip},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {55},
  number       = {3},
  pages        = {8},
  year         = {2011},
  url          = {https://doi.org/10.1147/JRD.2011.2114250},
  doi          = {10.1147/JRD.2011.2114250},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ibmrd/FloydARGBBBRSSTP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/FloydARBLDPGTBB11,
  author       = {Michael S. Floyd and
                  Malcolm Allen{-}Ware and
                  Karthick Rajamani and
                  Bishop Brock and
                  Charles Lefurgy and
                  Alan J. Drake and
                  Lorena Pesantez and
                  Tilman Gloekler and
                  Jos{\'{e}} A. Tierno and
                  Pradip Bose and
                  Alper Buyuktosunoglu},
  title        = {Introducing the Adaptive Energy Management Features of the Power7
                  Chip},
  journal      = {{IEEE} Micro},
  volume       = {31},
  number       = {2},
  pages        = {60--75},
  year         = {2011},
  url          = {https://doi.org/10.1109/MM.2011.29},
  doi          = {10.1109/MM.2011.29},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/FloydARBLDPGTBB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/JimenezCGKIBBV11,
  author       = {V{\'{\i}}ctor Jim{\'{e}}nez and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Eren Kursun and
                  Canturk Isci and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Mateo Valero},
  title        = {Energy-Aware Accounting and Billing in Large-Scale Computing Facilities},
  journal      = {{IEEE} Micro},
  volume       = {31},
  number       = {3},
  pages        = {60--71},
  year         = {2011},
  url          = {https://doi.org/10.1109/MM.2011.35},
  doi          = {10.1109/MM.2011.35},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/JimenezCGKIBBV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/MadanBBA11,
  author       = {Niti Madan and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Murali Annavaram},
  title        = {A case for guarded power gating for multi-core processors},
  booktitle    = {17th International Conference on High-Performance Computer Architecture
                  {(HPCA-17} 2011), February 12-16 2011, San Antonio, Texas, {USA}},
  pages        = {291--300},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/HPCA.2011.5749737},
  doi          = {10.1109/HPCA.2011.5749737},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/MadanBBA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/JacobsonBBAE11,
  author       = {Hans M. Jacobson and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Emrah Acar and
                  Richard J. Eickemeyer},
  title        = {Abstraction and microarchitecture scaling in early-stage power modeling},
  booktitle    = {17th International Conference on High-Performance Computer Architecture
                  {(HPCA-17} 2011), February 12-16 2011, San Antonio, Texas, {USA}},
  pages        = {394--405},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/HPCA.2011.5749746},
  doi          = {10.1109/HPCA.2011.5749746},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/JacobsonBBAE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/SarikayaB10,
  author       = {Ruhi Sarikaya and
                  Alper Buyuktosunoglu},
  title        = {A Unified Prediction Method for Predicting Program Behavior},
  journal      = {{IEEE} Trans. Computers},
  volume       = {59},
  number       = {2},
  pages        = {272--282},
  year         = {2010},
  url          = {https://doi.org/10.1109/TC.2009.122},
  doi          = {10.1109/TC.2009.122},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/SarikayaB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/JimenezCGVBKCIBB10,
  author       = {V{\'{\i}}ctor Jim{\'{e}}nez and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Mateo Valero and
                  Carlos Boneti and
                  Eren Kursun and
                  Chen{-}Yong Cher and
                  Canturk Isci and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  editor       = {Valentina Salapura and
                  Michael Gschwind and
                  Jens Knoop},
  title        = {Power and thermal characterization of {POWER6} system},
  booktitle    = {19th International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010},
  pages        = {7--18},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854273.1854281},
  doi          = {10.1145/1854273.1854281},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/JimenezCGVBKCIBB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/BoseBCDGHJKKMNRSWZ10,
  author       = {Pradip Bose and
                  Alper Buyuktosunoglu and
                  Chen{-}Yong Cher and
                  John A. Darringer and
                  Meeta Sharma Gupta and
                  Hendrik F. Hamann and
                  Hans M. Jacobson and
                  Prabhakar Kudva and
                  Eren Kursun and
                  Niti Madan and
                  Indira Nair and
                  Jude A. Rivers and
                  Jeonghee Shin and
                  Alan J. Weger and
                  Victor V. Zyuban},
  editor       = {R. Iris Bahar and
                  Fabrizio Lombardi and
                  David Atienza and
                  Erik Brunvand},
  title        = {Power-efficient, reliable microprocessor architectures: modeling and
                  design methods},
  booktitle    = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Providence, Rhode Island, USA, May 16-18 2010},
  pages        = {299--304},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1785481.1785551},
  doi          = {10.1145/1785481.1785551},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/BoseBCDGHJKKMNRSWZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifmt/KedzierskiCGBV10,
  author       = {Kamil Kedzierski and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Alper Buyuktosunoglu and
                  Mateo Valero},
  editor       = {Hisham El{-}Shishiny and
                  Erven Rohou},
  title        = {Power and performance aware reconfigurable cache for CMPs},
  booktitle    = {Proceedings of the Second International Forum on Next-Generation Multicore/Manycore
                  Technologies, {IFMT} '10, Saint-Malo, France, June 19, 2010},
  pages        = {1:1--1:12},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1882453.1882455},
  doi          = {10.1145/1882453.1882455},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ifmt/KedzierskiCGBV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/SarikayaIB10,
  author       = {Ruhi Sarikaya and
                  Canturk Isci and
                  Alper Buyuktosunoglu},
  title        = {Runtime workload behavior prediction using statistical metric modeling
                  with application to dynamic power management},
  booktitle    = {Proceedings of the 2010 {IEEE} International Symposium on Workload
                  Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/IISWC.2010.5650339},
  doi          = {10.1109/IISWC.2010.5650339},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/SarikayaIB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/MadanBBA10,
  author       = {Niti Madan and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Murali Annavaram},
  editor       = {Ana Lucia Varbanescu and
                  Anca Mariana Molnos and
                  Rob van Nieuwpoort},
  title        = {Guarded Power Gating in a Multi-core Setting},
  booktitle    = {Computer Architecture - {ISCA} 2010 International Workshops A4MMC,
                  AMAS-BT, EAMA, WEED, WIOSCA, Saint-Malo, France, June 19-23, 2010,
                  Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {6161},
  pages        = {198--210},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-24322-6\_17},
  doi          = {10.1007/978-3-642-24322-6\_17},
  timestamp    = {Mon, 26 Jun 2023 20:44:16 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/MadanBBA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sigmetrics/SarikayaIB10,
  author       = {Ruhi Sarikaya and
                  Canturk Isci and
                  Alper Buyuktosunoglu},
  editor       = {Vishal Misra and
                  Paul Barford and
                  Mark S. Squillante},
  title        = {Program behavior prediction using a statistical metric model},
  booktitle    = {{SIGMETRICS} 2010, Proceedings of the 2010 {ACM} {SIGMETRICS} International
                  Conference on Measurement and Modeling of Computer Systems, New York,
                  New York, USA, 14-18 June 2010},
  pages        = {371--372},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1811039.1811092},
  doi          = {10.1145/1811039.1811092},
  timestamp    = {Fri, 30 Jul 2021 16:13:32 +0200},
  biburl       = {https://dblp.org/rec/conf/sigmetrics/SarikayaIB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/JimenezGKCCBBV10,
  author       = {V{\'{\i}}ctor Jim{\'{e}}nez and
                  Roberto Gioiosa and
                  Eren Kursun and
                  Francisco J. Cazorla and
                  Chen{-}Yong Cher and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Mateo Valero},
  title        = {Trends and techniques for energy efficient architectures},
  booktitle    = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International
                  Conference on Very Large Scale Integration of System-on-Chip, Madrid,
                  Spain, 27-29 September 2010},
  pages        = {276--279},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSISOC.2010.5642673},
  doi          = {10.1109/VLSISOC.2010.5642673},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/JimenezGKCCBBV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/LuqueMCGBV09,
  author       = {Carlos Luque and
                  Miquel Moret{\'{o}} and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Alper Buyuktosunoglu and
                  Mateo Valero},
  title        = {{CPU} Accounting in {CMP} Processors},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {8},
  number       = {1},
  pages        = {17--20},
  year         = {2009},
  url          = {https://doi.org/10.1109/L-CA.2009.3},
  doi          = {10.1109/L-CA.2009.3},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/LuqueMCGBV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/LuqueMCGBV09,
  author       = {Carlos Luque and
                  Miquel Moret{\'{o}} and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Alper Buyuktosunoglu and
                  Mateo Valero},
  title        = {{ITCA:} Inter-task Conflict-Aware {CPU} Accounting for CMPs},
  booktitle    = {{PACT} 2009, Proceedings of the 18th International Conference on Parallel
                  Architectures and Compilation Techniques, 12-16 September 2009, Raleigh,
                  North Carolina, {USA}},
  pages        = {203--213},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/PACT.2009.33},
  doi          = {10.1109/PACT.2009.33},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/LuqueMCGBV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LunguBBS09,
  author       = {Anita Lungu and
                  Pradip Bose and
                  Alper Buyuktosunoglu and
                  Daniel J. Sorin},
  editor       = {J{\"{o}}rg Henkel and
                  Ali Keshavarzi and
                  Naehyuck Chang and
                  Tahir Ghani},
  title        = {Dynamic power gating with quality guarantees},
  booktitle    = {Proceedings of the 2009 International Symposium on Low Power Electronics
                  and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009},
  pages        = {377--382},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1594233.1594331},
  doi          = {10.1145/1594233.1594331},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LunguBBS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BergamaschiHBPNDJDHBD08,
  author       = {Reinaldo A. Bergamaschi and
                  Guoling Han and
                  Alper Buyuktosunoglu and
                  Hiren D. Patel and
                  Indira Nair and
                  Gero Dittmann and
                  Geert Janssen and
                  Nagu R. Dhanwada and
                  Zhigang Hu and
                  Pradip Bose and
                  John A. Darringer},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {Exploring power management in multi-core systems},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {708--713},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4484043},
  doi          = {10.1109/ASPDAC.2008.4484043},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/BergamaschiHBPNDJDHBD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/BonetiCGBCV08,
  author       = {Carlos Boneti and
                  Francisco J. Cazorla and
                  Roberto Gioiosa and
                  Alper Buyuktosunoglu and
                  Chen{-}Yong Cher and
                  Mateo Valero},
  title        = {Software-Controlled Priority Characterization of {POWER5} Processor},
  booktitle    = {35th International Symposium on Computer Architecture {(ISCA} 2008),
                  June 21-25, 2008, Beijing, China},
  pages        = {415--426},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISCA.2008.8},
  doi          = {10.1109/ISCA.2008.8},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/BonetiCGBCV08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BergamaschiNDPJDBANKBDH07,
  author       = {Reinaldo A. Bergamaschi and
                  Indira Nair and
                  Gero Dittmann and
                  Hiren D. Patel and
                  Geert Janssen and
                  Nagu R. Dhanwada and
                  Alper Buyuktosunoglu and
                  Emrah Acar and
                  Gi{-}Joon Nam and
                  Dorothy Kucar and
                  Pradip Bose and
                  John A. Darringer and
                  Guoling Han},
  editor       = {Soonhoi Ha and
                  Kiyoung Choi and
                  Nikil D. Dutt and
                  J{\"{u}}rgen Teich},
  title        = {Performance modeling for early analysis of multi-core systems},
  booktitle    = {Proceedings of the 5th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria,
                  September 30 - October 3, 2007},
  pages        = {209--214},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1289816.1289868},
  doi          = {10.1145/1289816.1289868},
  timestamp    = {Sat, 05 Sep 2020 18:08:48 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/BergamaschiNDPJDBANKBDH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/SarikayaB07,
  author       = {Ruhi Sarikaya and
                  Alper Buyuktosunoglu},
  title        = {Predicting Program Behavior Based On Objective Function Minimization},
  booktitle    = {{IEEE} 10th International Symposium on Workload Characterization,
                  {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007},
  pages        = {25--34},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/IISWC.2007.4362178},
  doi          = {10.1109/IISWC.2007.4362178},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/SarikayaB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SharkeyBB07,
  author       = {Joseph J. Sharkey and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Evaluating design tradeoffs in on-chip power management for CMPs},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {44--49},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283791},
  doi          = {10.1145/1283780.1283791},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SharkeyBB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/IsciBCBM06,
  author       = {Canturk Isci and
                  Alper Buyuktosunoglu and
                  Chen{-}Yong Cher and
                  Pradip Bose and
                  Margaret Martonosi},
  title        = {An Analysis of Efficient Multi-Core Global Power Management Policies:
                  Maximizing Performance for a Given Power Budget},
  booktitle    = {39th Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-39} 2006), 9-13 December 2006, Orlando, Florida, {USA}},
  pages        = {347--358},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/MICRO.2006.8},
  doi          = {10.1109/MICRO.2006.8},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/IsciBCBM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/IsciBM05,
  author       = {Canturk Isci and
                  Alper Buyuktosunoglu and
                  Margaret Martonosi},
  title        = {Long-Term Workload Phases: Duration Predictions and Applications to
                  {DVFS}},
  journal      = {{IEEE} Micro},
  volume       = {25},
  number       = {5},
  pages        = {39--51},
  year         = {2005},
  url          = {https://doi.org/10.1109/MM.2005.93},
  doi          = {10.1109/MM.2005.93},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/IsciBM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/JacobsonBHBZEEGLST05,
  author       = {Hans M. Jacobson and
                  Pradip Bose and
                  Zhigang Hu and
                  Alper Buyuktosunoglu and
                  Victor V. Zyuban and
                  Richard J. Eickemeyer and
                  Lee Eisen and
                  John Griswell and
                  Doug Logan and
                  Balaram Sinharoy and
                  Joel M. Tendler},
  title        = {Stretching the Limits of Clock-Gating Efficiency in Server-Class Processors},
  booktitle    = {11th International Conference on High-Performance Computer Architecture
                  {(HPCA-11} 2005), 12-16 February 2005, San Francisco, CA, {USA}},
  pages        = {238--242},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/HPCA.2005.33},
  doi          = {10.1109/HPCA.2005.33},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/JacobsonBHBZEEGLST05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/ZhuAB05,
  author       = {Yongkang Zhu and
                  David H. Albonesi and
                  Alper Buyuktosunoglu},
  title        = {A High Performance, Energy Efficient {GALS} ProcessorMicroarchitecture
                  with Reduced Implementation Complexity},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA,
                  Proceedings},
  pages        = {42--53},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISPASS.2005.1430558},
  doi          = {10.1109/ISPASS.2005.1430558},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispass/ZhuAB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HuBSZJB04,
  author       = {Zhigang Hu and
                  Alper Buyuktosunoglu and
                  Viji Srinivasan and
                  Victor V. Zyuban and
                  Hans M. Jacobson and
                  Pradip Bose},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Microarchitectural techniques for power gating of execution units},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {32--37},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013249},
  doi          = {10.1145/1013235.1013249},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HuBSZJB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/AlbonesiBDDFHKMSSBBCS03,
  author       = {David H. Albonesi and
                  Rajeev Balasubramonian and
                  Steve Dropsho and
                  Sandhya Dwarkadas and
                  Eby G. Friedman and
                  Michael C. Huang and
                  Volkan Kursun and
                  Grigorios Magklis and
                  Michael L. Scott and
                  Greg Semeraro and
                  Pradip Bose and
                  Alper Buyuktosunoglu and
                  Peter W. Cook and
                  Stanley Schuster},
  title        = {Dynamically Tuning Processor Resources with Adaptive Processing},
  journal      = {Computer},
  volume       = {36},
  number       = {12},
  pages        = {49--58},
  year         = {2003},
  url          = {https://doi.org/10.1109/MC.2003.1250883},
  doi          = {10.1109/MC.2003.1250883},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/computer/AlbonesiBDDFHKMSSBBCS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/BalasubramonianABD03,
  author       = {Rajeev Balasubramonian and
                  David H. Albonesi and
                  Alper Buyuktosunoglu and
                  Sandhya Dwarkadas},
  title        = {A Dynamically Tunable Memory Hierarchy},
  journal      = {{IEEE} Trans. Computers},
  volume       = {52},
  number       = {10},
  pages        = {1243--1258},
  year         = {2003},
  url          = {https://doi.org/10.1109/TC.2003.1234523},
  doi          = {10.1109/TC.2003.1234523},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/BalasubramonianABD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/BuyuktosunogluKAB03,
  author       = {Alper Buyuktosunoglu and
                  Tejas Karkhanis and
                  David H. Albonesi and
                  Pradip Bose},
  editor       = {Allan Gottlieb and
                  Kai Li},
  title        = {Energy Efficient Co-Adaptive Instruction Fetch and Issue},
  booktitle    = {30th International Symposium on Computer Architecture {(ISCA} 2003),
                  9-11 June 2003, San Diego, California, {USA}},
  pages        = {147--156},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISCA.2003.1206996},
  doi          = {10.1109/ISCA.2003.1206996},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/BuyuktosunogluKAB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pacs/BalasubramonianSDB03,
  author       = {Rajeev Balasubramonian and
                  Viji Srinivasan and
                  Sandhya Dwarkadas and
                  Alper Buyuktosunoglu},
  editor       = {Babak Falsafi and
                  T. N. Vijaykumar},
  title        = {Hot-and-Cold: Using Criticality in the Design of Energy-Efficient
                  Caches},
  booktitle    = {Power-Aware Computer Systems, Third International Workshop, {PACS}
                  2003, SanDiego, CA, USA, December 1, 2003, Revised Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3164},
  pages        = {180--195},
  publisher    = {Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/978-3-540-28641-7\_13},
  doi          = {10.1007/978-3-540-28641-7\_13},
  timestamp    = {Tue, 14 May 2019 10:00:41 +0200},
  biburl       = {https://dblp.org/rec/conf/pacs/BalasubramonianSDB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/DropshoBBADSMS02,
  author       = {Steve Dropsho and
                  Alper Buyuktosunoglu and
                  Rajeev Balasubramonian and
                  David H. Albonesi and
                  Sandhya Dwarkadas and
                  Greg Semeraro and
                  Grigorios Magklis and
                  Michael L. Scott},
  title        = {Integrating Adaptive On-Chip Storage Structures for Reduced Dynamic
                  Power},
  booktitle    = {2002 International Conference on Parallel Architectures and Compilation
                  Techniques {(PACT} 2002), 22-25 September 2002, Charlottesville, VA,
                  {USA}},
  pages        = {141--152},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/PACT.2002.1106013},
  doi          = {10.1109/PACT.2002.1106013},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/DropshoBBADSMS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BuyuktosunogluABCS02,
  author       = {Alper Buyuktosunoglu and
                  David H. Albonesi and
                  Pradip Bose and
                  Peter W. Cook and
                  Stanley Schuster},
  editor       = {Vivek De and
                  Mary Jane Irwin and
                  Ingrid Verbauwhede and
                  Christian Piguet},
  title        = {Tradeoffs in power-efficient issue queue design},
  booktitle    = {Proceedings of the 2002 International Symposium on Low Power Electronics
                  and Design, 2002, Monterey, California, USA, August 12-14, 2002},
  pages        = {184--189},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/566408.566454},
  doi          = {10.1145/566408.566454},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BuyuktosunogluABCS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pacs/BoseBBCDEGJKKSSSZAD02,
  author       = {Pradip Bose and
                  David M. Brooks and
                  Alper Buyuktosunoglu and
                  Peter W. Cook and
                  K. Das and
                  Philip G. Emma and
                  Michael Gschwind and
                  Hans M. Jacobson and
                  Tejas Karkhanis and
                  Prabhakar Kudva and
                  Stanley Schuster and
                  James E. Smith and
                  Viji Srinivasan and
                  Victor V. Zyuban and
                  David H. Albonesi and
                  Sandhya Dwarkadas},
  editor       = {Babak Falsafi and
                  T. N. Vijaykumar},
  title        = {Early-Stage Definition of {LPX:} {A} Low Power Issue-Execute Processor},
  booktitle    = {Power-Aware Computer Systems, Second International Workshop, {PACS}
                  2002 Cambridge, MA, USA, February 2, 2002, Revised Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {2325},
  pages        = {1--17},
  publisher    = {Springer},
  year         = {2002},
  url          = {https://doi.org/10.1007/3-540-36612-1\_1},
  doi          = {10.1007/3-540-36612-1\_1},
  timestamp    = {Tue, 14 May 2019 10:00:41 +0200},
  biburl       = {https://dblp.org/rec/conf/pacs/BoseBBCDEGJKKSSSZAD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/BuyuktosunogluASBBC01,
  author       = {Alper Buyuktosunoglu and
                  David H. Albonesi and
                  Stanley Schuster and
                  David M. Brooks and
                  Pradip Bose and
                  Peter W. Cook},
  editor       = {Kaushik Roy and
                  Sung{-}Mo Kang and
                  Cheng{-}Kok Koh},
  title        = {A circuit level implementation of an adaptive issue queue for power-aware
                  microprocessors},
  booktitle    = {Proceedings of the 11th {ACM} Great Lakes Symposium on {VLSI} 2001,
                  West Lafayette, Indiana, USA, 2001},
  pages        = {73--78},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/368122.368807},
  doi          = {10.1145/368122.368807},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/BuyuktosunogluASBBC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip10-5/CurranGMBMA01,
  author       = {Brian W. Curran and
                  Mary Gifaldi and
                  Jason Martin and
                  Alper Buyuktosunoglu and
                  Martin Margala and
                  David H. Albonesi},
  editor       = {Michel Robert and
                  Bruno Rouzeyre and
                  Christian Piguet and
                  Marie{-}Lise Flottes},
  title        = {Low-Voltage 0, 25 {\(\mathrm{\mu}\)}m {CMOS} Improved Power Adaptive
                  Issue Queue for Embedded Microprocessors},
  booktitle    = {{SOC} Design Methodologies, {IFIP} {TC10/WG10.5} Eleventh International
                  Conference on Very Large Scale Integration of Systems-on/Chip (VLSI-SOC'01),
                  December 3-5, 2001, Montpellier, France},
  series       = {{IFIP} Conference Proceedings},
  volume       = {218},
  pages        = {289--300},
  publisher    = {Kluwer},
  year         = {2001},
  timestamp    = {Tue, 13 Aug 2002 16:01:37 +0200},
  biburl       = {https://dblp.org/rec/conf/ifip10-5/CurranGMBMA01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/BrooksBSJKBWZGC00,
  author       = {David M. Brooks and
                  Pradip Bose and
                  Stanley Schuster and
                  Hans M. Jacobson and
                  Prabhakar Kudva and
                  Alper Buyuktosunoglu and
                  John{-}David Wellman and
                  Victor V. Zyuban and
                  Manish Gupta and
                  Peter W. Cook},
  title        = {Power-Aware Microarchitecture: Design and Modeling Challenges for
                  Next-Generation Microprocessors},
  journal      = {{IEEE} Micro},
  volume       = {20},
  number       = {6},
  pages        = {26--44},
  year         = {2000},
  url          = {https://doi.org/10.1109/40.888701},
  doi          = {10.1109/40.888701},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/BrooksBSJKBWZGC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/BalasubramonianABD00,
  author       = {Rajeev Balasubramonian and
                  David H. Albonesi and
                  Alper Buyuktosunoglu and
                  Sandhya Dwarkadas},
  editor       = {Andrew Wolfe and
                  Michael S. Schlansker},
  title        = {Memory hierarchy reconfiguration for energy and performance in general-purpose
                  processor architectures},
  booktitle    = {Proceedings of the 33rd Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 33, Monterey, California, USA, December
                  10-13, 2000},
  pages        = {245--257},
  publisher    = {{ACM/IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/MICRO.2000.898075},
  doi          = {10.1109/MICRO.2000.898075},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/BalasubramonianABD00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pacs/BuyuktosunogluSBBCA00,
  author       = {Alper Buyuktosunoglu and
                  Stanley Schuster and
                  David M. Brooks and
                  Pradip Bose and
                  Peter W. Cook and
                  David H. Albonesi},
  editor       = {Babak Falsafi and
                  T. N. Vijaykumar},
  title        = {An Adaptive Issue Queue for Reduced Power at High Performance},
  booktitle    = {Power-Aware Computer Systems, First International Workshop, {PACS}
                  2000, Cambridge, MA, USA, November 12, 2000, Revised Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {2008},
  pages        = {25--39},
  publisher    = {Springer},
  year         = {2000},
  url          = {https://doi.org/10.1007/3-540-44572-2\_3},
  doi          = {10.1007/3-540-44572-2\_3},
  timestamp    = {Tue, 14 May 2019 10:00:41 +0200},
  biburl       = {https://dblp.org/rec/conf/pacs/BuyuktosunogluSBBCA00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics