BibTeX records: Azadeh Davoodi

download as .bib file

@article{DBLP:journals/integration/ZengDT23,
  author       = {Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu},
  title        = {ObfusX: Routing obfuscation with explanatory analysis of a machine
                  learning attack},
  journal      = {Integr.},
  volume       = {89},
  pages        = {47--55},
  year         = {2023},
  url          = {https://doi.org/10.1016/j.vlsi.2022.10.013},
  doi          = {10.1016/J.VLSI.2022.10.013},
  timestamp    = {Sun, 19 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/ZengDT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/SinnenLD23,
  author       = {Oliver Sinnen and
                  Qiang Liu and
                  Azadeh Davoodi},
  title        = {Introduction to Special Section on FPT'20},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {16},
  number       = {1},
  pages        = {1:1--1:2},
  year         = {2023},
  url          = {https://doi.org/10.1145/3579850},
  doi          = {10.1145/3579850},
  timestamp    = {Thu, 16 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/trets/SinnenLD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ViramontesD23,
  author       = {Robert Viramontes and
                  Azadeh Davoodi},
  title        = {Neural Network Partitioning for Fast Distributed Inference},
  booktitle    = {24th International Symposium on Quality Electronic Design, {ISQED}
                  2023, San Francisco, CA, USA, April 5-7, 2023},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISQED57927.2023.10129343},
  doi          = {10.1109/ISQED57927.2023.10129343},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ViramontesD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2312-16904,
  author       = {Cheng{-}En Wu and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  title        = {Block Pruning for Enhanced Efficiency in Convolutional Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2312.16904},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2312.16904},
  doi          = {10.48550/ARXIV.2312.16904},
  eprinttype    = {arXiv},
  eprint       = {2312.16904},
  timestamp    = {Fri, 19 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2312-16904.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/HemmatMD22,
  author       = {Maedeh Hemmat and
                  Joshua San Miguel and
                  Azadeh Davoodi},
  title        = {CAP'NN: {A} Class-aware Framework for Personalized Neural Network
                  Inference},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {21},
  number       = {5},
  pages        = {59:1--59:24},
  year         = {2022},
  url          = {https://doi.org/10.1145/3520126},
  doi          = {10.1145/3520126},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/HemmatMD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HemmatDH22,
  author       = {Maedeh Hemmat and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  title        = {{\textdollar}{\textbackslash}text\{Edge\}{\^{}}\{n\}{\textdollar}
                  {AI:} Distributed Inference with Local Edge Devices and Minimal Latency},
  booktitle    = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2022, Taipei, Taiwan, January 17-20, 2022},
  pages        = {544--549},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ASP-DAC52403.2022.9712496},
  doi          = {10.1109/ASP-DAC52403.2022.9712496},
  timestamp    = {Fri, 04 Mar 2022 13:11:07 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HemmatDH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ZhangDH21,
  author       = {Boyu Zhang and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  title        = {A Mixture of Experts Approach for Low-Cost {DNN} Customization},
  journal      = {{IEEE} Des. Test},
  volume       = {38},
  number       = {4},
  pages        = {52--59},
  year         = {2021},
  url          = {https://doi.org/10.1109/MDAT.2020.2977065},
  doi          = {10.1109/MDAT.2020.2977065},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/ZhangDH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/HemmatMD21,
  author       = {Maedeh Hemmat and
                  Joshua San Miguel and
                  Azadeh Davoodi},
  title        = {AirNN: {A} Featherweight Framework for Dynamic Input-Dependent Approximation
                  of CNNs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {10},
  pages        = {2090--2103},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2020.3033750},
  doi          = {10.1109/TCAD.2020.3033750},
  timestamp    = {Tue, 05 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/HemmatMD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0015DT21,
  author       = {Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu},
  title        = {ObfusX: Routing Obfuscation with Explanatory Analysis of a Machine
                  Learning Attack},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {548--554},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431600},
  doi          = {10.1145/3394885.3431600},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/0015DT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RaiNMZYYFMPRABC21,
  author       = {Shubham Rai and
                  Walter Lau Neto and
                  Yukio Miyasaka and
                  Xinpei Zhang and
                  Mingfei Yu and
                  Qingyang Yi and
                  Masahiro Fujita and
                  Guilherme B. Manske and
                  Matheus F. Pontes and
                  Leomar S. da Rosa and
                  Marilton S. de Aguiar and
                  Paulo F. Butzen and
                  Po{-}Chun Chien and
                  Yu{-}Shan Huang and
                  Hoa{-}Ren Wang and
                  Jie{-}Hong R. Jiang and
                  Jiaqi Gu and
                  Zheng Zhao and
                  Zixuan Jiang and
                  David Z. Pan and
                  Brunno A. Abreu and
                  Isac de Souza Campos and
                  Augusto Andre Souza Berndt and
                  Cristina Meinhardt and
                  J{\^{o}}nata Tyska Carvalho and
                  Mateus Grellert and
                  Sergio Bampi and
                  Aditya Lohana and
                  Akash Kumar and
                  Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu and
                  Yuan Zhou and
                  Jordan Dotzel and
                  Yichi Zhang and
                  Hanyu Wang and
                  Zhiru Zhang and
                  Valerio Tenace and
                  Pierre{-}Emmanuel Gaillardon and
                  Alan Mishchenko and
                  Satrajit Chatterjee},
  title        = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1026--1031},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473972},
  doi          = {10.23919/DATE51398.2021.9473972},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RaiNMZYYFMPRABC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/0015DT21,
  author       = {Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu},
  title        = {Sampling-Based Approximate Logic Synthesis: An Explainable Machine
                  Learning Approach},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643484},
  doi          = {10.1109/ICCAD51958.2021.9643484},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/0015DT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/0015DT21,
  author       = {Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu},
  title        = {Lorax: Machine Learning-Based Oracle Reconstruction With Minimal {I/O}
                  Patterns},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2021, Tampa,
                  FL, USA, July 7-9, 2021},
  pages        = {126--131},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISVLSI51109.2021.00033},
  doi          = {10.1109/ISVLSI51109.2021.00033},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/0015DT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/coins/0001DH20,
  author       = {Boyu Zhang and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  title        = {CHaPR: Efficient Inference of CNNs via Channel Pruning},
  booktitle    = {2020 International Conference on Omni-layer Intelligent Systems, {COINS}
                  2020, Barcelona, Spain, August 31 - September 2, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/COINS49042.2020.9191636},
  doi          = {10.1109/COINS49042.2020.9191636},
  timestamp    = {Tue, 22 Sep 2020 09:56:44 +0200},
  biburl       = {https://dblp.org/rec/conf/coins/0001DH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HemmatMD20,
  author       = {Maedeh Hemmat and
                  Joshua San Miguel and
                  Azadeh Davoodi},
  title        = {CAP'NN: Class-Aware Personalized Neural Network Inference},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218741},
  doi          = {10.1109/DAC18072.2020.9218741},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HemmatMD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZengDT20,
  author       = {Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu},
  title        = {Explainable {DRC} Hotspot Prediction with Random Forest and {SHAP}
                  Tree Explainer},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1151--1156},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116488},
  doi          = {10.23919/DATE48585.2020.9116488},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ZengDT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2012-02530,
  author       = {Shubham Rai and
                  Walter Lau Neto and
                  Yukio Miyasaka and
                  Xinpei Zhang and
                  Mingfei Yu and
                  Qingyang Yi and
                  Masahiro Fujita and
                  Guilherme B. Manske and
                  Matheus F. Pontes and
                  Leomar S. da Rosa Jr. and
                  Marilton S. de Aguiar and
                  Paulo F. Butzen and
                  Po{-}Chun Chien and
                  Yu{-}Shan Huang and
                  Hoa{-}Ren Wang and
                  Jie{-}Hong R. Jiang and
                  Jiaqi Gu and
                  Zheng Zhao and
                  Zixuan Jiang and
                  David Z. Pan and
                  Brunno A. Abreu and
                  Isac de Souza Campos and
                  Augusto Andre Souza Berndt and
                  Cristina Meinhardt and
                  J{\^{o}}nata Tyska Carvalho and
                  Mateus Grellert and
                  Sergio Bampi and
                  Aditya Lohana and
                  Akash Kumar and
                  Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu and
                  Yuan Zhou and
                  Jordan Dotzel and
                  Yichi Zhang and
                  Hanyu Wang and
                  Zhiru Zhang and
                  Valerio Tenace and
                  Pierre{-}Emmanuel Gaillardon and
                  Alan Mishchenko and
                  Satrajit Chatterjee},
  title        = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization},
  journal      = {CoRR},
  volume       = {abs/2012.02530},
  year         = {2020},
  url          = {https://arxiv.org/abs/2012.02530},
  eprinttype    = {arXiv},
  eprint       = {2012.02530},
  timestamp    = {Thu, 24 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2012-02530.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/HemmatD19,
  author       = {Maedeh Hemmat and
                  Azadeh Davoodi},
  title        = {Power-efficient ReRAM-aware {CNN} model generation},
  journal      = {Integr.},
  volume       = {69},
  pages        = {369--380},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.08.003},
  doi          = {10.1016/J.VLSI.2019.08.003},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/HemmatD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZengZD19,
  author       = {Wei Zeng and
                  Boyu Zhang and
                  Azadeh Davoodi},
  title        = {Analysis of Security of Split Manufacturing Using Machine Learning},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2767--2780},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2929710},
  doi          = {10.1109/TVLSI.2019.2929710},
  timestamp    = {Thu, 02 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZengZD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HemmatD19,
  author       = {Maedeh Hemmat and
                  Azadeh Davoodi},
  title        = {Dynamic Reconfiguration of CNNs for Input-Dependent Approximation},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {176--182},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697843},
  doi          = {10.1109/ISQED.2019.8697843},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HemmatD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1908-03266,
  author       = {Boyu Zhang and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  title        = {Efficient Inference of CNNs via Channel Pruning},
  journal      = {CoRR},
  volume       = {abs/1908.03266},
  year         = {2019},
  url          = {http://arxiv.org/abs/1908.03266},
  eprinttype    = {arXiv},
  eprint       = {1908.03266},
  timestamp    = {Sat, 30 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1908-03266.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/ZhangDH18,
  author       = {Boyu Zhang and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  title        = {Exploring Energy and Accuracy Tradeoff in Structure Simplification
                  of Trained Deep Neural Networks},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {8},
  number       = {4},
  pages        = {836--848},
  year         = {2018},
  url          = {https://doi.org/10.1109/JETCAS.2018.2833383},
  doi          = {10.1109/JETCAS.2018.2833383},
  timestamp    = {Sat, 30 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/esticas/ZhangDH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangDH18,
  author       = {Boyu Zhang and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  editor       = {Youngsoo Shin},
  title        = {Exploring energy and accuracy tradeoff in structure simplification
                  of trained deep neural networks},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {331--336},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297345},
  doi          = {10.1109/ASPDAC.2018.8297345},
  timestamp    = {Sat, 30 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangDH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhangMD18,
  author       = {Boyu Zhang and
                  Jonathon Crandall Maga{\~{n}}a and
                  Azadeh Davoodi},
  title        = {Analysis of security of split manufacturing using machine learning},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {141:1--141:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3195991},
  doi          = {10.1145/3195970.3195991},
  timestamp    = {Sat, 30 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhangMD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MelchertZD18,
  author       = {Jackson Melchert and
                  Boyu Zhang and
                  Azadeh Davoodi},
  editor       = {Deming Chen and
                  Houman Homayoun and
                  Baris Taskin},
  title        = {A Comparative Study of Local Net Modeling Using Machine Learning},
  booktitle    = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI}
                  2018, Chicago, IL, USA, May 23-25, 2018},
  pages        = {273--278},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3194554.3194579},
  doi          = {10.1145/3194554.3194579},
  timestamp    = {Wed, 10 Mar 2021 14:55:38 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MelchertZD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HemmatD18,
  author       = {Maedeh Hemmat and
                  Azadeh Davoodi},
  title        = {Power-Efficient ReRAM-Aware {CNN} Model Generation},
  booktitle    = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018,
                  Orlando, FL, USA, October 7-10, 2018},
  pages        = {156--162},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICCD.2018.00033},
  doi          = {10.1109/ICCD.2018.00033},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HemmatD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1811-00056,
  author       = {Boyu Zhang and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  title        = {A Mixture of Expert Approach for Low-Cost Customization of Deep Neural
                  Networks},
  journal      = {CoRR},
  volume       = {abs/1811.00056},
  year         = {2018},
  url          = {http://arxiv.org/abs/1811.00056},
  eprinttype    = {arXiv},
  eprint       = {1811.00056},
  timestamp    = {Sat, 30 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1811-00056.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1811-04151,
  author       = {Wei Zeng and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  title        = {Design Rule Violation Hotspot Prediction Based on Neural Network Ensembles},
  journal      = {CoRR},
  volume       = {abs/1811.04151},
  year         = {2018},
  url          = {http://arxiv.org/abs/1811.04151},
  eprinttype    = {arXiv},
  eprint       = {1811.04151},
  timestamp    = {Thu, 02 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1811-04151.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ShiTD17,
  author       = {Daohang Shi and
                  Edward Tashjian and
                  Azadeh Davoodi},
  title        = {Dynamic Planning of Local Congestion From Varying-Size Vias for Global
                  Routing Layer Assignment},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {8},
  pages        = {1301--1312},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2017.2695889},
  doi          = {10.1109/TCAD.2017.2695889},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ShiTD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MaganaSMD17,
  author       = {Jonathon Maga{\~{n}}a and
                  Daohang Shi and
                  Jackson Melchert and
                  Azadeh Davoodi},
  title        = {Are Proximity Attacks a Threat to the Security of Split Manufacturing
                  of Integrated Circuits?},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {12},
  pages        = {3406--3419},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2748018},
  doi          = {10.1109/TVLSI.2017.2748018},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MaganaSMD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SeemuthDM17,
  author       = {Daniel P. Seemuth and
                  Azadeh Davoodi and
                  Katherine Morrow},
  title        = {Flexible interconnect in 2.5D ICs to minimize the interposer's metal
                  layers},
  booktitle    = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2017, Chiba, Japan, January 16-19, 2017},
  pages        = {372--377},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ASPDAC.2017.7858351},
  doi          = {10.1109/ASPDAC.2017.7858351},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SeemuthDM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShiD17,
  author       = {Daohang Shi and
                  Azadeh Davoodi},
  title        = {TraPL: Track Planning of Local Congestion for Global Routing},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {19:1--19:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062335},
  doi          = {10.1145/3061639.3062335},
  timestamp    = {Tue, 06 Nov 2018 16:58:15 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShiD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhangD17,
  author       = {Boyu Zhang and
                  Azadeh Davoodi},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Technology mapping with all spin logic},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {930--933},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927123},
  doi          = {10.23919/DATE.2017.7927123},
  timestamp    = {Sat, 30 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ZhangD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ShiD17,
  author       = {Daohang Shi and
                  Azadeh Davoodi},
  editor       = {Mustafa Ozdal and
                  Chris Chu},
  title        = {Improving Detailed Routability and Pin Access with 3D Monolithic Standard
                  Cells},
  booktitle    = {Proceedings of the 2017 {ACM} on International Symposium on Physical
                  Design, {ISDP} 2017, Portland, OR, USA, March 19-22, 2017},
  pages        = {107--112},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3036669.3036676},
  doi          = {10.1145/3036669.3036676},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ShiD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/YoungD16,
  author       = {Evangeline F. Y. Young and
                  Azadeh Davoodi},
  title        = {Preface to Special Section on New Physical Design Techniques for the
                  Next Generation of Integration Technology},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {21},
  number       = {3},
  pages        = {36:1},
  year         = {2016},
  url          = {https://doi.org/10.1145/2902365},
  doi          = {10.1145/2902365},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/YoungD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShiTD16,
  author       = {Daohang Shi and
                  Edward Tashjian and
                  Azadeh Davoodi},
  title        = {Dynamic planning of local congestion from varying-size vias for global
                  routing layer assignment},
  booktitle    = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2016, Macao, Macao, January 25-28, 2016},
  pages        = {372--377},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASPDAC.2016.7428040},
  doi          = {10.1109/ASPDAC.2016.7428040},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShiTD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ShiDL16,
  author       = {Daohang Shi and
                  Azadeh Davoodi and
                  Jeffrey T. Linderoth},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {A procedure for improving the distribution of congestion in global
                  routing},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {249--252},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459314/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ShiDL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MaganaSD16,
  author       = {Jonathon Maga{\~{n}}a and
                  Daohang Shi and
                  Azadeh Davoodi},
  editor       = {Frank Liu},
  title        = {Are proximity attacks a threat to the security of split manufacturing
                  of integrated circuits?},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {90},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2967006},
  doi          = {10.1145/2966986.2967006},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/MaganaSD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DavoodiHOS15,
  author       = {Azadeh Davoodi and
                  Jiang Hu and
                  Muhammet Mustafa Ozdal and
                  Cliff C. N. Sze},
  title        = {Guest Editorial: Special Section on Physical Design Techniques for
                  Advanced Technology Nodes},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {4},
  pages        = {501},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2015.2410671},
  doi          = {10.1109/TCAD.2015.2410671},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/DavoodiHOS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TashjianD15,
  author       = {Edward Tashjian and
                  Azadeh Davoodi},
  title        = {On using control signals for word-level identification in a gate-level
                  netlist},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {78:1--78:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744878},
  doi          = {10.1145/2744769.2744878},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/TashjianD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/YazdanbakhshPDK15,
  author       = {Amir Yazdanbakhsh and
                  David J. Palframan and
                  Azadeh Davoodi and
                  Nam Sung Kim and
                  Mikko H. Lipasti},
  editor       = {Alex K. Jones and
                  Hai (Helen) Li and
                  Ayse K. Coskun and
                  Martin Margala},
  title        = {Online and Operand-Aware Detection of Failures Utilizing False Alarm
                  Vectors},
  booktitle    = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015},
  pages        = {149--154},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742060.2742097},
  doi          = {10.1145/2742060.2742097},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/YazdanbakhshPDK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SeemuthDM15,
  author       = {Daniel P. Seemuth and
                  Azadeh Davoodi and
                  Katherine Morrow},
  title        = {Automatic die placement and flexible {I/O} assignment in 2.5D {IC}
                  design},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {524--527},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085480},
  doi          = {10.1109/ISQED.2015.7085480},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SeemuthDM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ispd/2015,
  editor       = {Azadeh Davoodi and
                  Evangeline F. Y. Young},
  title        = {Proceedings of the 2015 Symposium on International Symposium on Physical
                  Design, {ISPD} 2015, Monterey, CA, USA, March 29 - April 1, 2015},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2717764},
  doi          = {10.1145/2717764},
  isbn         = {978-1-4503-3399-3},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/2015.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiD14,
  author       = {Min Li and
                  Azadeh Davoodi},
  title        = {A Hybrid Approach for Fast and Accurate Trace Signal Selection for
                  Post-Silicon Debug},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {33},
  number       = {7},
  pages        = {1081--1094},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCAD.2014.2307533},
  doi          = {10.1109/TCAD.2014.2307533},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiD14a,
  author       = {Min Li and
                  Azadeh Davoodi},
  title        = {Multi-mode trace signal selection for post-silicon debug},
  booktitle    = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2014, Singapore, January 20-23, 2014},
  pages        = {640--645},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASPDAC.2014.6742963},
  doi          = {10.1109/ASPDAC.2014.6742963},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiD14a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ispd/2014,
  editor       = {Cliff C. N. Sze and
                  Azadeh Davoodi},
  title        = {International Symposium on Physical Design, ISPD'14, Petaluma, CA,
                  USA, March 30 - April 02, 2014},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2560519},
  doi          = {10.1145/2560519},
  isbn         = {978-1-4503-2592-9},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/DavoodiLT13,
  author       = {Azadeh Davoodi and
                  Min Li and
                  Mohammad Tehranipoor},
  title        = {A Sensor-Assisted Self-Authentication Framework for Hardware Trojan
                  Detection},
  journal      = {{IEEE} Des. Test},
  volume       = {30},
  number       = {5},
  pages        = {74--82},
  year         = {2013},
  url          = {https://doi.org/10.1109/MDAT.2013.2255913},
  doi          = {10.1109/MDAT.2013.2255913},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/DavoodiLT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ShojaeiBGD13,
  author       = {Hamid Shojaei and
                  Twan Basten and
                  Marc Geilen and
                  Azadeh Davoodi},
  title        = {A fast and scalable multidimensional multiple-choice knapsack heuristic},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {18},
  number       = {4},
  pages        = {51:1--51:32},
  year         = {2013},
  url          = {https://doi.org/10.1145/2541012.2541014},
  doi          = {10.1145/2541012.2541014},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/ShojaeiBGD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ShojaeiDB13,
  author       = {Hamid Shojaei and
                  Azadeh Davoodi and
                  Twan Basten},
  title        = {Collaborative Multiobjective Global Routing},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {21},
  number       = {7},
  pages        = {1308--1321},
  year         = {2013},
  url          = {https://doi.org/10.1109/TVLSI.2012.2205717},
  doi          = {10.1109/TVLSI.2012.2205717},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ShojaeiDB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/WuDL13,
  author       = {Tai{-}Hsuan Wu and
                  Azadeh Davoodi and
                  Jeffrey T. Linderoth},
  title        = {Power-Driven Global Routing for Multisupply Voltage Domains},
  journal      = {{VLSI} Design},
  volume       = {2013},
  pages        = {905493:1--905493:12},
  year         = {2013},
  url          = {https://doi.org/10.1155/2013/905493},
  doi          = {10.1155/2013/905493},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/WuDL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiD13,
  author       = {Min Li and
                  Azadeh Davoodi},
  editor       = {Enrico Macii},
  title        = {A hybrid approach for fast and accurate trace signal selection for
                  post-silicon debug},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {485--490},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.111},
  doi          = {10.7873/DATE.2013.111},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ShojaeiDL13,
  author       = {Hamid Shojaei and
                  Azadeh Davoodi and
                  Jeffrey T. Linderoth},
  editor       = {Cheng{-}Kok Koh and
                  Cliff C. N. Sze},
  title        = {Planning for local net congestion in global routing},
  booktitle    = {International Symposium on Physical Design, ISPD'13, Stateline, NV,
                  USA, March 24-27, 2013},
  pages        = {85--92},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2451916.2451940},
  doi          = {10.1145/2451916.2451940},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ShojaeiDL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XieD12,
  author       = {Lin Xie and
                  Azadeh Davoodi},
  title        = {Post-Silicon Failing-Path Isolation Incorporating the Effects of Process
                  Variations},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {7},
  pages        = {1008--1018},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2012.2187206},
  doi          = {10.1109/TCAD.2012.2187206},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/XieD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShojaeiDR12,
  author       = {Hamid Shojaei and
                  Azadeh Davoodi and
                  Parmeswaran Ramanathan},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Confidentiality preserving integer programming for global routing},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {709--716},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228487},
  doi          = {10.1145/2228360.2228487},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShojaeiDR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiDT12,
  author       = {Min Li and
                  Azadeh Davoodi and
                  Mohammad Tehranipoor},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {A sensor-assisted self-authentication framework for hardware trojan
                  detection},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1331--1336},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176698},
  doi          = {10.1109/DATE.2012.6176698},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LiDT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiDX12,
  author       = {Min Li and
                  Azadeh Davoodi and
                  Lin Xie},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Custom on-chip sensors for post-silicon failing path isolation in
                  the presence of process variations},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1591--1596},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176726},
  doi          = {10.1109/DATE.2012.6176726},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LiDX12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XieD11,
  author       = {Lin Xie and
                  Azadeh Davoodi},
  title        = {Bound-Based Statistically-Critical Path Extraction Under Process Variations},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {1},
  pages        = {59--71},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2010.2072670},
  doi          = {10.1109/TCAD.2010.2072670},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/XieD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WuDL11,
  author       = {Tai{-}Hsuan Wu and
                  Azadeh Davoodi and
                  Jeffrey T. Linderoth},
  title        = {{GRIP:} Global Routing via Integer Programming},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {1},
  pages        = {72--84},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2010.2066030},
  doi          = {10.1109/TCAD.2010.2066030},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WuDL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WuDL11,
  author       = {Tai{-}Hsuan Wu and
                  Azadeh Davoodi and
                  Jeff T. Linderoth},
  title        = {Power-driven global routing for multi-supply voltage domains},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {443--448},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763077},
  doi          = {10.1109/DATE.2011.5763077},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/WuDL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShojaeiDL11,
  author       = {Hamid Shojaei and
                  Azadeh Davoodi and
                  Jeffrey T. Linderoth},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Congestion analysis for global routing via integer programming},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {256--262},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105337},
  doi          = {10.1109/ICCAD.2011.6105337},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ShojaeiDL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OhKCDH10,
  author       = {Dongkeun Oh and
                  Nam Sung Kim and
                  Charlie Chung{-}Ping Chen and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  title        = {Runtime temperature-based power estimation for optimizing throughput
                  of thermal-constrained multi-core processors},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {593--599},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419815},
  doi          = {10.1109/ASPDAC.2010.5419815},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/OhKCDH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WuDL10,
  author       = {Tai{-}Hsuan Wu and
                  Azadeh Davoodi and
                  Jeffrey T. Linderoth},
  editor       = {Sachin S. Sapatnekar},
  title        = {A parallel integer programming approach to global routing},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {194--199},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837323},
  doi          = {10.1145/1837274.1837323},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WuDL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XieDS10,
  author       = {Lin Xie and
                  Azadeh Davoodi and
                  Kewal K. Saluja},
  editor       = {Sachin S. Sapatnekar},
  title        = {Post-silicon diagnosis of segments of failing speedpaths due to manufacturing
                  variations},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {274--279},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837344},
  doi          = {10.1145/1837274.1837344},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/XieDS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XieD10,
  author       = {Lin Xie and
                  Azadeh Davoodi},
  editor       = {Sachin S. Sapatnekar},
  title        = {Representative path selection for post-silicon timing prediction under
                  variability},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {386--391},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837371},
  doi          = {10.1145/1837274.1837371},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/XieD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShojaeiD10,
  author       = {Hamid Shojaei and
                  Azadeh Davoodi},
  editor       = {Louis Scheffer and
                  Joel R. Phillips and
                  Alan J. Hu},
  title        = {Trace signal selection to enhance timing and logic visibility in post-silicon
                  validation},
  booktitle    = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010,
                  San Jose, CA, USA, November 7-11, 2010},
  pages        = {168--172},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCAD.2010.5654123},
  doi          = {10.1109/ICCAD.2010.5654123},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ShojaeiD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShojaeiWDB10,
  author       = {Hamid Shojaei and
                  Tai{-}Hsuan Wu and
                  Azadeh Davoodi and
                  Twan Basten},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {A pareto-algebraic framework for signal power optimization in global
                  routing},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {407--412},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840935},
  doi          = {10.1145/1840845.1840935},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ShojaeiWDB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XieDZW09,
  author       = {Lin Xie and
                  Azadeh Davoodi and
                  Jun Zhang and
                  Tai{-}Hsuan Wu},
  title        = {Adjustment-Based Modeling for Timing Analysis Under Variability},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {7},
  pages        = {1085--1095},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2018874},
  doi          = {10.1109/TCAD.2009.2018874},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/XieDZW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WuD09,
  author       = {Tai{-}Hsuan Wu and
                  Azadeh Davoodi},
  title        = {PaRS: Parallel and Near-Optimal Grid-Based Cell Sizing for Library-Based
                  Design},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {11},
  pages        = {1666--1678},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2028682},
  doi          = {10.1109/TCAD.2009.2028682},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WuD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/XieD09,
  author       = {Lin Xie and
                  Azadeh Davoodi},
  editor       = {Kazutoshi Wakabayashi},
  title        = {Bound-based identification of timing-violating paths under variability},
  booktitle    = {Proceedings of the 14th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009},
  pages        = {278--283},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ASPDAC.2009.4796493},
  doi          = {10.1109/ASPDAC.2009.4796493},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/XieD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WuDL09,
  author       = {Tai{-}Hsuan Wu and
                  Azadeh Davoodi and
                  Jeffrey T. Linderoth},
  title        = {{GRIP:} scalable 3D global routing using integer programming},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {320--325},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1629999},
  doi          = {10.1145/1629911.1629999},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WuDL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AndersonDLSK09,
  author       = {Michael J. Anderson and
                  Azadeh Davoodi and
                  Jungseob Lee and
                  Abhishek A. Sinkar and
                  Nam Sung Kim},
  editor       = {J{\"{o}}rg Henkel and
                  Ali Keshavarzi and
                  Naehyuck Chang and
                  Tahir Ghani},
  title        = {Statistical static timing analysis considering leakage variability
                  in power gated designs},
  booktitle    = {Proceedings of the 2009 International Symposium on Low Power Electronics
                  and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009},
  pages        = {57--62},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1594233.1594247},
  doi          = {10.1145/1594233.1594247},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AndersonDLSK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/XieDSS09,
  author       = {Lin Xie and
                  Azadeh Davoodi and
                  Kewal K. Saluja and
                  Abhishek A. Sinkar},
  title        = {False Path Aware Timing Yield Estimation under Variability},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {161--166},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.17},
  doi          = {10.1109/VTS.2009.17},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/XieDSS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cds/XieD08,
  author       = {Lin Xie and
                  Azadeh Davoodi},
  title        = {Fast and accurate statistical static timing analysis with skewed process
                  parameter variation},
  journal      = {{IET} Circuits Devices Syst.},
  volume       = {2},
  number       = {2},
  pages        = {187--200},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cds:20070189},
  doi          = {10.1049/IET-CDS:20070189},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cds/XieD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/WuXD08,
  author       = {Tai{-}Hsuan Wu and
                  Lin Xie and
                  Azadeh Davoodi},
  title        = {A Parallel and Randomized Algorithm for Large-Scale Discrete Dual-Vt
                  Assignment and Continuous Gate Sizing},
  journal      = {J. Low Power Electron.},
  volume       = {4},
  number       = {2},
  pages        = {191--201},
  year         = {2008},
  url          = {https://doi.org/10.1166/jolpe.2008.271},
  doi          = {10.1166/JOLPE.2008.271},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/WuXD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XieD08,
  author       = {Lin Xie and
                  Azadeh Davoodi},
  title        = {Robust Estimation of Timing Yield With Partial Statistical Information
                  on Process Variations},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2264--2276},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.2006146},
  doi          = {10.1109/TCAD.2008.2006146},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/XieD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DavoodiS08,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  title        = {Variability Driven Gate Sizing for Binning Yield Optimization},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {16},
  number       = {6},
  pages        = {683--692},
  year         = {2008},
  url          = {https://doi.org/10.1109/TVLSI.2008.2000252},
  doi          = {10.1109/TVLSI.2008.2000252},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DavoodiS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WuD08,
  author       = {Tai{-}Hsuan Wu and
                  Azadeh Davoodi},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {PaRS: fast and near-optimal grid-based cell sizing for library-based
                  design},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {107--111},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681559},
  doi          = {10.1109/ICCAD.2008.4681559},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WuD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XieDZW08,
  author       = {Lin Xie and
                  Azadeh Davoodi and
                  Jun Zhang and
                  Tai{-}Hsuan Wu},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {Adjustment-based modeling for statistical static timing analysis with
                  high dimension of variability},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {181--184},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681571},
  doi          = {10.1109/ICCAD.2008.4681571},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/XieDZW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KumarWD08,
  author       = {Anuj Kumar and
                  Tai{-}Hsuan Wu and
                  Azadeh Davoodi},
  title        = {SynECO: Incremental technology mapping with constrained placement
                  and fast detail routing for predictable timing improvement},
  booktitle    = {26th International Conference on Computer Design, {ICCD} 2008, 12-15
                  October 2008, Lake Tahoe, CA, USA, Proceedings},
  pages        = {551--556},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCD.2008.4751915},
  doi          = {10.1109/ICCD.2008.4751915},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KumarWD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeXD08,
  author       = {Jungseob Lee and
                  Lin Xie and
                  Azadeh Davoodi},
  title        = {A Dual-Vt low leakage {SRAM} array robust to process variations},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21
                  May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}},
  pages        = {580--583},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISCAS.2008.4541484},
  doi          = {10.1109/ISCAS.2008.4541484},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeXD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WuXD08,
  author       = {Tai{-}Hsuan Wu and
                  Lin Xie and
                  Azadeh Davoodi},
  editor       = {Vijaykrishnan Narayanan and
                  C. P. Ravikumar and
                  J{\"{o}}rg Henkel and
                  Ali Keshavarzi and
                  Vojin G. Oklobdzija and
                  Barry M. Pangrle},
  title        = {A parallel and randomized algorithm for large-scale discrete dual-Vt
                  assignment and continuous gate sizing},
  booktitle    = {Proceedings of the 2008 International Symposium on Low Power Electronics
                  and Design, 2008, Bangalore, India, August 11-13, 2008},
  pages        = {45--50},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1393921.1393937},
  doi          = {10.1145/1393921.1393937},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WuXD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/XieD08,
  author       = {Lin Xie and
                  Azadeh Davoodi},
  title        = {Robust Estimation of Timing Yield with Partial Statistical Information
                  on Process Variations},
  booktitle    = {9th International Symposium on Quality of Electronic Design {(ISQED}
                  2008), 17-19 March 2008, San Jose, CA, {USA}},
  pages        = {156--161},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISQED.2008.4479718},
  doi          = {10.1109/ISQED.2008.4479718},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/XieD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/XieD08a,
  author       = {Lin Xie and
                  Azadeh Davoodi},
  title        = {Fast and Accurate Statistical Static Timing Analysis with Skewed Process
                  Parameter Variation},
  booktitle    = {9th International Symposium on Quality of Electronic Design {(ISQED}
                  2008), 17-19 March 2008, San Jose, CA, {USA}},
  pages        = {712--717},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISQED.2008.4479825},
  doi          = {10.1109/ISQED.2008.4479825},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/XieD08a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WongDKSP07,
  author       = {Jennifer L. Wong and
                  Azadeh Davoodi and
                  Vishal Khandelwal and
                  Ankur Srivastava and
                  Miodrag Potkonjak},
  title        = {Statistical timing analysis using Kernel smoothing},
  booktitle    = {25th International Conference on Computer Design, {ICCD} 2007, 7-10
                  October 2007, Lake Tahoe, CA, USA, Proceedings},
  pages        = {97--102},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCD.2007.4601886},
  doi          = {10.1109/ICCD.2007.4601886},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/WongDKSP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeD07,
  author       = {Jungseob Lee and
                  Azadeh Davoodi},
  title        = {Comparison of Dual-Vt Configurations of {SRAM} Cell Considering Process-Induced
                  Vt Variations},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20
                  May 2007, New Orleans, Louisiana, {USA}},
  pages        = {3018--3021},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISCAS.2007.377982},
  doi          = {10.1109/ISCAS.2007.377982},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DobhalKDS07,
  author       = {Ashish Dobhal and
                  Vishal Khandelwal and
                  Azadeh Davoodi and
                  Ankur Srivastava},
  title        = {Variability Driven Joint Leakage-Delay Optimization Through Gate Sizing
                  with Provabale Convergence},
  booktitle    = {20th International Conference on {VLSI} Design {(VLSI} Design 2007),
                  Sixth International Conference on Embedded Systems {(ICES} 2007),
                  6-10 January 2007, Bangalore, India},
  pages        = {571--576},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/VLSID.2007.176},
  doi          = {10.1109/VLSID.2007.176},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DobhalKDS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ejes/WangFDA06,
  author       = {Li Wang and
                  Matthew French and
                  Azadeh Davoodi and
                  Deepak Agarwal},
  title        = {{FPGA} Dynamic Power Minimization through Placement and Routing Constraints},
  journal      = {{EURASIP} J. Embed. Syst.},
  volume       = {2006},
  year         = {2006},
  url          = {https://doi.org/10.1155/ES/2006/31605},
  doi          = {10.1155/ES/2006/31605},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ejes/WangFDA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WongDKSP06,
  author       = {Jennifer L. Wong and
                  Azadeh Davoodi and
                  Vishal Khandelwal and
                  Ankur Srivastava and
                  Miodrag Potkonjak},
  title        = {A statistical methodology for wire-length prediction},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {7},
  pages        = {1327--1336},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2005.855885},
  doi          = {10.1109/TCAD.2005.855885},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WongDKSP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DavoodiKS06,
  author       = {Azadeh Davoodi and
                  Vishal Khandelwal and
                  Ankur Srivastava},
  title        = {Probabilistic Evaluation of Solutions in Variability-Driven Optimization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {12},
  pages        = {3010--3016},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2006.882529},
  doi          = {10.1109/TCAD.2006.882529},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/DavoodiKS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/DavoodiS06,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  title        = {Effective techniques for the generalized low-power binding problem},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {11},
  number       = {1},
  pages        = {52--69},
  year         = {2006},
  url          = {https://doi.org/10.1145/1124713.1124718},
  doi          = {10.1145/1124713.1124718},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/DavoodiS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DavoodiS06,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Ellen Sentovich},
  title        = {Variability driven gate sizing for binning yield optimization},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {959--964},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147152},
  doi          = {10.1145/1146909.1147152},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DavoodiS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/DavoodiS06,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Louis Scheffer},
  title        = {Probabilistic evaluation of solutions in variability-driven optimization},
  booktitle    = {Proceedings of the 2006 International Symposium on Physical Design,
                  {ISPD} 2006, San Jose, California, USA, April 9-12, 2006},
  pages        = {17--24},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1123008.1123013},
  doi          = {10.1145/1123008.1123013},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/DavoodiS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/DavoodiS05,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  title        = {Voltage scheduling under unpredictabilities: a risk management paradigm},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {10},
  number       = {2},
  pages        = {354--368},
  year         = {2005},
  url          = {https://doi.org/10.1145/1059876.1059884},
  doi          = {10.1145/1059876.1059884},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/DavoodiS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KhandelwalDS05,
  author       = {Vishal Khandelwal and
                  Azadeh Davoodi and
                  Ankur Srivastava},
  title        = {Simultaneous V\({}_{\mbox{t}}\) selection and assignment for leakage
                  optimization},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {13},
  number       = {6},
  pages        = {762--765},
  year         = {2005},
  url          = {https://doi.org/10.1109/TVLSI.2005.844304},
  doi          = {10.1109/TVLSI.2005.844304},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KhandelwalDS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DavoodiS05,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  title        = {Power-driven simultaneous resource binding and floorplanning: a probabilistic
                  approach},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {13},
  number       = {8},
  pages        = {934--942},
  year         = {2005},
  url          = {https://doi.org/10.1109/TVLSI.2005.853618},
  doi          = {10.1109/TVLSI.2005.853618},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DavoodiS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DavoodiS05,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Tingao Tang},
  title        = {Simultaneous floorplanning and resource binding: a probabilistic approach},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {517--522},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120952},
  doi          = {10.1145/1120725.1120952},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DavoodiS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DavoodiS05a,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Tingao Tang},
  title        = {Wake-up protocols for controlling current surges in MTCMOS-based technology},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {868--871},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1121057},
  doi          = {10.1145/1120725.1121057},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DavoodiS05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DavoodiS05,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  title        = {Variability-Driven Buffer Insertion Considering Correlations},
  booktitle    = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5
                  October 2005, San Jose, CA, {USA}},
  pages        = {425--430},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCD.2005.114},
  doi          = {10.1109/ICCD.2005.114},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DavoodiS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DavoodiS05,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Probabilistic dual-Vth leakage optimization under variability},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {143--148},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077641},
  doi          = {10.1145/1077603.1077641},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DavoodiS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DavoodiKS04,
  author       = {Azadeh Davoodi and
                  Vishal Khandelwal and
                  Ankur Srivastava},
  title        = {Empirical models for net-length probability distribution and applications},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {12},
  number       = {10},
  pages        = {1066--1075},
  year         = {2004},
  url          = {https://doi.org/10.1109/TVLSI.2004.834235},
  doi          = {10.1109/TVLSI.2004.834235},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DavoodiKS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DavoodiKS04,
  author       = {Azadeh Davoodi and
                  Vishal Khandelwal and
                  Ankur Srivastava},
  editor       = {David Garrett and
                  John C. Lach and
                  Charles A. Zukowski},
  title        = {High level techniques for power-grid noise immunity},
  booktitle    = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004,
                  Boston, MA, USA, April 26-28, 2004},
  pages        = {13--18},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/988952.988957},
  doi          = {10.1145/988952.988957},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DavoodiKS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DavoodiKS04,
  author       = {Azadeh Davoodi and
                  Vishal Khandelwal and
                  Ankur Srivastava},
  title        = {Variability inspired implementation selection problem},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {423--427},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382612},
  doi          = {10.1109/ICCAD.2004.1382612},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/DavoodiKS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KhandelwalDS04,
  author       = {Vishal Khandelwal and
                  Azadeh Davoodi and
                  Ankur Srivastava},
  title        = {Efficient statistical timing analysis through error budgeting},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {473--477},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382623},
  doi          = {10.1109/ICCAD.2004.1382623},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KhandelwalDS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WongDKSP04,
  author       = {Jennifer L. Wong and
                  Azadeh Davoodi and
                  Vishal Khandelwal and
                  Ankur Srivastava and
                  Miodrag Potkonjak},
  title        = {Wire-length prediction using statistical techniques},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {702--705},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382666},
  doi          = {10.1109/ICCAD.2004.1382666},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WongDKSP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KhandelwalDNS03,
  author       = {Vishal Khandelwal and
                  Azadeh Davoodi and
                  Akash Nanavati and
                  Ankur Srivastava},
  title        = {A Probabilistic Approach to Buffer Insertion},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {560--567},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257866},
  doi          = {10.1109/ICCAD.2003.1257866},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KhandelwalDNS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DavoodiS03,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Effective graph theoretic techniques for the generalized low power
                  binding problem},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {152--157},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871544},
  doi          = {10.1145/871506.871544},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DavoodiS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DavoodiS03a,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Voltage scheduling under unpredictabilities: a risk management paradigm},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {302--305},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871581},
  doi          = {10.1145/871506.871581},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DavoodiS03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics