BibTeX records: Deuk Hyoun Heo

download as .bib file

@article{DBLP:journals/tcasI/KarMHH24,
  author       = {Dipan Kar and
                  Soumen Mohapatra and
                  Md. Aminul Hoque and
                  Deukhyoun Heo},
  title        = {A 14 GHz Integer-N Sub-Sampling {PLL} With RMS-Jitter of 85.4 fs Occupying
                  an Ultra Low Area of 0.0918 mm\({}^{\mbox{2}}\)},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {71},
  number       = {2},
  pages        = {595--605},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCSI.2023.3341401},
  doi          = {10.1109/TCSI.2023.3341401},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/KarMHH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MohapatraAZH24,
  author       = {Soumen Mohapatra and
                  Emad Afshar and
                  Zhiyuan Zhou and
                  Deukhyoun Heo},
  title        = {7.9 An 8b 6-12GHz 0.18mW/GHz {DC} Modulated Ramp-Based Phase Interpolator
                  in 65nm {CMOS} Process},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024,
                  San Francisco, CA, USA, February 18-22, 2024},
  pages        = {140--142},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISSCC49657.2024.10454438},
  doi          = {10.1109/ISSCC49657.2024.10454438},
  timestamp    = {Tue, 19 Mar 2024 09:04:31 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MohapatraAZH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MohapatraLGH23,
  author       = {Soumen Mohapatra and
                  Chung{-}Ching Lin and
                  Subhanshu Gupta and
                  Deukhyoun Heo},
  title        = {Low-Power Process and Temperature-Invariant Constant Slope-and-Swing
                  Ramp-Based Phase Interpolator},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {58},
  number       = {8},
  pages        = {2267--2277},
  year         = {2023},
  url          = {https://doi.org/10.1109/JSSC.2023.3242935},
  doi          = {10.1109/JSSC.2023.3242935},
  timestamp    = {Sat, 05 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MohapatraLGH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/OgboguMJDHCP23,
  author       = {Chukwufumnanya Ogbogu and
                  Soumen Mohapatra and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Deuk Heo and
                  Krishnendu Chakrabarty and
                  Partha Pratim Pande},
  title        = {Energy-Efficient ReRAM-Based {ML} Training via Mixed Pruning and Reconfigurable
                  {ADC}},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2023, Vienna, Austria, August 7-8, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISLPED58423.2023.10244258},
  doi          = {10.1109/ISLPED58423.2023.10244258},
  timestamp    = {Mon, 25 Sep 2023 14:10:09 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/OgboguMJDHCP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/ZhouTNHPKH22,
  author       = {Zhiyuan Zhou and
                  Nghia Tang and
                  Bai Nguyen and
                  Wookpyo Hong and
                  Partha Pratim Pande and
                  Ram K. Krishnamurthy and
                  Deukhyoun Heo},
  title        = {An Inductor-First Single-Inductor Multiple-Output Hybrid {DC-DC} Converter
                  With Integrated Flying Capacitor for SoC Applications},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {69},
  number       = {12},
  pages        = {4823--4836},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSI.2022.3198389},
  doi          = {10.1109/TCSI.2022.3198389},
  timestamp    = {Sun, 15 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/ZhouTNHPKH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TangHNZKH21,
  author       = {Nghia Tang and
                  Wookpyo Hong and
                  Bai Nguyen and
                  Zhiyuan Zhou and
                  Jong{-}Hoon Kim and
                  Deukhyoun Heo},
  title        = {Fully Integrated Switched-Inductor-Capacitor Voltage Regulator With
                  0.82-A/mm\({}^{\mbox{2}}\) Peak Current Density and 78{\%} Peak Power
                  Efficiency},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {56},
  number       = {6},
  pages        = {1805--1815},
  year         = {2021},
  url          = {https://doi.org/10.1109/JSSC.2020.3036394},
  doi          = {10.1109/JSSC.2020.3036394},
  timestamp    = {Tue, 15 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TangHNZKH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/AgarwalCH21,
  author       = {Pawan Agarwal and
                  Mohammad Chahardori and
                  Deukhyoun Heo},
  title        = {A New Boosted Active-Capacitor With Negative-G\({}_{\mbox{m}}\) for
                  Wide Tuning Range VCOs},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {68},
  number       = {3},
  pages        = {1080--1090},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSI.2020.3043405},
  doi          = {10.1109/TCSI.2020.3043405},
  timestamp    = {Thu, 29 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasI/AgarwalCH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/BoljanovicYLMHG21,
  author       = {Veljko Boljanovic and
                  Han Yan and
                  Chung{-}Ching Lin and
                  Soumen Mohapatra and
                  Deukhyoun Heo and
                  Subhanshu Gupta and
                  Danijela Cabric},
  title        = {Fast Beam Training With True-Time-Delay Arrays in Wideband Millimeter-Wave
                  Systems},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {68},
  number       = {4},
  pages        = {1727--1739},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSI.2021.3054428},
  doi          = {10.1109/TCSI.2021.3054428},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasI/BoljanovicYLMHG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/LinPGMHGYBC21,
  author       = {Chung{-}Ching Lin and
                  Chase Puglisi and
                  Erfan Ghaderi and
                  Soumen Mohapatra and
                  Deukhyoun Heo and
                  Subhanshu Gupta and
                  Han Yan and
                  Veljko Boljanovic and
                  Danijela Cabric},
  title        = {A 4-Element 800MHz-BW 29mW True-Time-Delay Spatial Signal Processor
                  Enabling Fast Beam-Training with Data Communications},
  booktitle    = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR}
                  2021, Grenoble, France, September 13-22, 2021},
  pages        = {287--290},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ESSCIRC53450.2021.9567822},
  doi          = {10.1109/ESSCIRC53450.2021.9567822},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/LinPGMHGYBC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2106-01255,
  author       = {Chung{-}Ching Lin and
                  Chase Puglisi and
                  Veljko Boljanovic and
                  Soumen Mohapatra and
                  Han Yan and
                  Erfan Ghaderi and
                  Deukhyoun Heo and
                  Danijela Cabric and
                  Subhanshu Gupta},
  title        = {A 4-Element 800MHz-BW 29mW True-Time-Delay Spatial Signal Processor
                  Enabling Fast Beam-Training with Data Communications},
  journal      = {CoRR},
  volume       = {abs/2106.01255},
  year         = {2021},
  url          = {https://arxiv.org/abs/2106.01255},
  eprinttype    = {arXiv},
  eprint       = {2106.01255},
  timestamp    = {Fri, 11 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2106-01255.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2111-15191,
  author       = {Chung{-}Ching Lin and
                  Veljko Boljanovic and
                  Han Yan and
                  Erfan Ghaderi and
                  Mohammad Ali Mokri and
                  Jayce Jeron Gaddis and
                  Aditya Wadaskar and
                  Chase Puglisi and
                  Soumen Mohapatra and
                  Qiuyan Xu and
                  Sreeni Poolakkal and
                  Deukhyoun Heo and
                  Subhanshu Gupta and
                  Danijela Cabric},
  title        = {Wideband Beamforming with Rainbow Beam Training using Reconfigurable
                  True-Time-Delay Arrays for Millimeter-Wave Wireless},
  journal      = {CoRR},
  volume       = {abs/2111.15191},
  year         = {2021},
  url          = {https://arxiv.org/abs/2111.15191},
  eprinttype    = {arXiv},
  eprint       = {2111.15191},
  timestamp    = {Thu, 02 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2111-15191.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ArkaGDHP20,
  author       = {Aqeeb Iqbal Arka and
                  Srinivasan Gopal and
                  Janardhan Rao Doppa and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  title        = {Making a Case for Partially Connected 3D NoC: {NFIC} versus {TSV}},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {16},
  number       = {4},
  pages        = {41:1--41:17},
  year         = {2020},
  url          = {https://doi.org/10.1145/3394919},
  doi          = {10.1145/3394919},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/ArkaGDHP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/NguyenTHZH20,
  author       = {Bai Noi Nguyen and
                  Nghia Tang and
                  Wookpyo Hong and
                  Zhiyuan Zhou and
                  Deukhyoun Heo},
  title        = {Clock-Voltage Co-Regulator With Adaptive Power Budget Tracking for
                  Robust Near-Threshold-Voltage Sequential Logic Circuits},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {67-I},
  number       = {2},
  pages        = {622--633},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSI.2019.2948944},
  doi          = {10.1109/TCSI.2019.2948944},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/NguyenTHZH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/HongZNTKPH20,
  author       = {Wookpyo Hong and
                  Zhiyuan Zhou and
                  Bai Nguyen and
                  Nghia Tang and
                  Jong{-}Hoon Kim and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {Analysis and Design Method of Multiple-Output Switched-Capacitor Voltage
                  Regulators With a Reduced Number of Power Electronic Components},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {67-I},
  number       = {9},
  pages        = {3234--3247},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSI.2020.2989152},
  doi          = {10.1109/TCSI.2020.2989152},
  timestamp    = {Thu, 01 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/HongZNTKPH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/GopalDPH20,
  author       = {Srinivasan Gopal and
                  Sourav Das and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A Hybrid 3D Interconnect With 2x Bandwidth Density Employing Orthogonal
                  Simultaneous Bidirectional Signaling for 3D NoC},
  journal      = {{IEEE} Trans. Circuits Syst.},
  volume       = {67-I},
  number       = {11},
  pages        = {3919--3932},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSI.2020.3013092},
  doi          = {10.1109/TCSI.2020.3013092},
  timestamp    = {Thu, 17 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/GopalDPH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/ZhouTNHPH20,
  author       = {Zhiyuan Zhou and
                  Nghia Tang and
                  Bai Noi Nguyen and
                  Wookpyo Hong and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A Wide Output Voltage Range Single-Input-Multi-Output Hybrid {DC-DC}
                  Converter Achieving 87.5{\%} Peak Efficiency With a Fast Response
                  Time and Low Cross Regulation for {DVFS} Applications},
  booktitle    = {2020 {IEEE} Custom Integrated Circuits Conference, {CICC} 2020, Boston,
                  MA, USA, March 22-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/CICC48029.2020.9075892},
  doi          = {10.1109/CICC48029.2020.9075892},
  timestamp    = {Mon, 04 May 2020 10:04:38 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/ZhouTNHPH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhouBDHDPH20,
  author       = {Zhiyuan Zhou and
                  Syrine Belakaria and
                  Aryan Deshwal and
                  Wookpyo Hong and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {Design of Multi-Output Switched-Capacitor Voltage Regulator via Machine
                  Learning},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {502--507},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116413},
  doi          = {10.23919/DATE48585.2020.9116413},
  timestamp    = {Thu, 25 Jun 2020 12:55:44 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ZhouBDHDPH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/spawc/BoljanovicYGHGC20,
  author       = {Veljko Boljanovic and
                  Han Yan and
                  Erfan Ghaderi and
                  Deukhyoun Heo and
                  Subhanshu Gupta and
                  Danijela Cabric},
  title        = {Design of Millimeter-Wave Single-Shot Beam Training for True-Time-Delay
                  Array},
  booktitle    = {21st {IEEE} International Workshop on Signal Processing Advances in
                  Wireless Communications, {SPAWC} 2020, Atlanta, GA, USA, May 26-29,
                  2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SPAWC48557.2020.9154233},
  doi          = {10.1109/SPAWC48557.2020.9154233},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/spawc/BoljanovicYGHGC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/AliAGMH19,
  author       = {Sheikh Nijam Ali and
                  Pawan Agarwal and
                  Srinivasan Gopal and
                  Shahriar Mirabbasi and
                  Deukhyoun Heo},
  title        = {A 25-35 GHz Neutralized Continuous Class-F {CMOS} Power Amplifier
                  for 5G Mobile Communications Achieving 26{\%} Modulation {PAE} at
                  1.5 Gb/s and 46.4{\%} Peak {PAE}},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {2},
  pages        = {834--847},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2018.2860019},
  doi          = {10.1109/TCSI.2018.2860019},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/AliAGMH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/RenaudBGHH19,
  author       = {Luke Renaud and
                  Joe Baylon and
                  Srinivasan Gopal and
                  Md. Aminul Hoque and
                  Deukhyoun Heo},
  title        = {Analysis of Systematic Losses in Hybrid Envelope Tracking Modulators},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {4},
  pages        = {1319--1330},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2018.2883531},
  doi          = {10.1109/TCSI.2018.2883531},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/RenaudBGHH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/GhaderiRRHSG19,
  author       = {Erfan Ghaderi and
                  Ajith Sivadhasan Ramani and
                  Arya A. Rahimi and
                  Deukhyoun Heo and
                  Sudip Shekhar and
                  Subhanshu Gupta},
  title        = {An Integrated Discrete-Time Delay-Compensating Technique for Large-Array
                  Beamformers},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {9},
  pages        = {3296--3306},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2019.2926309},
  doi          = {10.1109/TCSI.2019.2926309},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/GhaderiRRHSG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tie/TangTZBHZKH19,
  author       = {Nghia Tang and
                  Yangyang Tang and
                  Zhiyuan Zhou and
                  Bai Nguyen and
                  Wookpyo Hong and
                  Philipp Zhang and
                  Jong{-}Hoon Kim and
                  Deukhyoun Heo},
  title        = {Analog-Assisted Digital Capacitorless Low-Dropout Regulator Supporting
                  Wide Load Range},
  journal      = {{IEEE} Trans. Ind. Electron.},
  volume       = {66},
  number       = {3},
  pages        = {1799--1808},
  year         = {2019},
  url          = {https://doi.org/10.1109/TIE.2018.2840492},
  doi          = {10.1109/TIE.2018.2840492},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tie/TangTZBHZKH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AliotoAABBCCCCC19,
  author       = {Massimo Alioto and
                  Magdy S. Abadir and
                  Tughrul Arslan and
                  Chirn Chye Boon and
                  Andreas Burg and
                  Chip{-}Hong Chang and
                  Meng{-}Fan Chang and
                  Yao{-}Wen Chang and
                  Poki Chen and
                  Pasquale Corsonello and
                  Paolo Crovetti and
                  Shiro Dosho and
                  Rolf Drechsler and
                  Ibrahim Abe M. Elfadel and
                  Ruonan Han and
                  Masanori Hashimoto and
                  Chun{-}Huat Heng and
                  Deukhyoun Heo and
                  Tsung{-}Yi Ho and
                  Houman Homayoun and
                  Yuh{-}Shyan Hwang and
                  Ajay Joshi and
                  Rajiv V. Joshi and
                  Tanay Karnik and
                  Chulwoo Kim and
                  Tony Tae{-}Hyoung Kim and
                  Jaydeep Kulkarni and
                  Volkan Kursun and
                  Yoonmyung Lee and
                  Hai Helen Li and
                  Huawei Li and
                  Prabhat Mishra and
                  Baker Mohammad and
                  Mehran Mozaffari Kermani and
                  Makoto Nagata and
                  Koji Nii and
                  Partha Pratim Pande and
                  Bipul C. Paul and
                  Vasilis F. Pavlidis and
                  Jos{\'{e}} Pineda de Gyvez and
                  Ioannis Savidis and
                  Patrick Schaumont and
                  Fabio Sebastiano and
                  Anirban Sengupta and
                  Mingoo Seok and
                  Mircea R. Stan and
                  Mark M. Tehranipoor and
                  Aida Todri{-}Sanial and
                  Marian Verhelst and
                  Valerio Vignoli and
                  Xiaoqing Wen and
                  Jiang Xu and
                  Wei Zhang and
                  Zhengya Zhang and
                  Jun Zhou and
                  Mark Zwolinski and
                  Stacey Weber},
  title        = {Editorial {TVLSI} Positioning - Continuing and Accelerating an Upward
                  Trajectory},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {2},
  pages        = {253--280},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2018.2886389},
  doi          = {10.1109/TVLSI.2018.2886389},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AliotoAABBCCCCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/HongNZTKPH19,
  author       = {Wookpyo Hong and
                  Bai Nguyen and
                  Zhiyuan Zhou and
                  Nghia Tang and
                  Jong{-}Hoon Kim and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A Dual-Output Step-Down Switched-Capacitor Voltage Regulator With
                  a Flying Capacitor Crossing Technique for Enhanced Power Efficiency},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2861--2871},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2930892},
  doi          = {10.1109/TVLSI.2019.2930892},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/HongNZTKPH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/3dic/GopalHK19,
  author       = {Srinivasan Gopal and
                  Deukhyoun Heo and
                  Tanay Karnik},
  title        = {Hierarchical Design Methodology and Optimization for Proximity Communication
                  based Contactless 3D ThruChip Interface},
  booktitle    = {2019 International 3D Systems Integration Conference (3DIC), Sendai,
                  Japan, October 8-10, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/3DIC48104.2019.9058859},
  doi          = {10.1109/3DIC48104.2019.9058859},
  timestamp    = {Sun, 19 Apr 2020 18:46:53 +0200},
  biburl       = {https://dblp.org/rec/conf/3dic/GopalHK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/NguyenTZHHTZ19,
  author       = {Bai Nguyen and
                  Nghia Tang and
                  Zhiyuan Zhou and
                  Wookpyo Hong and
                  Deukhyoun Heo and
                  Yangyang Tang and
                  Philipp Zhang},
  title        = {A Sub-1V Analog-Assisted Inverter-Based Digital Low-Dropout Regulator
                  with a Fast Response Time at 25mA/100ps and 99.4{\%} Current Efficiency},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2019, Austin,
                  TX, USA, April 14-17, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/CICC.2019.8780173},
  doi          = {10.1109/CICC.2019.8780173},
  timestamp    = {Wed, 11 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/NguyenTZHHTZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/TangNTHZH19,
  author       = {Nghia Tang and
                  Bai Nguyen and
                  Yangyang Tang and
                  Wookpyo Hong and
                  Zhiyuan Zhou and
                  Deukhyoun Heo},
  title        = {Fully Integrated Buck Converter with 78{\%} Efficiency at 365mW Output
                  Power Enabled by Switched-Inductor Capacitor Topology and Inductor
                  Current Reduction Technique},
  booktitle    = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019,
                  San Francisco, CA, USA, February 17-21, 2019},
  pages        = {152--154},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISSCC.2019.8662530},
  doi          = {10.1109/ISSCC.2019.8662530},
  timestamp    = {Wed, 11 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/TangNTHZH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/GopalABRAPH18,
  author       = {Srinivasan Gopal and
                  Pawan Agarwal and
                  Joe Baylon and
                  Luke Renaud and
                  Sheikh Nijam Ali and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A Spatial Multi-Bit Sub-1-V Time-Domain Matrix Multiplier Interface
                  for Approximate Computing in 65-nm {CMOS}},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {8},
  number       = {3},
  pages        = {506--518},
  year         = {2018},
  url          = {https://doi.org/10.1109/JETCAS.2018.2852624},
  doi          = {10.1109/JETCAS.2018.2852624},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/GopalABRAPH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AgarwalKPH18,
  author       = {Pawan Agarwal and
                  Jong{-}Hoon Kim and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {Zero-Power Feed-Forward Spur Cancelation for Supply-Regulated {CMOS}
                  Ring PLLs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {4},
  pages        = {653--662},
  year         = {2018},
  url          = {https://doi.org/10.1109/TVLSI.2017.2788882},
  doi          = {10.1109/TVLSI.2017.2788882},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AgarwalKPH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/BaylonYGMMPH18,
  author       = {Joe Baylon and
                  Xinmin Yu and
                  Srinivasan Gopal and
                  Reza Molavi and
                  Shahriar Mirabbasi and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A 16-Gb/s Low-Power Inductorless Wideband Gain-Boosted Baseband Amplifier
                  With Skewed Differential Topology for Wireless Network-on-Chip},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {11},
  pages        = {2406--2418},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2856890},
  doi          = {10.1109/TVLSI.2018.2856890},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/BaylonYGMMPH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GopalDAAHP18,
  author       = {Srinivasan Gopal and
                  Sourav Das and
                  Pawan Agarwal and
                  Sheikh Nijam Ali and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  title        = {High-Performance and Small-Form Factor Near-Field Inductive Coupling
                  for 3-D NoC},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {12},
  pages        = {2921--2934},
  year         = {2018},
  url          = {https://doi.org/10.1109/TVLSI.2018.2865704},
  doi          = {10.1109/TVLSI.2018.2865704},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GopalDAAHP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/AliABGRH18,
  author       = {Sheikh Nijam Ali and
                  Pawan Agarwal and
                  Joe Baylon and
                  Srinivasan Gopal and
                  Luke Renaud and
                  Deukhyoun Heo},
  title        = {A 28GHz 41{\%}-PAE linear {CMOS} power amplifier using a transformer-based
                  {AM-PM} distortion-correction technique for 5G phased arrays},
  booktitle    = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2018, San Francisco, CA, USA, February 11-15, 2018},
  pages        = {406--408},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISSCC.2018.8310356},
  doi          = {10.1109/ISSCC.2018.8310356},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/AliABGRH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LiDBMWBHP17,
  author       = {Xian Li and
                  Karthi Duraisamy and
                  Joe Baylon and
                  Turbo Majumder and
                  Guopeng Wei and
                  Paul Bogdan and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  title        = {A Reconfigurable Wireless NoC for Large Scale Microbiome Community
                  Analysis},
  journal      = {{IEEE} Trans. Computers},
  volume       = {66},
  number       = {10},
  pages        = {1653--1666},
  year         = {2017},
  url          = {https://doi.org/10.1109/TC.2017.2706278},
  doi          = {10.1109/TC.2017.2706278},
  timestamp    = {Wed, 13 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/LiDBMWBHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DasGHP17,
  author       = {Sourav Das and
                  Srinivasan Gopal and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  editor       = {Sri Parameswaran},
  title        = {Energy-efficient and robust 3D NoCs with contactless vertical links
                  (Invited paper)},
  booktitle    = {2017 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCAD.2017.8501894},
  doi          = {10.1109/ICCAD.2017.8501894},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/DasGHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/GopalDHP17,
  author       = {Srinivasan Gopal and
                  Sourav Das and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  editor       = {Axel Jantsch and
                  Hiroki Matsutani and
                  Zhonghai Lu and
                  {\"{U}}mit Y. Ogras},
  title        = {Energy and Area Efficient Near Field Inductive Coupling: {A} Case
                  Study on 3D NoC},
  booktitle    = {Proceedings of the Eleventh {IEEE/ACM} International Symposium on
                  Networks-on-Chip, {NOCS} 2017, Seoul, Republic of Korea, October 19
                  - 20, 2017},
  pages        = {5:1--5:8},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3130218.3130224},
  doi          = {10.1145/3130218.3130224},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/GopalDHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/HamBKSLHLPHY16,
  author       = {Junghyun Ham and
                  Jongseok Bae and
                  Hyungchul Kim and
                  Mincheol Seo and
                  Hwiseob Lee and
                  Keum{-}Cheol Hwang and
                  Kang{-}Yoon Lee and
                  Cheon{-}Seok Park and
                  Deukhyoun Heo and
                  Youngoo Yang},
  title        = {{CMOS} Power Amplifier Integrated Circuit With Dual-Mode Supply Modulator
                  for Mobile Terminals},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {63-I},
  number       = {1},
  pages        = {157--167},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCSI.2015.2512703},
  doi          = {10.1109/TCSI.2015.2512703},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/HamBKSLHLPHY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SahAH16,
  author       = {Suman Prasad Sah and
                  Pawan Agarwal and
                  Deukhyoun Heo},
  title        = {A low power sub-harmonic injection locked 2{\texttimes}2 mm-wave beamforming
                  receiver array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1558--1561},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538860},
  doi          = {10.1109/ISCAS.2016.7538860},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SahAH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MurrayTPHS15,
  author       = {Jacob Murray and
                  Nghia Tang and
                  Partha Pratim Pande and
                  Deukhyoun Heo and
                  Behrooz A. Shirazi},
  title        = {{DVFS} Pruning for Wireless NoC Architectures},
  journal      = {{IEEE} Des. Test},
  volume       = {32},
  number       = {2},
  pages        = {29--38},
  year         = {2015},
  url          = {https://doi.org/10.1109/MDAT.2014.2357397},
  doi          = {10.1109/MDAT.2014.2357397},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MurrayTPHS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/YuRMPH15,
  author       = {Xinmin Yu and
                  Hooman Rashtian and
                  Shahriar Mirabbasi and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo},
  title        = {An 18.7-Gb/s 60-GHz {OOK} Demodulator in 65-nm {CMOS} for Wireless
                  Network-on-Chip},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {62-I},
  number       = {3},
  pages        = {799--806},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCSI.2014.2386751},
  doi          = {10.1109/TCSI.2014.2386751},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/YuRMPH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/TangHKYH15,
  author       = {Nghia Tang and
                  Wookpyo Hong and
                  Jong{-}Hoon Kim and
                  Youngoo Yang and
                  Deukhyoun Heo},
  title        = {A Sub-1-V Bulk-Driven Opamp With an Effective Transconductance-Stabilizing
                  Technique},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {62-II},
  number       = {11},
  pages        = {1018--1022},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCSII.2015.2455471},
  doi          = {10.1109/TCSII.2015.2455471},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/TangHKYH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/HeoK14,
  author       = {Deukhyoun Heo and
                  Jonghae Kim},
  title        = {Guest Editors' Introduction: Design and Testing of Millimeter-Wave/Subterahertz
                  Circuits and Systems},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {6},
  pages        = {6--7},
  year         = {2014},
  url          = {https://doi.org/10.1109/MDAT.2014.2345020},
  doi          = {10.1109/MDAT.2014.2345020},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/HeoK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/YuBWHPM14,
  author       = {Xinmin Yu and
                  Joe Baylon and
                  Paul Wettin and
                  Deuk Hyoun Heo and
                  Partha Pratim Pande and
                  Shahriar Mirabbasi},
  title        = {Architecture and Design of Multichannel Millimeter-Wave Wireless NoC},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {6},
  pages        = {19--28},
  year         = {2014},
  url          = {https://doi.org/10.1109/MDAT.2014.2322995},
  doi          = {10.1109/MDAT.2014.2322995},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/YuBWHPM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WettinKMYPGH14,
  author       = {Paul Wettin and
                  Ryan Gary Kim and
                  Jacob Murray and
                  Xinmin Yu and
                  Partha Pratim Pande and
                  Amlan Ganguly and
                  Deuk Hyoun Heo},
  title        = {Design Space Exploration for Wireless NoCs Incorporating Irregular
                  Network Routing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {33},
  number       = {11},
  pages        = {1732--1745},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCAD.2014.2351577},
  doi          = {10.1109/TCAD.2014.2351577},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WettinKMYPGH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/SahAH14,
  author       = {Suman Prasad Sah and
                  Pawan Agarwal and
                  Deuk Hyoun Heo},
  title        = {On the Effects of Mismatch on Quadrature Accuracy in Tapped-Capacitor
                  Load Independent Quadrature LC-Oscillators},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {61-I},
  number       = {5},
  pages        = {1409--1415},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCSI.2013.2285695},
  doi          = {10.1109/TCSI.2013.2285695},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/SahAH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/PengTYH14,
  author       = {Huan Peng and
                  Nghia Tang and
                  Youngoo Yang and
                  Deukhyoun Heo},
  title        = {{CMOS} Startup Charge Pump With Body Bias and Backward Control for
                  Energy Harvesting Step-Up Converters},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {61-I},
  number       = {6},
  pages        = {1618--1628},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCSI.2013.2290823},
  doi          = {10.1109/TCSI.2013.2290823},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/PengTYH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tosn/SongXDHKK14,
  author       = {Wen{-}Zhan Song and
                  Mingsen Xu and
                  Debraj De and
                  Deukhyoun Heo and
                  Jong{-}Hoon Kim and
                  Byeong{-}Sam Kim},
  title        = {{ECPC:} Toward Preserving Downtime Data Persistence in Disruptive
                  Wireless Sensor Networks},
  journal      = {{ACM} Trans. Sens. Networks},
  volume       = {11},
  number       = {2},
  pages        = {24:1--24:22},
  year         = {2014},
  url          = {https://doi.org/10.1145/2629584},
  doi          = {10.1145/2629584},
  timestamp    = {Wed, 19 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tosn/SongXDHKK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WettinMKYPH14,
  author       = {Paul Wettin and
                  Jacob Murray and
                  Ryan Gary Kim and
                  Xinmin Yu and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Performance evaluation of wireless NoCs in presence of irregular network
                  routing strategies},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.285},
  doi          = {10.7873/DATE.2014.285},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WettinMKYPH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MurrayWKYPSH14,
  author       = {Jacob Murray and
                  Paul Wettin and
                  Ryan Gary Kim and
                  Xinmin Yu and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi and
                  Deuk Hyoun Heo},
  title        = {Thermal hotspot reduction in mm-Wave wireless NoC architectures},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {645--652},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783388},
  doi          = {10.1109/ISQED.2014.6783388},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MurrayWKYPSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/MarculescuPHM14,
  author       = {Radu Marculescu and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Hiroki Matsutani},
  editor       = {Davide Bertozzi and
                  Luca Benini and
                  Sudhakar Yalamanchili and
                  J{\"{o}}rg Henkel},
  title        = {Introduction to the special session on "Interconnect enhances
                  architecture: Evolution of wireless NoC from planar to 3D"},
  booktitle    = {Eighth {IEEE/ACM} International Symposium on Networks-on-Chip, NoCS
                  2014, Ferrara, Italy, September 17-19, 2014},
  pages        = {174--175},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/NOCS.2014.7008780},
  doi          = {10.1109/NOCS.2014.7008780},
  timestamp    = {Wed, 16 Oct 2019 14:14:48 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/MarculescuPHM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/DebCYSCGPBH13,
  author       = {Sujay Deb and
                  Kevin Chang and
                  Xinmin Yu and
                  Suman Prasad Sah and
                  Miralem Cosic and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Design of an Energy-Efficient CMOS-Compatible NoC Architecture with
                  Millimeter-Wave Wireless Interconnects},
  journal      = {{IEEE} Trans. Computers},
  volume       = {62},
  number       = {12},
  pages        = {2382--2396},
  year         = {2013},
  url          = {https://doi.org/10.1109/TC.2012.224},
  doi          = {10.1109/TC.2012.224},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/DebCYSCGPBH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/WettinPHBDG13,
  author       = {Paul Wettin and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Benjamin Belzer and
                  Sujay Deb and
                  Amlan Ganguly},
  title        = {Design space exploration for reliable mm-wave wireless NoC architectures},
  booktitle    = {24th International Conference on Application-Specific Systems, Architectures
                  and Processors, {ASAP} 2013, Washington, DC, USA, June 5-7, 2013},
  pages        = {79--82},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASAP.2013.6567554},
  doi          = {10.1109/ASAP.2013.6567554},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/WettinPHBDG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SahZNYH13,
  author       = {Suman Prasad Sah and
                  Siqi Zhu and
                  Tai N. Nguyen and
                  Xinmin Yu and
                  Deuk Hyoun Heo},
  title        = {A 12-40 GHz low phase variation highly linear BiCMOS variable gain
                  amplifier},
  booktitle    = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013),
                  Beijing, China, May 19-23, 2013},
  pages        = {1119--1122},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISCAS.2013.6572047},
  doi          = {10.1109/ISCAS.2013.6572047},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SahZNYH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mass/XuSHKK13,
  author       = {Mingsen Xu and
                  Wen{-}Zhan Song and
                  Deukhyoun Heo and
                  Jong{-}Hoon Kim and
                  Byeong{-}Sam Kim},
  title        = {{ECPC:} Preserve Downtime Data Persistence in Disruptive Sensor Networks},
  booktitle    = {{IEEE} 10th International Conference on Mobile Ad-Hoc and Sensor Systems,
                  {MASS} 2013, Hangzhou, China, October 14-16, 2013},
  pages        = {281--289},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/MASS.2013.37},
  doi          = {10.1109/MASS.2013.37},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mass/XuSHKK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/DebGPBH12,
  author       = {Sujay Deb and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Wireless NoC as Interconnection Backbone for Multicore Chips: Promises
                  and Challenges},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {2},
  number       = {2},
  pages        = {228--239},
  year         = {2012},
  url          = {https://doi.org/10.1109/JETCAS.2012.2193835},
  doi          = {10.1109/JETCAS.2012.2193835},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/DebGPBH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceee/OhH12,
  author       = {Nam{-}Jin Oh and
                  Deuk Heo},
  title        = {A low-power, low phase noise {CMOS} {VCO} with suppression of 1/f
                  flicker noise up-conversion},
  journal      = {{IEICE} Electron. Express},
  volume       = {9},
  number       = {24},
  pages        = {1881--1886},
  year         = {2012},
  url          = {https://doi.org/10.1587/elex.9.1881},
  doi          = {10.1587/ELEX.9.1881},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ieiceee/OhH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ChangDGYSPBH12,
  author       = {Kevin Chang and
                  Sujay Deb and
                  Amlan Ganguly and
                  Xinmin Yu and
                  Suman Prasad Sah and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Performance evaluation and design trade-offs for wireless network-on-chip
                  architectures},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {8},
  number       = {3},
  pages        = {23:1--23:25},
  year         = {2012},
  url          = {https://doi.org/10.1145/2287696.2287706},
  doi          = {10.1145/2287696.2287706},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/ChangDGYSPBH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DebCCGPHB12,
  author       = {Sujay Deb and
                  Kevin Chang and
                  Miralem Cosic and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Benjamin Belzer},
  editor       = {Erik Brunvard and
                  Ken Stevens and
                  Joseph R. Cavallaro and
                  Tong Zhang},
  title        = {{CMOS} compatible many-core noc architectures with multi-channel millimeter-wave
                  wireless links},
  booktitle    = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City,
                  UT, USA, May 3-4, 2012},
  pages        = {165--170},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2206781.2206822},
  doi          = {10.1145/2206781.2206822},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DebCCGPHB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DebCGYTPHB12,
  author       = {Sujay Deb and
                  Kevin Chang and
                  Amlan Ganguly and
                  Xinmin Yu and
                  Christof Teuscher and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Benjamin Belzer},
  editor       = {Keith A. Bowman and
                  Kamesh V. Gadepally and
                  Pallab Chatterjee and
                  Mark M. Budnik and
                  Lalitha Immaneni},
  title        = {Design of an efficient NoC architecture using millimeter-wave wireless
                  links},
  booktitle    = {Thirteenth International Symposium on Quality Electronic Design, {ISQED}
                  2012, Santa Clara, CA, USA, March 19-21, 2012},
  pages        = {165--172},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISQED.2012.6187490},
  doi          = {10.1109/ISQED.2012.6187490},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DebCGYTPHB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/DebGCPBH10,
  author       = {Sujay Deb and
                  Amlan Ganguly and
                  Kevin Chang and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  editor       = {Fran{\c{c}}ois Charot and
                  Frank Hannig and
                  J{\"{u}}rgen Teich and
                  Christophe Wolinski},
  title        = {Enhancing performance of network-on-chip architectures with millimeter-wave
                  wireless interconnects},
  booktitle    = {21st {IEEE} International Conference on Application-specific Systems
                  Architectures and Processors, {ASAP} 2010, Rennes, France, 7-9 July
                  2010},
  pages        = {73--80},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASAP.2010.5540799},
  doi          = {10.1109/ASAP.2010.5540799},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/DebGCPBH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/green/YuSBH10,
  author       = {Xinmin Yu and
                  Suman Prasad Sah and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Performance evaluation and receiver front-end design for on-chip millimeter-wave
                  wireless interconnect},
  booktitle    = {International Green Computing Conference 2010, Chicago, IL, USA, 15-18
                  August 2010},
  pages        = {555--560},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/GREENCOMP.2010.5598263},
  doi          = {10.1109/GREENCOMP.2010.5598263},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/green/YuSBH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLH08,
  author       = {Yang Zhang and
                  Peng Liu and
                  Deuk Hyoun Heo},
  title        = {A low-phase-noise {LC} {QVCO} with bottom-series coupling and capacitor
                  tapping},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21
                  May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}},
  pages        = {1000--1003},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISCAS.2008.4541589},
  doi          = {10.1109/ISCAS.2008.4541589},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RajashekharaiahUH06,
  author       = {Mallesh Rajashekharaiah and
                  Parag Upadhyaya and
                  Deuk Hyoun Heo},
  title        = {Enhanced gm3 cancellation for linearity improvement in {CMOS} LNAs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1693565},
  doi          = {10.1109/ISCAS.2006.1693565},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RajashekharaiahUH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangUSZHCJ06,
  author       = {Le Wang and
                  Parag Upadhyaya and
                  Pinping Sun and
                  Yang Zhang and
                  Deuk Hyoun Heo and
                  Yi{-}Jan Emery Chen and
                  DongHo Jeong},
  title        = {A 5.3GHz low-phase-noise {LC} {VCO} with harmonic filtering resistor},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1693315},
  doi          = {10.1109/ISCAS.2006.1693315},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangUSZHCJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuCH05,
  author       = {Chih{-}Yun Liu and
                  Yi{-}Jan Emery Chen and
                  Deuk Hyoun Heo},
  title        = {Impact of bias schemes on Doherty power amplifiers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {212--215},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1464562},
  doi          = {10.1109/ISCAS.2005.1464562},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuCH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RajashekharaiahUHC05,
  author       = {Mallesh Rajashekharaiah and
                  Parag Upadhyaya and
                  Deuk Hyoun Heo and
                  Yi{-}Jan Emery Chen},
  title        = {A new gain controllable on-chip active balun for 5 GHz direct conversion
                  receiver},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5115--5118},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465785},
  doi          = {10.1109/ISCAS.2005.1465785},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RajashekharaiahUHC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RaghavanVBSHL03,
  author       = {Arvind Raghavan and
                  Sunitha Venkataraman and
                  Bhaskar Banerjee and
                  Youngsuk Suh and
                  Deukhyoun Heo and
                  Joy Laskar},
  title        = {Direct extraction of an empirical temperature-dependent InGaP/GaAs
                  {HBT} large-signal model},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {38},
  number       = {9},
  pages        = {1443--1450},
  year         = {2003},
  url          = {https://doi.org/10.1109/JSSC.2003.815929},
  doi          = {10.1109/JSSC.2003.815929},
  timestamp    = {Wed, 20 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RaghavanVBSHL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SrirattanaQAKHAL03,
  author       = {N. Srirattana and
                  Muhammad Shakeel Qureshi and
                  A. Aude and
                  V. Krishnamurthy and
                  Deuk Hyoun Heo and
                  Phillip E. Allen and
                  Joy Laskar},
  title        = {SiGe {HBT} power amplifier for {IS-95} {CDMA} using a novel process,
                  voltage, and temperature insensitive biasing scheme},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {437--440},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1205594},
  doi          = {10.1109/ISCAS.2003.1205594},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SrirattanaQAKHAL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics