Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Feipei Lai
@article{DBLP:journals/bspc/HsuHSHCCWCLCLTLCL23, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chang{-}Fu Su and Chien{-}Wen Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Chun{-}Yu Wu and Chung{-}Wei Chen and Yen{-}Chun Lai and Tang{-}Wei Cheng and Nian{-}Jhen Lin and Wan{-}Ling Tsai and Ching{-}Shiang Lu and Chuan Chen and Feipei Lai}, title = {A dual-purpose deep learning model for auscultated lung and tracheal sound analysis based on mixed set training}, journal = {Biomed. Signal Process. Control.}, volume = {86}, number = {Part {B}}, pages = {105222}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2023.105222}, doi = {10.1016/J.BSPC.2023.105222}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/HsuHSHCCWCLCLTLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/ChenCL23, author = {Hungyi Chen and Yuan{-}Chia Chu and Feipei Lai}, title = {Mobile time banking on blockchain system development for community elderly care}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {10}, pages = {13223--13235}, year = {2023}, url = {https://doi.org/10.1007/s12652-022-03780-6}, doi = {10.1007/S12652-022-03780-6}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/ChenCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/LimHHCLCLJK22, author = {Wee Shin Lim and Heng{-}Yen Ho and Heng{-}Chen Ho and Yan{-}Wu Chen and Chih{-}Kuo Lee and Pao{-}Ju Chen and Feipei Lai and Jyh{-}Shing Roger Jang and Mei{-}Lan Ko}, title = {Use of multimodal dataset in {AI} for detecting glaucoma based on fundus photographs assessed with {OCT:} focus group study on high prevalence of myopia}, journal = {{BMC} Medical Imaging}, volume = {22}, number = {1}, pages = {206}, year = {2022}, url = {https://doi.org/10.1186/s12880-022-00933-z}, doi = {10.1186/S12880-022-00933-Z}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcmi/LimHHCLCLJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChenHLCL22, author = {Yen{-}Pin Chen and Chien{-}Hua Huang and Yuan{-}Hsun Lo and Yi{-}Ying Chen and Feipei Lai}, title = {Combining attention with spectrum to handle missing values on time series data without imputation}, journal = {Inf. Sci.}, volume = {609}, pages = {1271--1287}, year = {2022}, url = {https://doi.org/10.1016/j.ins.2022.07.124}, doi = {10.1016/J.INS.2022.07.124}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/ChenHLCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/HuangCCWLL22, author = {Yin{-}Tzu Huang and Dai{-}Lun Chiang and Tzer{-}Shyong Chen and Sheng{-}De Wang and Feipei Lai and Yu{-}Da Lin}, title = {Lagrange interpolation-driven access control mechanism: Towards secure and privacy-preserving fusion of personal health records}, journal = {Knowl. Based Syst.}, volume = {236}, pages = {107679}, year = {2022}, url = {https://doi.org/10.1016/j.knosys.2021.107679}, doi = {10.1016/J.KNOSYS.2021.107679}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/HuangCCWLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/ChenLWKCHLYHJY21, author = {Ta{-}Ching Chen and Wee Shin Lim and Victoria Y. Wang and Mei{-}Lan Ko and Shu{-}I Chiu and Yu{-}Shu Huang and Feipei Lai and Chung{-}May Yang and Fung{-}Rong Hu and Jyh{-}Shing Roger Jang and Chang{-}Hao Yang}, title = {Artificial Intelligence-Assisted Early Detection of Retinitis Pigmentosa - the Most Common Inherited Retinal Degeneration}, journal = {J. Digit. Imaging}, volume = {34}, number = {4}, pages = {948--958}, year = {2021}, url = {https://doi.org/10.1007/s10278-021-00479-6}, doi = {10.1007/S10278-021-00479-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/ChenLWKCHLYHJY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LuLHLLX21, author = {Shao{-}Lun Lu and Heng{-}Chun Liao and Feng{-}Ming Hsu and Chun{-}Chih Liao and Feipei Lai and Furen Xiao}, title = {The intracranial tumor segmentation challenge: Contour tumors on brain {MRI} for radiosurgery}, journal = {NeuroImage}, volume = {244}, pages = {118585}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118585}, doi = {10.1016/J.NEUROIMAGE.2021.118585}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LuLHLLX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-01352, author = {Fu{-}Shun Hsu and Chao{-}Jung Huang and Chen{-}Yi Kuo and Shang{-}Ran Huang and Yuan{-}Ren Cheng and Jia{-}Horng Wang and Yi{-}Lin Wu and Tzu{-}Ling Tzeng and Feipei Lai}, title = {Development of a Respiratory Sound Labeling Software for Training a Deep Learning-Based Respiratory Sound Analysis Model}, journal = {CoRR}, volume = {abs/2101.01352}, year = {2021}, url = {https://arxiv.org/abs/2101.01352}, eprinttype = {arXiv}, eprint = {2101.01352}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-01352.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-03049, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chien{-}Wen Huang and Chao{-}Jung Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Jack Hsiao and Chung{-}Wei Chen and Lichin Chen and Yen{-}Chun Lai and Bi{-}Fang Hsu and Nian{-}Jhen Lin and Wan{-}Lin Tsai and Yi{-}Lin Wu and Tzu{-}Ling Tzeng and Ching{-}Ting Tseng and Yi{-}Tsun Chen and Feipei Lai}, title = {Benchmarking of eight recurrent neural network variants for breath phase and adventitious sound detection on a self-developed open-access lung sound database-HF{\_}Lung{\_}V1}, journal = {CoRR}, volume = {abs/2102.03049}, year = {2021}, url = {https://arxiv.org/abs/2102.03049}, eprinttype = {arXiv}, eprint = {2102.03049}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-03049.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-04062, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chien{-}Wen Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Jack Hsiao and Chung{-}Wei Chen and Feipei Lai}, title = {An Update of a Progressively Expanded Database for Automated Lung Sound Analysis}, journal = {CoRR}, volume = {abs/2102.04062}, year = {2021}, url = {https://arxiv.org/abs/2102.04062}, eprinttype = {arXiv}, eprint = {2102.04062}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-04062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-04226, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chien{-}Wen Huang and Chun{-}Chieh Chen and Yuan{-}Ren Cheng and Feipei Lai}, title = {Multi-path Convolutional Neural Networks Efficiently Improve Feature Extraction in Continuous Adventitious Lung Sound Detection}, journal = {CoRR}, volume = {abs/2107.04226}, year = {2021}, url = {https://arxiv.org/abs/2107.04226}, eprinttype = {arXiv}, eprint = {2107.04226}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-04226.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-04229, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chang{-}Fu Su and Chien{-}Wen Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Chun{-}Yu Wu and Chung{-}Wei Chen and Yen{-}Chun Lai and Tang{-}Wei Cheng and Nian{-}Jhen Lin and Wan{-}Lin Tsai and Ching{-}Shiang Lu and Chuan Chen and Feipei Lai}, title = {Improved Breath Phase and Continuous Adventitious Sound Detection in Lung and Tracheal Sound Using Mixed Set Training and Domain Adaptation}, journal = {CoRR}, volume = {abs/2107.04229}, year = {2021}, url = {https://arxiv.org/abs/2107.04229}, eprinttype = {arXiv}, eprint = {2107.04229}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-04229.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiHLLWCH20, author = {Chen{-}Yu Li and Chien{-}Cheng Huang and Feipei Lai and San{-}Liang Lee and Jingshown Wu and Rong{-}Chi Chang and Hsiang{-}Wei Huang}, title = {Mobile Social Service User Identification Framework Based on Action-Characteristic Data Retention}, journal = {{IEEE} Access}, volume = {8}, pages = {127748--127767}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3009010}, doi = {10.1109/ACCESS.2020.3009010}, timestamp = {Fri, 31 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiHLLWCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/ChiangHCL20, author = {Dai{-}Lun Chiang and Yin{-}Tzu Huang and Tzer{-}Shyong Chen and Feipei Lai}, title = {Applying time-constraint access control of personal health record in cloud computing}, journal = {Enterp. Inf. Syst.}, volume = {14}, number = {2}, pages = {266--281}, year = {2020}, url = {https://doi.org/10.1080/17517575.2018.1522452}, doi = {10.1080/17517575.2018.1522452}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eis/ChiangHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/LeeLCHLCLL20, author = {Meng{-}Tse Lee and Fong{-}Ci Lin and Szu{-}Ta Chen and Wan{-}Ting Hsu and Samuel Lin and Tzer{-}Shyong Chen and Feipei Lai and Chien{-}Chang Lee}, title = {Web-Based Dashboard for the Interactive Visualization and Analysis of National Risk-Standardized Mortality Rates of Sepsis in the {US}}, journal = {J. Medical Syst.}, volume = {44}, number = {2}, pages = {54}, year = {2020}, url = {https://doi.org/10.1007/s10916-019-1509-9}, doi = {10.1007/S10916-019-1509-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/LeeLCHLCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/ChenHHWLK20, author = {Yung{-}Wei Chen and Jui{-}Tse Hsu and Chih{-}Chieh Hung and Jin{-}Ming Wu and Feipei Lai and Sy{-}Yen Kuo}, title = {Surgical Wounds Assessment System for Self-Care}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {50}, number = {12}, pages = {5076--5091}, year = {2020}, url = {https://doi.org/10.1109/TSMC.2018.2856405}, doi = {10.1109/TSMC.2018.2856405}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/ChenHHWLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/TaiCCSLL20, author = {Kuang{-}Yen Tai and Dai{-}Lun Chiang and Tzer{-}Shyong Chen and Victor R. L. Shen and Feipei Lai and Frank Yeong{-}Sung Lin}, title = {Smart Fall Prediction for Elderly Care Using iPhone and Apple Watch}, journal = {Wirel. Pers. Commun.}, volume = {114}, number = {1}, pages = {347--365}, year = {2020}, url = {https://doi.org/10.1007/s11277-020-07366-3}, doi = {10.1007/S11277-020-07366-3}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/TaiCCSLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-11784, author = {Siang{-}Ruei Wu and Hao{-}Yun Chang and Florence T. Su and Heng{-}Chun Liao and Wanju Tseng and Chun{-}Chih Liao and Feipei Lai and Feng{-}Ming Hsu and Furen Xiao}, title = {Deep Learning Based Segmentation of Various Brain Lesions for Radiosurgery}, journal = {CoRR}, volume = {abs/2007.11784}, year = {2020}, url = {https://arxiv.org/abs/2007.11784}, eprinttype = {arXiv}, eprint = {2007.11784}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-11784.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TsengWL19, author = {Tzu Wei Tseng and Chia{-}Tung Wu and Feipei Lai}, title = {Threat Analysis for Wearable Health Devices and Environment Monitoring Internet of Things Integration System}, journal = {{IEEE} Access}, volume = {7}, pages = {144983--144994}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2946081}, doi = {10.1109/ACCESS.2019.2946081}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/TsengWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/HsuCHTWSHZKL19, author = {Jui{-}Tse Hsu and Yung{-}Wei Chen and Te{-}Wei Ho and Hao{-}Chih Tai and Jin{-}Ming Wu and Hsin{-}Yun Sun and Chi{-}Sheng Hung and Yi{-}Chong Zeng and Sy{-}Yen Kuo and Feipei Lai}, title = {Chronic wound assessment and infection detection method}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {99:1--99:20}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0813-0}, doi = {10.1186/S12911-019-0813-0}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/HsuCHTWSHZKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp2/HoQLXW19, author = {Te{-}Wei Ho and Huan Qi and Feipei Lai and Furen Xiao and Jin{-}Ming Wu}, title = {Brain Tumor Segmentation Using U-Net and Edge Contour Enhancement}, booktitle = {Proceedings of the 2019 3rd International Conference on Digital Signal Processing, {ICDSP} 2019, Jeju Island, Republic of Korea, February 24-26, 2019}, pages = {75--79}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316551.3316554}, doi = {10.1145/3316551.3316554}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdsp2/HoQLXW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itnac/ChiangYHL19, author = {Dai{-}Lun Chiang and JihHsiang Yang and Ziyuan Huang and Feipei Lai}, title = {Music Response Based on Real-time Facial Expression Recognition}, booktitle = {29th International Telecommunication Networks and Applications Conference, {ITNAC} 2019, Auckland, New Zealand, November 27-29, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITNAC46935.2019.9078004}, doi = {10.1109/ITNAC46935.2019.9078004}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itnac/ChiangYHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiHLLW18, author = {Chen{-}Yu Li and Chien{-}Cheng Huang and Feipei Lai and San{-}Liang Lee and Jingshown Wu}, title = {A Comprehensive Overview of Government Hacking Worldwide}, journal = {{IEEE} Access}, volume = {6}, pages = {55053--55073}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2871762}, doi = {10.1109/ACCESS.2018.2871762}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LiHLLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCCLCL18, author = {Shyh{-}Wei Chen and Dai{-}Lun Chiang and Tzer{-}Shyong Chen and Han{-}Yu Lin and Yu{-}Fang Chung and Feipei Lai}, title = {An Implementation of Interactive Healthy Eating Index and Healthcare System on Mobile Platform in College Student Samples}, journal = {{IEEE} Access}, volume = {6}, pages = {71651--71661}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2881996}, doi = {10.1109/ACCESS.2018.2881996}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCCLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LuCHCLWCFCMFLT18, author = {Tsung{-}Chien Lu and Yi Chen and Te{-}Wei Ho and Yao{-}Ting Chang and Yi{-}Ting Lee and Yu{-}Siang Wang and Yen{-}Pin Chen and Chia{-}Ming Fu and Wen{-}Chu Chiang and Matthew Huei{-}Ming Ma and Cheng{-}Chung Fang and Feipei Lai and Anne M. Turner}, title = {A novel depth estimation algorithm of chest compression for feedback of high-quality cardiopulmonary resuscitation based on a smartwatch}, journal = {J. Biomed. Informatics}, volume = {87}, pages = {60--65}, year = {2018}, url = {https://doi.org/10.1016/j.jbi.2018.09.014}, doi = {10.1016/J.JBI.2018.09.014}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/LuCHCLWCFCMFLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiccc/HoYCLLCLC18, author = {Te{-}Wei Ho and Jia{-}Sheng Yao and Yao{-}Ting Chang and Feipei Lai and Jui{-}Fen Lai and Sue{-}Min Chu and Wan{-}Chung Liao and Han{-}Mo Chiu}, title = {A Platform for Dynamic Optimal Nurse Scheduling Based on Integer Linear Programming along with Multiple Criteria Constraints}, booktitle = {Proceedings of the 2018 Artificial Intelligence and Cloud Computing Conference, {AICCC} 2018, Tokyo, Japan, December 21-23, 2018}, pages = {145--150}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3299819.3299825}, doi = {10.1145/3299819.3299825}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aiccc/HoYCLLCLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/KaoCLLSCLL17, author = {Jui{-}Hung Kao and Ta{-}Chien Chan and Feipei Lai and Bo{-}Cheng Lin and Wei{-}Zen Sun and Kuan{-}Wu Chang and Fang{-}Yie Leu and Jeng{-}Wei Lin}, title = {Spatial analysis and data mining techniques for identifying risk factors of Out-of-Hospital Cardiac Arrest}, journal = {Int. J. Inf. Manag.}, volume = {37}, number = {1}, pages = {1528--1538}, year = {2017}, url = {https://doi.org/10.1016/j.ijinfomgt.2016.04.008}, doi = {10.1016/J.IJINFOMGT.2016.04.008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/KaoCLLSCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/ShenLLLCZSKCLL17, author = {Chia{-}Ping Shen and Jeng{-}Wei Lin and Feng{-}Sheng Lin and Yan{-}Yu Lam and Wei Chen and Weizhi Zhou and Hsiao{-}Ya Sung and Yi{-}Hui Kao and Ming{-}Jang Chiu and Fang{-}Yie Leu and Feipei Lai}, title = {{GA-SVM} modeling of multiclass seizure detector in epilepsy analysis system using cloud computing}, journal = {Soft Comput.}, volume = {21}, number = {8}, pages = {2139--2149}, year = {2017}, url = {https://doi.org/10.1007/s00500-015-1917-9}, doi = {10.1007/S00500-015-1917-9}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/ShenLLLCZSKCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/HoLLL17, author = {Te{-}Wei Ho and Fong{-}Ci Lin and Ching{-}Miao Lin and Feipei Lai}, title = {Smart computing mechanism for noise detection and elimination in {ECG} signal}, booktitle = {2017 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2017, Jeju Island, South Korea, February 13-16, 2017}, pages = {28--33}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIGCOMP.2017.7881711}, doi = {10.1109/BIGCOMP.2017.7881711}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/HoLLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HoL17, author = {Te{-}Wei Ho and Feipei Lai}, title = {A robust automatic mechanism for electrocardiogram interpretation in telehealthcare}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {3505--3508}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037612}, doi = {10.1109/EMBC.2017.8037612}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/HoL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icatech/ChuKCLLSL17, author = {Yuan{-}Chia Chu and Wen{-}Tsung Kuo and Yuan{-}Ren Cheng and Fong{-}Ci Lin and Chung{-}Yuan Lee and Cheng{-}Ying Shiau and Feipei Lai}, title = {{SMART} survival metadata analysis responsive tool}, booktitle = {{XXVI} International Conference on Information, Communication and Automation Technologies, {ICAT} 2017, Sarajevo, Bosnia and Herzegovina, October 26-28, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICAT.2017.8171608}, doi = {10.1109/ICAT.2017.8171608}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icatech/ChuKCLLSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccip/HoFHTL17, author = {Te{-}Wei Ho and Juliet Fong and Chun{-}Ta Huang and Chia{-}Jui Tsai and Feipei Lai}, editor = {Jalel Ben{-}Othman and Feng Gang and Jain{-}Shing Liu and Masayuki Arai}, title = {Compliance with clinical guidelines for chronic obstructive pulmonary disease: a nationwide database study}, booktitle = {Proceedings of the 3rd International Conference on Communication and Information Processing, {ICCIP} 2017, Tokyo, Japan, November 24-26, 2017}, pages = {55--59}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3162957.3162992}, doi = {10.1145/3162957.3162992}, timestamp = {Tue, 06 Nov 2018 16:57:30 +0100}, biburl = {https://dblp.org/rec/conf/iccip/HoFHTL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccip/HoTHCL17, author = {Te{-}Wei Ho and Chia{-}Jui Tsai and Chung{-}Chieh Hsu and Yao{-}Ting Chang and Feipei Lai}, editor = {Jalel Ben{-}Othman and Feng Gang and Jain{-}Shing Liu and Masayuki Arai}, title = {Indoor navigation and physician-patient communication in emergency department}, booktitle = {Proceedings of the 3rd International Conference on Communication and Information Processing, {ICCIP} 2017, Tokyo, Japan, November 24-26, 2017}, pages = {92--98}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3162957.3162971}, doi = {10.1145/3162957.3162971}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccip/HoTHCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccip/HoWCL17, author = {Te{-}Wei Ho and Jin{-}Ming Wu and Chien{-}Hsu Chen and Feipei Lai}, editor = {Jalel Ben{-}Othman and Feng Gang and Jain{-}Shing Liu and Masayuki Arai}, title = {Evaluation of surgical wound segmentation using quantitative analysis}, booktitle = {Proceedings of the 3rd International Conference on Communication and Information Processing, {ICCIP} 2017, Tokyo, Japan, November 24-26, 2017}, pages = {428--432}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3162957.3162970}, doi = {10.1145/3162957.3162970}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccip/HoWCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangLHW16, author = {Peter Shaojui Wang and Feipei Lai and Hsu{-}Chun Hsiao and Ja{-}Ling Wu}, title = {Insider Collusion Attack on Privacy-Preserving Kernel-Based Data Mining Systems}, journal = {{IEEE} Access}, volume = {4}, pages = {2244--2255}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2561019}, doi = {10.1109/ACCESS.2016.2561019}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangLHW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenCLCLWW16, author = {Shyh{-}Wei Chen and Dai{-}Lun Chiang and Chia{-}Hui Liu and Tzer{-}Shyong Chen and Feipei Lai and Huihui Wang and Wei Wei}, title = {Confidentiality Protection of Digital Health Records in Cloud Computing}, journal = {J. Medical Syst.}, volume = {40}, number = {5}, pages = {124:1--124:12}, year = {2016}, url = {https://doi.org/10.1007/s10916-016-0484-7}, doi = {10.1007/S10916-016-0484-7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenCLCLWW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/WuTHCGLC16, author = {Chia{-}Tung Wu and Yu{-}Fen Tzeng and Te{-}Wei Ho and Shyh{-}Wei Chen and Bih{-}Shya Gau and Feipei Lai and Hung{-}Yu Chiu}, editor = {Ravi Kumar and James Caverlee and Hanghang Tong}, title = {A smart phone application in improving healthy lifestyles and health outcomes for school-age children with asthma}, booktitle = {2016 {IEEE/ACM} International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2016, San Francisco, CA, USA, August 18-21, 2016}, pages = {1034--1037}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ASONAM.2016.7752368}, doi = {10.1109/ASONAM.2016.7752368}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asunam/WuTHCGLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/PingTLCLLHY15, author = {Xiao{-}Ou Ping and Yi{-}Ju Tseng and Yan{-}Po Lin and Hsiang{-}Ju Chiu and Feipei Lai and Ja{-}Der Liang and Guan{-}Tarn Huang and Pei{-}Ming Yang}, title = {A multiple measurements case-based reasoning method for predicting recurrent status of liver cancer patients}, journal = {Comput. Ind.}, volume = {69}, pages = {12--21}, year = {2015}, url = {https://doi.org/10.1016/j.compind.2015.01.007}, doi = {10.1016/J.COMPIND.2015.01.007}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cii/PingTLCLLHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/WuYHSLL15, author = {Jin{-}Ming Wu and Hwan{-}Jeu Yu and Te{-}Wei Ho and Xing{-}Yu Su and Ming{-}Tsan Lin and Feipei Lai}, title = {Tablet PC-enabled application intervention for patients with gastric cancer undergoing gastrectomy}, journal = {Comput. Methods Programs Biomed.}, volume = {119}, number = {2}, pages = {101--109}, year = {2015}, url = {https://doi.org/10.1016/j.cmpb.2015.03.004}, doi = {10.1016/J.CMPB.2015.03.004}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/WuYHSLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChenWHYL15, author = {Kuo{-}Hsin Chen and Jin{-}Ming Wu and Te{-}Wei Ho and Hwan{-}Jeu Yu and Feipei Lai}, title = {A cross-hospital cost and quality assessment system by extracting frequent physician order set from a nationwide Health Insurance Research Database}, journal = {Comput. Methods Programs Biomed.}, volume = {120}, number = {3}, pages = {142--153}, year = {2015}, url = {https://doi.org/10.1016/j.cmpb.2015.04.007}, doi = {10.1016/J.CMPB.2015.04.007}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ChenWHYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/TsengPLYHL15, author = {Yi{-}Ju Tseng and Xiao{-}Ou Ping and Ja{-}Der Liang and Pei{-}Ming Yang and Guan{-}Tarn Huang and Feipei Lai}, title = {Multiple-Time-Series Clinical Data Processing for Classification With Merging Algorithm and Statistical Measures}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {19}, number = {3}, pages = {1036--1043}, year = {2015}, url = {https://doi.org/10.1109/JBHI.2014.2357719}, doi = {10.1109/JBHI.2014.2357719}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/TsengPLYHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChenSCZCLL15, author = {Wei Chen and Chia{-}Ping Shen and Ming{-}Jang Chiu and Qibin Zhao and Andrzej Cichocki and Jeng{-}Wei Lin and Feipei Lai}, title = {Epileptic {EEG} visualization and sonification based on linear discriminate analysis}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {4466--4469}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319386}, doi = {10.1109/EMBC.2015.7319386}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChenSCZCLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LinHFYYL15, author = {Xin{-}Yu Lin and Te{-}Wei Ho and Cheng{-}Chung Fang and Zui{-}Shen Yen and Bey{-}Jing Yang and Feipei Lai}, title = {A mobile indoor positioning system based on iBeacon technology}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {4970--4973}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319507}, doi = {10.1109/EMBC.2015.7319507}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LinHFYYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/WangCKTL15, author = {Peter Shaojui Wang and Shyh{-}Wei Chen and Chien{-}Han Kuo and Chien{-}Ming Tu and Feipei Lai}, title = {An intelligent dietary planning mobile system with privacy-preserving mechanism}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, pages = {336--337}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE-TW.2015.7216930}, doi = {10.1109/ICCE-TW.2015.7216930}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/WangCKTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LiangPTHLY14, author = {Ja{-}Der Liang and Xiao{-}Ou Ping and Yi{-}Ju Tseng and Guan{-}Tarn Huang and Feipei Lai and Pei{-}Ming Yang}, title = {Recurrence predictive models for patients with hepatocellular carcinoma after radiofrequency ablation using support vector machines with feature selection methods}, journal = {Comput. Methods Programs Biomed.}, volume = {117}, number = {3}, pages = {425--434}, year = {2014}, url = {https://doi.org/10.1016/j.cmpb.2014.09.001}, doi = {10.1016/J.CMPB.2014.09.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LiangPTHLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenCLCL14, author = {Lichin Chen and Chih{-}Min Chan and Hung{-}Chang Lee and Yu{-}Fang Chung and Feipei Lai}, title = {Development of a Decision Support Engine to Assist Patients with Hospital Selection}, journal = {J. Medical Syst.}, volume = {38}, number = {6}, pages = {59}, year = {2014}, url = {https://doi.org/10.1007/s10916-014-0059-4}, doi = {10.1007/S10916-014-0059-4}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenCLCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/TuKTKLL14, author = {Chien{-}Ming Tu and Shih{-}Han Ku and Ju{-}Chi Tseng and Hsiang{-}Ting Kao and Fang{-}Sun Lu and Feipei Lai}, title = {{CHT} cloud orchestration: An integrated cloud system of virtualization platform}, booktitle = {The 16th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2014, Hsinchu, Taiwan, September 17-19, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APNOMS.2014.6996544}, doi = {10.1109/APNOMS.2014.6996544}, timestamp = {Wed, 13 Nov 2019 15:14:09 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/TuKTKLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euspn/SuLCHLCHL14, author = {Xing{-}Yu Su and Fong{-}Ci Lin and Lichin Chen and Kuo{-}Chin Huang and Chia{-}Wen Lu and Chia{-}Yi Chen and Te{-}Wei Ho and Feipei Lai}, title = {A Service Oriented Tele-health Promotion Information System with Mobile Application}, booktitle = {The 5th International Conference on Emerging Ubiquitous Systems and Pervasive Networks {(EUSPN-2014)/} The 4th International Conference on Current and Future Trends of Information and Communication Technologies in Healthcare {(ICTH} 2014)/ Affiliated Workshops, September 22-25, 2014, Halifax, Nova Scotia, Canada}, series = {Procedia Computer Science}, volume = {37}, pages = {274--281}, publisher = {Elsevier}, year = {2014}, url = {https://doi.org/10.1016/j.procs.2014.08.041}, doi = {10.1016/J.PROCS.2014.08.041}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euspn/SuLCHLCHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euspn/SuPTL14, author = {Wei{-}Ti Su and Xiao{-}Ou Ping and Yi{-}Ju Tseng and Feipei Lai}, title = {Multiple Time Series Data Processing for Classification with Period Merging Algorithm}, booktitle = {The 5th International Conference on Emerging Ubiquitous Systems and Pervasive Networks {(EUSPN-2014)/} The 4th International Conference on Current and Future Trends of Information and Communication Technologies in Healthcare {(ICTH} 2014)/ Affiliated Workshops, September 22-25, 2014, Halifax, Nova Scotia, Canada}, series = {Procedia Computer Science}, volume = {37}, pages = {301--308}, publisher = {Elsevier}, year = {2014}, url = {https://doi.org/10.1016/j.procs.2014.08.045}, doi = {10.1016/J.PROCS.2014.08.045}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euspn/SuPTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euspn/ChenWYLLHS14, author = {Wei Chen and Zih{-}Heng Wu and Chin{-}Jung Yang and Zhen{-}Kai Liao and Feipei Lai and Chia{-}Lin Hsu and Wei{-}Zen Sun}, title = {Pulse Analysis System with a Novice Periodic Function Examination Method on Sepsis Survival Prediction}, booktitle = {The 5th International Conference on Emerging Ubiquitous Systems and Pervasive Networks {(EUSPN-2014)/} The 4th International Conference on Current and Future Trends of Information and Communication Technologies in Healthcare {(ICTH} 2014)/ Affiliated Workshops, September 22-25, 2014, Halifax, Nova Scotia, Canada}, series = {Procedia Computer Science}, volume = {37}, pages = {317--324}, publisher = {Elsevier}, year = {2014}, url = {https://doi.org/10.1016/j.procs.2014.08.047}, doi = {10.1016/J.PROCS.2014.08.047}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euspn/ChenWYLLHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/HoLWCLHH14, author = {Te{-}Wei Ho and Horng{-}Yih Lai and Yu{-}Jie Wang and Wei{-}Hsin Chen and Feipei Lai and Yi{-}Lwun Ho and Chi{-}Sheng Hung}, title = {A clinical decision and support system with automatically {ECG} classification in telehealthcare}, booktitle = {16th {IEEE} International Conference on e-Health Networking, Applications and Services, Healthcom 2014, Natal-RN, Brazil, October 15-18, 2014}, pages = {293--297}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HealthCom.2014.7001857}, doi = {10.1109/HEALTHCOM.2014.7001857}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/HoLWCLHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/PingTLHYL14, author = {Xiao{-}Ou Ping and Yi{-}Ju Tseng and Ja{-}Der Liang and Guan{-}Tarn Huang and Pei{-}Ming Yang and Feipei Lai}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Missing Value Imputation on Multiple Measurements for Prediction of Liver Cancer Recurrence: {A} Comparative Study}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {1930--1939}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1930}, doi = {10.3233/978-1-61499-484-8-1930}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/PingTLHYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/ChenYLCSLTL14, author = {Lichin Chen and Hui{-}Chu Yu and Hung{-}Chang Lee and Yu{-}Fang Chung and Rung{-}Ji Shang and Hsiu{-}Yun Liu and Ching{-}Ting Tan and Feipei Lai}, editor = {Kaija Saranto and Charlotte A. Weaver and Polun Chang}, title = {Improving Inpatient Fall Prevention Strategies Using Interactive Data Repository Information System}, booktitle = {Nursing Informatics 2014 - East Meets West eSMART+ - Proceedings of the 12th International Congress on Nursing Informatics, Taipei, Taiwan, June 21-25, 2014}, series = {Studies in Health Technology and Informatics}, volume = {201}, pages = {87--93}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-415-2-87}, doi = {10.3233/978-1-61499-415-2-87}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ni/ChenYLCSLTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YuLCCWDMATHL13, author = {Hwan{-}Jeu Yu and Hong{-}Shiee Lai and Kuo{-}Hsin Chen and Hsien{-}Cheng Chou and Jin{-}Ming Wu and Sarangerel Dorjgochoo and Adilsaikhan Mendjargal and Erdenebaatar Altangerel and Yu{-}Wen Tien and Chih{-}Wen Hsueh and Feipei Lai}, title = {A sharable cloud-based pancreaticoduodenectomy collaborative database for physicians: Emphasis on security and clinical rule supporting}, journal = {Comput. Methods Programs Biomed.}, volume = {111}, number = {2}, pages = {488--497}, year = {2013}, url = {https://doi.org/10.1016/j.cmpb.2013.04.019}, doi = {10.1016/J.CMPB.2013.04.019}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/YuLCCWDMATHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/TsaiTL13, author = {Kun{-}Lin Tsai and I{-}Jui Tung and Feipei Lai}, title = {A Fast Power Estimation Method for Content Addressable Memory by Using SystemC Simulation Environment}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {96-A}, number = {8}, pages = {1723--1729}, year = {2013}, url = {https://doi.org/10.1587/transfun.E96.A.1723}, doi = {10.1587/TRANSFUN.E96.A.1723}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/TsaiTL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenYLWCWWPHCCLCL13, author = {Lichin Chen and Hui{-}Chu Yu and Hao{-}Chun Li and Yi{-}Van Wang and Huang{-}Jen Chen and I{-}Ching Wang and Chiou{-}Shiang Wang and Hui{-}Yu Peng and Yu{-}Ling Hsu and Chi{-}Huang Chen and Lee{-}Ming Chuang and Hung{-}Chang Lee and Yu{-}Fang Chung and Feipei Lai}, title = {An Architecture Model for Multiple Disease Management Information Systems}, journal = {J. Medical Syst.}, volume = {37}, number = {2}, pages = {9931}, year = {2013}, url = {https://doi.org/10.1007/s10916-013-9931-x}, doi = {10.1007/S10916-013-9931-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenYLWCWWPHCCLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ChenLSSLCL13, author = {Wei Chen and Yan{-}Yu Lam and Chia{-}Ping Shen and Hsiao{-}Ya Sung and Jeng{-}Wei Lin and Ming{-}Jang Chiu and Feipei Lai}, title = {Ultra-fast Epileptic seizure detection using {EMD} based on multichannel electroencephalogram}, booktitle = {13th {IEEE} International Conference on BioInformatics and BioEngineering, {BIBE} 2013, Chania, Greece, November 10-13, 2013}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBE.2013.6701576}, doi = {10.1109/BIBE.2013.6701576}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ChenLSSLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/efmi-stc/TsengWLCHSCCCLC13, author = {Yi{-}Ju Tseng and Jung{-}Hsuan Wu and Hui{-}Chi Lin and Hsiang{-}Ju Chiu and Bo{-}Chiang Huang and Rung{-}Ji Shang and Ming{-}Yuan Chen and Wei{-}Hsin Chen and Huai{-}Te Chen and Feipei Lai and Yee{-}Chun Chen}, editor = {Bernd Blobel and Arie Hasman and Jana Zv{\'{a}}rov{\'{a}}}, title = {Rule-Based Healthcare-Associated Bloodstream Infection Classification and Surveillance System}, booktitle = {Data and Knowledge for Medical Decision Support - Proceedings of the {EFMI} Special Topic Conference, {EFMI-STC} 2013, 17-19 April 2013, Prague, Czech Republic}, series = {Studies in Health Technology and Informatics}, volume = {186}, pages = {145--149}, publisher = {{IOS} Press}, year = {2013}, url = {https://doi.org/10.3233/978-1-61499-240-0-145}, doi = {10.3233/978-1-61499-240-0-145}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/efmi-stc/TsengWLCHSCCCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LinSSLLL13, author = {Feng{-}Sheng Lin and Chia{-}Ping Shen and Hsiao{-}Ya Sung and Yan{-}Yu Lam and Jeng{-}Wei Lin and Feipei Lai}, title = {A High performance cloud computing platform for mRNA analysis}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {1510--1513}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6609799}, doi = {10.1109/EMBC.2013.6609799}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/LinSSLLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ShenZLSLCLPCL13, author = {Chia{-}Ping Shen and Weizhi Zhou and Feng{-}Sheng Lin and Hsiao{-}Ya Sung and Yan{-}Yu Lam and Wei Chen and Jeng{-}Wei Lin and Ming{-}Kai Pan and Ming{-}Jang Chiu and Feipei Lai}, title = {Epilepsy analytic system with cloud computing}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {1644--1647}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6609832}, doi = {10.1109/EMBC.2013.6609832}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ShenZLSLCLPCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HieshLSCLSLCL13, author = {Ming{-}Hsien Hiesh and Andy Yan{-}Yu Lam and Chia{-}Ping Shen and Wei Chen and Feng{-}Shen Lin and Hsiao{-}Ya Sung and Jeng{-}Wei Lin and Ming{-}Jang Chiu and Feipei Lai}, title = {Classification of schizophrenia using Genetic Algorithm-Support Vector Machine {(GA-SVM)}}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {6047--6050}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610931}, doi = {10.1109/EMBC.2013.6610931}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HieshLSCLSLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/ChenCSLCH13, author = {Han{-}Ping Chen and Wei{-}Hsin Chen and Xing{-}Yu Su and Feipei Lai and Yi{-}Ju Chen and Kuo{-}Chin Huang}, title = {A web-based telehealthcare system with mobile application and data analysis for diet people}, booktitle = {{IEEE} 15th International Conference on e-Health Networking, Applications and Services, Healthcom 2013, Lisbon, Portugal, October 9-12, 2013}, pages = {150--154}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/HealthCom.2013.6720657}, doi = {10.1109/HEALTHCOM.2013.6720657}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/ChenCSLCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socialcom/PengHCLH13, author = {Hsin{-}Tsung Peng and William W. Y. Hsu and Chih{-}Hung Chen and Feipei Lai and Jan{-}Ming Ho}, title = {FinancialCloud: Open Cloud Framework of Derivative Pricing}, booktitle = {International Conference on Social Computing, SocialCom 2013, SocialCom/PASSAT/BigData/EconCom/BioMedCom 2013, Washington, DC, USA, 8-14 September, 2013}, pages = {782--789}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SocialCom.2013.117}, doi = {10.1109/SOCIALCOM.2013.117}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socialcom/PengHCLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ShenKYHWL12, author = {Chia{-}Ping Shen and Wen{-}Chung Kao and Yueh{-}Yiing Yang and Ming{-}Chai Hsu and Yuan{-}Ting Wu and Feipei Lai}, title = {Detection of cardiac arrhythmia in electrocardiograms using adaptive feature extraction and modified support vector machines}, journal = {Expert Syst. Appl.}, volume = {39}, number = {9}, pages = {7845--7852}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2012.01.093}, doi = {10.1016/J.ESWA.2012.01.093}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ShenKYHWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenHSHLL12, author = {Chi{-}Huang Chen and Sung{-}Huai Hsieh and Yu{-}Shuan Su and Kai{-}Ping Hsu and Hsiu{-}Hui Lee and Feipei Lai}, title = {Design and Implementation of Web-based Discharge Summary Note Based on Service-Oriented Architecture}, journal = {J. Medical Syst.}, volume = {36}, number = {1}, pages = {335--345}, year = {2012}, url = {https://doi.org/10.1007/s10916-010-9479-y}, doi = {10.1007/S10916-010-9479-Y}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenHSHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/WuCLC12, author = {Zhen Yu Wu and Yu{-}Fang Chung and Feipei Lai and Tzer{-}Shyong Chen}, title = {A Password-Based User Authentication Scheme for the Integrated {EPR} Information System}, journal = {J. Medical Syst.}, volume = {36}, number = {2}, pages = {631--638}, year = {2012}, url = {https://doi.org/10.1007/s10916-010-9527-7}, doi = {10.1007/S10916-010-9527-7}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/WuCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/WuLLLC12, author = {Zhen Yu Wu and Yueh{-}Chun Lee and Feipei Lai and Hung{-}Chang Lee and Yu{-}Fang Chung}, title = {A Secure Authentication Scheme for Telecare Medicine Information Systems}, journal = {J. Medical Syst.}, volume = {36}, number = {3}, pages = {1529--1535}, year = {2012}, url = {https://doi.org/10.1007/s10916-010-9614-9}, doi = {10.1007/S10916-010-9614-9}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/WuLLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/WuHTLLC12, author = {Zhen Yu Wu and Chih{-}Wen Hsueh and Cheng{-}Yu Tsai and Feipei Lai and Hung{-}Chang Lee and Yu{-}Fang Chung}, title = {Redactable Signatures for Signed {CDA} Documents}, journal = {J. Medical Syst.}, volume = {36}, number = {3}, pages = {1795--1808}, year = {2012}, url = {https://doi.org/10.1007/s10916-010-9639-0}, doi = {10.1007/S10916-010-9639-0}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/WuHTLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/WuTCCL12, author = {Zhen Yu Wu and Yi{-}Ju Tseng and Yu{-}Fang Chung and Yee{-}Chun Chen and Feipei Lai}, title = {A Reliable User Authentication and Key Agreement Scheme for Web-Based Hospital-Acquired Infection Surveillance Information System}, journal = {J. Medical Syst.}, volume = {36}, number = {4}, pages = {2547--2555}, year = {2012}, url = {https://doi.org/10.1007/s10916-011-9727-9}, doi = {10.1007/S10916-011-9727-9}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/WuTCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ShenJDCCHWHLTAL12, author = {Chia{-}Ping Shen and Chinburen Jigjidsuren and Sarangerel Dorjgochoo and Chi{-}Huang Chen and Wei{-}Hsin Chen and Chih{-}Kuo Hsu and Jin{-}Ming Wu and Chih{-}Wen Hsueh and Mei{-}Shu Lai and Ching{-}Ting Tan and Erdenebaatar Altangerel and Feipei Lai}, title = {A Data-Mining Framework for Transnational Healthcare System}, journal = {J. Medical Syst.}, volume = {36}, number = {4}, pages = {2565--2575}, year = {2012}, url = {https://doi.org/10.1007/s10916-011-9729-7}, doi = {10.1007/S10916-011-9729-7}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ShenJDCCHWHLTAL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenLLCH12, author = {Wei{-}Hsin Chen and Yu{-}Wen Lu and Feipei Lai and Yin{-}Hsiu Chien and Wuh{-}Liang Hwu}, title = {Integrating Human Genome Database into Electronic Health Record with Sequence Alignment and Compression Mechanism}, journal = {J. Medical Syst.}, volume = {36}, number = {4}, pages = {2587--2597}, year = {2012}, url = {https://doi.org/10.1007/s10916-011-9731-0}, doi = {10.1007/S10916-011-9731-0}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenLLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HsiehHCCHLWL12, author = {Sheau{-}Ling Hsieh and Sung{-}Huai Hsieh and Po{-}Hsun Cheng and Chi{-}Huang Chen and Kai{-}Ping Hsu and I{-}Shun Lee and Zhenyu Wang and Feipei Lai}, title = {Design Ensemble Machine Learning Model for Breast Cancer Diagnosis}, journal = {J. Medical Syst.}, volume = {36}, number = {5}, pages = {2841--2847}, year = {2012}, url = {https://doi.org/10.1007/s10916-011-9762-6}, doi = {10.1007/S10916-011-9762-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HsiehHCCHLWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/YuSDCWLTJALHCL12, author = {Hwan{-}Jeu Yu and Chia{-}Ping Shen and Sarangerel Dorjgochoo and Chi{-}Huang Chen and Jin{-}Ming Wu and Mei{-}Shu Lai and Ching{-}Ting Tan and Chinburen Jigjidsuren and Erdenebaatar Altangerel and Hung{-}Chang Lee and Chih{-}Wen Hsueh and Yu{-}Fang Chung and Feipei Lai}, title = {A Physician Order Category-Based Clinical Guideline Comparison System}, journal = {J. Medical Syst.}, volume = {36}, number = {6}, pages = {3741--3753}, year = {2012}, url = {https://doi.org/10.1007/s10916-012-9847-x}, doi = {10.1007/S10916-012-9847-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/YuSDCWLTJALHCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/KaoLSSMWCLHLC12, author = {Jui{-}Hung Kao and Feipei Lai and Wei{-}Zen Sun and Chia{-}Ping Shen and Huei{-}Ming Ma and Jin{-}Ming Wu and Meng{-}Yu Chiu and Horng{-}Twu Liaw and Kai{-}Chieh Hsu and Yan{-}Yu Lam and Shih{-}Ching Cheng}, title = {A Web-based Medical Emergency Guiding System}, booktitle = {International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2012, Istanbul, Turkey, 26-29 August 2012}, pages = {739--744}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ASONAM.2012.136}, doi = {10.1109/ASONAM.2012.136}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asunam/KaoLSSMWCLHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/ShenLLLHKLL12, author = {Chia{-}Ping Shen and Chia{-}Hung Liu and Feng{-}Sheng Lin and Han Lin and Chi{-}Ying F. Huang and Cheng{-}Yan Kao and Feipei Lai and Jeng{-}Wei Lin}, title = {A Multiclass Classification Tool Using Cloud Computing Architecture}, booktitle = {International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2012, Istanbul, Turkey, 26-29 August 2012}, pages = {765--770}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ASONAM.2012.139}, doi = {10.1109/ASONAM.2012.139}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asunam/ShenLLLHKLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/ChenCTHHCHL12, author = {Wei{-}Hsin Chen and Han{-}Ping Chen and Yi{-}Ju Tseng and Kai{-}Ping Hsu and Sheau{-}Ling Hsieh and Yin{-}Hsiu Chien and Wuh{-}Liang Hwu and Feipei Lai}, title = {Newborn Screening for Phenylketonuria: Machine Learning vs Clinicians}, booktitle = {International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2012, Istanbul, Turkey, 26-29 August 2012}, pages = {798--803}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ASONAM.2012.145}, doi = {10.1109/ASONAM.2012.145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asunam/ChenCTHHCHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicsyn/HuangCL12, author = {Kuo{-}Hsuan Huang and En{-}Chi Chang and Feipei Lai}, editor = {David Al{-}Dabass and Pichaya Tandayya and Jasmy Yunus and Apichat Heednacram and Zuwairie Ibrahim}, title = {An Agent-Based Secure Transaction Protocol with Fair Privacy}, booktitle = {Fourth International Conference on Computational Intelligence, Communication Systems and Networks, CICSyN 2012, Phuket, Thailand, July 24-26, 2012}, pages = {217--222}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICSyN.2012.48}, doi = {10.1109/CICSYN.2012.48}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/cicsyn/HuangCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cifer/PengCLKLH12, author = {Hsin{-}Tsung Peng and Chi{-}Fang Chang and Szu{-}Lang Liao and Ming{-}Yang Kao and Feipei Lai and Jan{-}Ming Ho}, title = {The development of a real-time valuation service of financial derivatives}, booktitle = {Proceedings of the 2012 {IEEE} Conference on Computational Intelligence for Financial Engineering {\&} Economics, CIFEr 2012, New York City, NY, USA, March 29-30, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CIFEr.2012.6327796}, doi = {10.1109/CIFER.2012.6327796}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cifer/PengCLKLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cts/KuoKBLDAJ12, author = {Mu{-}Hsing Kuo and Andr{\'{e}} Kushniruk and Elizabeth M. Borycki and Feipei Lai and Sarangerel Dorjgochoo and Erdenebaatar Altangerel and Chinburen Jigjidsuren}, editor = {Waleed W. Smari and Geoffrey Charles Fox}, title = {A cloud computing based platform for sharing healthcare research information}, booktitle = {2012 International Conference on Collaboration Technologies and Systems, {CTS} 2012, Denver, CO, USA, May 21-25, 2012}, pages = {504--508}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CTS.2012.6261097}, doi = {10.1109/CTS.2012.6261097}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/cts/KuoKBLDAJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnds/TingLL11, author = {Kuo{-}Chang Ting and Hung{-}Chang Lee and Feipei Lai}, title = {A scalable, high-performance grouping {DCF} for the {MAC} layer enhancement of 802.11n}, journal = {Int. J. Commun. Networks Distributed Syst.}, volume = {7}, number = {1/2}, pages = {101--118}, year = {2011}, url = {https://doi.org/10.1504/IJCNDS.2011.040980}, doi = {10.1504/IJCNDS.2011.040980}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnds/TingLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/YangSL11, author = {Tzu{-}Hsiang Yang and Yeali S. Sun and Feipei Lai}, title = {A Scalable Healthcare Information System Based on a Service-oriented Architecture}, journal = {J. Medical Syst.}, volume = {35}, number = {3}, pages = {391--407}, year = {2011}, url = {https://doi.org/10.1007/s10916-009-9375-5}, doi = {10.1007/S10916-009-9375-5}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/YangSL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ShenCLCLKCL11, author = {Chia{-}Ping Shen and Chih{-}Min Chan and Feng{-}Sheng Lin and Ming{-}Jang Chiu and Jeng{-}Wei Lin and Jui{-}Hung Kao and Chung{-}Ping Chen and Feipei Lai}, title = {Epileptic Seizure Detection for Multichannel {EEG} Signals with Support Vector Machines}, booktitle = {11th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2011, Taichung, Taiwan, October 24-26, 2011}, pages = {39--43}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/BIBE.2011.13}, doi = {10.1109/BIBE.2011.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ShenCLCLKCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanTLT11, author = {Cheng{-}Hao Chan and Kun{-}Lin Tsai and Feipei Lai and Shun{-}Hung Tsai}, title = {A priority based output arbiter for NoC router}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1928--1931}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937966}, doi = {10.1109/ISCAS.2011.5937966}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanTLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HsiehHCWHCTWL10, author = {Sung{-}Huai Hsieh and Sheau{-}Ling Hsieh and Yin{-}Hsiu Chien and Yung{-}Ching Weng and Kai{-}Ping Hsu and Chi{-}Huang Chen and Chien{-}Ming Tu and Zhenyu Wang and Feipei Lai}, title = {Newborn Screening Healthcare Information System Based on Service-Oriented Architecture}, journal = {J. Medical Syst.}, volume = {34}, number = {4}, pages = {519--530}, year = {2010}, url = {https://doi.org/10.1007/s10916-009-9265-x}, doi = {10.1007/S10916-009-9265-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HsiehHCWHCTWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HuangHCLHL10, author = {Kuo{-}Hsuan Huang and Sung{-}Huai Hsieh and Yuan{-}Jen Chang and Feipei Lai and Sheau{-}Ling Hsieh and Hsiu{-}Hui Lee}, title = {Application of Portable {CDA} for Secure Clinical-document Exchange}, journal = {J. Medical Syst.}, volume = {34}, number = {4}, pages = {531--539}, year = {2010}, url = {https://doi.org/10.1007/s10916-009-9266-9}, doi = {10.1007/S10916-009-9266-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HuangHCLHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HsiehCCHCWHL10, author = {Sung{-}Huai Hsieh and Po{-}Hsun Cheng and Chi{-}Huang Chen and Kuo{-}Hsuan Huang and Po{-}Hao Chen and Yung{-}Ching Weng and Sheau{-}Ling Hsieh and Feipei Lai}, title = {A Multi-Voting Enhancement for Newborn Screening Healthcare Information System}, journal = {J. Medical Syst.}, volume = {34}, number = {4}, pages = {727--733}, year = {2010}, url = {https://doi.org/10.1007/s10916-009-9287-4}, doi = {10.1007/S10916-009-9287-4}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HsiehCCHCWHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HsuHHCWWL10, author = {Kai{-}Ping Hsu and Sung{-}Huai Hsieh and Sheau{-}Ling Hsieh and Po{-}Hsun Cheng and Yung{-}Ching Weng and Jang{-}Hung Wu and Feipei Lai}, title = {A Newborn Screening System Based on Service-Oriented Architecture Embedded Support Vector Machine}, journal = {J. Medical Syst.}, volume = {34}, number = {5}, pages = {899--907}, year = {2010}, url = {https://doi.org/10.1007/s10916-009-9305-6}, doi = {10.1007/S10916-009-9305-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HsuHHCWWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HsiehHCTSHHL10, author = {Sung{-}Huai Hsieh and I{-}Ching Hou and Po{-}Hsun Cheng and Ching{-}Ting Tan and Po{-}Chao Shen and Kai{-}Ping Hsu and Sheau{-}Ling Hsieh and Feipei Lai}, title = {Design and Implementation of Web-Based Mobile Electronic Medication Administration Record}, journal = {J. Medical Syst.}, volume = {34}, number = {5}, pages = {947--958}, year = {2010}, url = {https://doi.org/10.1007/s10916-009-9310-9}, doi = {10.1007/S10916-009-9310-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HsiehHCTSHHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TungSPL10, author = {I{-}Jui Tung and Kam{-}Tou Sio and Chin{-}Hung Peng and Feipei Lai}, title = {A SystemC content addressable memory power estimation tool for early design verification}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {300--303}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774880}, doi = {10.1109/APCCAS.2010.5774880}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TungSPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SioLP10, author = {Kam{-}Tou Sio and Feipei Lai and Chin{-}Hung Peng}, title = {{CAM} puzzle: {A} power model and function-based circuit segment method of Content Addressable Memory}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {304--307}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774881}, doi = {10.1109/APCCAS.2010.5774881}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SioLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenPL10, author = {Kuan{-}Ju Chen and Chin{-}Hung Peng and Feipei Lai}, title = {Star-type architecture with low transmission latency for a 2D mesh {NOC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {919--922}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774875}, doi = {10.1109/APCCAS.2010.5774875}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangTLT10, author = {Chung{-}Huang Jiang and Kun{-}Lin Tsai and Feipei Lai and Shun{-}Hung Tsai}, title = {Distinguishable error detection method for Network on Chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3733--3736}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537756}, doi = {10.1109/ISCAS.2010.5537756}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangTLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/TingKHWL10, author = {Kuo{-}Chang Ting and Fang{-}Chang Kuo and Bor{-}Jiunn Hwang and Hwang{-}Cheng Wang and Feipei Lai}, title = {An Accurate Power Analysis Model Based on {MAC} Layer for the {DCF} of 802.11n}, booktitle = {{IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA} 2010, Taipei, Taiwan, 6-9 September 2010}, pages = {350--358}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPA.2010.88}, doi = {10.1109/ISPA.2010.88}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/TingKHWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/HuangCLLC09, author = {Kuo{-}Hsuan Huang and Yu{-}Fang Chung and Chia{-}Hui Liu and Feipei Lai and Tzer{-}Shyong Chen}, title = {Efficient migration for mobile computing in distributed networks}, journal = {Comput. Stand. Interfaces}, volume = {31}, number = {1}, pages = {40--47}, year = {2009}, url = {https://doi.org/10.1016/j.csi.2007.10.011}, doi = {10.1016/J.CSI.2007.10.011}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csi/HuangCLLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/LinHLL09, author = {Jen{-}Chiun Lin and Kuo{-}Hsuan Huang and Feipei Lai and Hung{-}Chang Lee}, title = {Secure and efficient group key management with shared key derivation}, journal = {Comput. Stand. Interfaces}, volume = {31}, number = {1}, pages = {192--208}, year = {2009}, url = {https://doi.org/10.1016/j.csi.2007.11.005}, doi = {10.1016/J.CSI.2007.11.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csi/LinHLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/HuangCLLC09a, author = {Kuo{-}Hsuan Huang and Yu{-}Fang Chung and Hsiu{-}Hui Lee and Feipei Lai and Tzer{-}Shyong Chen}, title = {A conference key agreement protocol with fault-tolerant capability}, journal = {Comput. Stand. Interfaces}, volume = {31}, number = {2}, pages = {401--405}, year = {2009}, url = {https://doi.org/10.1016/j.csi.2008.05.015}, doi = {10.1016/J.CSI.2008.05.015}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csi/HuangCLLC09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LinLCL09, author = {Bor{-}Shyh Lin and Bor{-}Shing Lin and Fok{-}Ching Chong and Feipei Lai}, title = {Higher Order Statistics-Based Radial Basis Function Network for Evoked Potentials}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {56}, number = {1}, pages = {93--100}, year = {2009}, url = {https://doi.org/10.1109/TBME.2008.2002124}, doi = {10.1109/TBME.2008.2002124}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/LinLCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/TingLLL09, author = {Kuo{-}Chang Ting and Hung{-}Chang Lee and Hsiu{-}Hui Lee and Feipei Lai}, title = {An idle listening-aware energy efficient scheme for the {DCF} of 802.11n}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {55}, number = {2}, pages = {447--454}, year = {2009}, url = {https://doi.org/10.1109/TCE.2009.5174406}, doi = {10.1109/TCE.2009.5174406}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/TingLLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/HsiehHSCCHCL09, author = {Sung{-}Huai Hsieh and Sheau{-}Ling Hsieh and Chia{-}Ping Shen and Wei{-}Hsin Chen and Po{-}Hsun Cheng and Kai{-}Ping Hsu and Chi{-}Huang Chen and Feipei Lai}, editor = {Jeffrey J. P. Tsai and Phillip C.{-}Y. Sheu and Han C. W. Hsiao}, title = {Web Services Based Bio-signal System Leveraging Support Vector Machines}, booktitle = {Ninth {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2009, June 22-24, 2009, Taichung, Taiwan}, pages = {254--259}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BIBE.2009.71}, doi = {10.1109/BIBE.2009.71}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibe/HsiehHSCCHCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/HsiehCSCCHCL09, author = {Sung{-}Huai Hsieh and Yin{-}Hsiu Chien and Chia{-}Ping Shen and Wei{-}Hsin Chen and Po{-}Hao Chen and Sheau{-}Ling Hsieh and Po{-}Hsun Cheng and Feipei Lai}, editor = {Jeffrey J. P. Tsai and Phillip C.{-}Y. Sheu and Han C. W. Hsiao}, title = {Newborn Screening System Based on Adaptive Feature Selection and Support Vector Machines}, booktitle = {Ninth {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2009, June 22-24, 2009, Taichung, Taiwan}, pages = {344--347}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BIBE.2009.72}, doi = {10.1109/BIBE.2009.72}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibe/HsiehCSCCHCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocomp/HsiehHHLHCCL09, author = {Sung{-}Huai Hsieh and Sheau{-}Ling Hsieh and I{-}Ching Hou and I{-}Shun Lee and Kai{-}Ping Hsu and Chi{-}Huang Chen and Po{-}Hsun Cheng and Feipei Lai}, editor = {Hamid R. Arabnia and Mary Qu Yang}, title = {Using Ensemble Classifier Learning for Cancer Classification}, booktitle = {International Conference on Bioinformatics {\&} Computational Biology, {BIOCOMP} 2009, July 13-16, 2009, Las Vegas Nevada, USA, 2 Volumes}, pages = {758--763}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocomp/HsiehHHLHCCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocomp/WuCHLCCWL09, author = {Jung{-}Hsuan Wu and Yee{-}Chun Chen and Sung{-}Huai Hsieh and Hui{-}Chi Lin and Ying{-}Yu Chen and Po{-}Hsun Cheng and Farn Wang and Feipei Lai}, editor = {Hamid R. Arabnia and Mary Qu Yang}, title = {Real-time Automated {MDRO} Surveillance System}, booktitle = {International Conference on Bioinformatics {\&} Computational Biology, {BIOCOMP} 2009, July 13-16, 2009, Las Vegas Nevada, USA, 2 Volumes}, pages = {764--769}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocomp/WuCHLCCWL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChengCLL08, author = {Po{-}Hsun Cheng and Sao{-}Jie Chen and Jin{-}Shin Lai and Feipei Lai}, title = {A Collaborative Knowledge Management Process for Implementing Healthcare Enterprise Information Systems}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {91-D}, number = {6}, pages = {1664--1672}, year = {2008}, url = {https://doi.org/10.1093/ietisy/e91-d.6.1664}, doi = {10.1093/IETISY/E91-D.6.1664}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChengCLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChungLLC08, author = {Yu{-}Fang Chung and Hsiu{-}Hui Lee and Feipei Lai and Tzer{-}Shyong Chen}, title = {Access control in user hierarchy based on elliptic curve cryptosystem}, journal = {Inf. Sci.}, volume = {178}, number = {1}, pages = {230--243}, year = {2008}, url = {https://doi.org/10.1016/j.ins.2007.08.001}, doi = {10.1016/J.INS.2007.08.001}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ChungLLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ChungHLLC08, author = {Yu{-}Fang Chung and Kuo{-}Hsuan Huang and Hsiu{-}Hui Lee and Feipei Lai and Tzer{-}Shyong Chen}, title = {Bidder-anonymous English auction scheme with privacy and public verifiability}, journal = {J. Syst. Softw.}, volume = {81}, number = {1}, pages = {113--119}, year = {2008}, url = {https://doi.org/10.1016/j.jss.2007.03.029}, doi = {10.1016/J.JSS.2007.03.029}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/ChungHLLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/LinHTL08, author = {Jeng{-}Wei Lin and Jan{-}Ming Ho and Li{-}Ming Tseng and Feipei Lai}, title = {Variant Chinese Domain Name Resolution}, journal = {{ACM} Trans. Asian Lang. Inf. Process.}, volume = {7}, number = {4}, pages = {11:1--11:29}, year = {2008}, url = {https://doi.org/10.1145/1450295.1450296}, doi = {10.1145/1450295.1450296}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/talip/LinHTL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sose/HsiehHCWWL08, author = {Sung{-}Huai Hsieh and Sheau{-}Ling Hsieh and Yin{-}Hsiu Chien and Zhenyu Wang and Yung{-}Ching Weng and Feipei Lai}, editor = {Jonathan Lee and Deron Liang and Y. C. Cheng}, title = {A Newborn Screening System Based on Service-Oriented Architecture Embedded Support Vector Machine}, booktitle = {The Fourth {IEEE} International Symposium on Service-Oriented System Engineering, {SOSE} 2008, 18-19 December 2008, Jhongli, Taiwan}, pages = {196--201}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/SOSE.2008.58}, doi = {10.1109/SOSE.2008.58}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sose/HsiehHCWWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/ChungHLC07, author = {Yu{-}Fang Chung and Kuo{-}Hsuan Huang and Feipei Lai and Tzer{-}Shyong Chen}, title = {ID-based digital signature scheme on the elliptic curve cryptosystem}, journal = {Comput. Stand. Interfaces}, volume = {29}, number = {6}, pages = {601--604}, year = {2007}, url = {https://doi.org/10.1016/j.csi.2007.01.004}, doi = {10.1016/J.CSI.2007.01.004}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csi/ChungHLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/ChenCYFSL07, author = {Hung{-}Ming Chen and Po{-}Hung Chen and Kuo{-}Liang Yeh and Wen{-}Hsien Fang and Mon{-}Chau Shie and Feipei Lai}, title = {Center of Mass-Based Adaptive Fast Block Motion Estimation}, journal = {{EURASIP} J. Image Video Process.}, volume = {2007}, year = {2007}, url = {https://doi.org/10.1155/2007/65242}, doi = {10.1155/2007/65242}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejivp/ChenCYFSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/LinCL07, author = {Bor{-}Shyh Lin and Bor{-}Shing Lin and Fok{-}Ching Chong and Feipei Lai}, title = {Higher-Order-Statistics-Based Radial Basis Function Networks for Signal Enhancement}, journal = {{IEEE} Trans. Neural Networks}, volume = {18}, number = {3}, pages = {823--832}, year = {2007}, url = {https://doi.org/10.1109/TNN.2007.891185}, doi = {10.1109/TNN.2007.891185}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/LinCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/HsiehHWYLCPJLPHKCH07, author = {Sung{-}Huai Hsieh and Sheau{-}Ling Hsieh and Yung{-}Ching Weng and Tzu{-}Hsiang Yang and Feipei Lai and Po{-}Hsun Cheng and Xiao{-}Ou Ping and Mao{-}yu Jan and Jen{-}Chiun Lin and Chin{-}Hung Peng and K. H. Huang and L. F. Ko and Chi{-}Huang Chen and Kai{-}Ping Hsu}, title = {Middleware based Inpatient Healthcare Information System}, booktitle = {Proceedings of the 7th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2007, October 14-17, 2007, Harvard Medical School, Boston, MA, {USA}}, pages = {1230--1234}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/BIBE.2007.4375721}, doi = {10.1109/BIBE.2007.4375721}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibe/HsiehHWYLCPJLPHKCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iat/WengHHL07, author = {Yung{-}Ching Weng and Sheau{-}Ling Hsieh and Sung{-}Huai Hsieh and Feipei Lai}, title = {Design and Enhance a Dynamic Healthcare Portal Site}, booktitle = {Proceedings of the 2007 {IEEE/WIC/ACM} International Conference on Web Intelligence and International Conference on Intelligent Agent Technology - Workshops, 2-5 November 2007, Silicon Valley, CA, {USA}}, pages = {173--176}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/WIIATW.2007.4427565}, doi = {10.1109/WIIATW.2007.4427565}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iat/WengHHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswcs/TingLL07, author = {Kuo{-}Chang Ting and Hsiu{-}Hui Lee and Feipei Lai}, editor = {Matthias P{\"{a}}tzold and Yuming Jiang and Yan Zhang}, title = {Design and Analysis of Enhanced Grouping {DCF} Scheme for the {MAC} Layer Enhancement of 802.11n with Ultra-high Data Rate}, booktitle = {Proceedings of the 4th {IEEE} International Symposium on Wireless Communication Systems, {ISWCS} 2007, Trondheim, Norway, 16-19 October 2007}, pages = {252--256}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISWCS.2007.4392340}, doi = {10.1109/ISWCS.2007.4392340}, timestamp = {Tue, 15 Jun 2021 11:10:56 +0200}, biburl = {https://dblp.org/rec/conf/iswcs/TingLL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/ChenCHFSL06, author = {Po{-}Hung Chen and Hung{-}Ming Chen and Kuo{-}Jui Hung and Wen{-}Hsien Fang and Mon{-}Chau Shie and Feipei Lai}, title = {Markov model fuzzy-reasoning based algorithm for fast block motion estimation}, journal = {J. Vis. Commun. Image Represent.}, volume = {17}, number = {1}, pages = {131--142}, year = {2006}, url = {https://doi.org/10.1016/j.jvcir.2005.09.001}, doi = {10.1016/J.JVCIR.2005.09.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/ChenCHFSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/LinCHL06, author = {Jeng{-}Wei Lin and Ray{-}I Chang and Jan{-}Ming Ho and Feipei Lai}, title = {{FOS:} {A} Funnel-Based Approach for Optimal Online Traffic Smoothing of Live Video}, journal = {{IEEE} Trans. Multim.}, volume = {8}, number = {5}, pages = {996--1004}, year = {2006}, url = {https://doi.org/10.1109/TMM.2006.879868}, doi = {10.1109/TMM.2006.879868}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/LinCHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toit/LinHTL06, author = {Jeng{-}Wei Lin and Jan{-}Ming Ho and Li{-}Ming Tseng and Feipei Lai}, title = {{IDN} server proxy architecture for Internationalized Domain Name resolution and experiences with providing Web services}, journal = {{ACM} Trans. Internet Techn.}, volume = {6}, number = {1}, pages = {1--19}, year = {2006}, url = {https://doi.org/10.1145/1125274.1125275}, doi = {10.1145/1125274.1125275}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toit/LinHTL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/LinLCL06, author = {Bor{-}Shyh Lin and Bor{-}Shing Lin and Fok{-}Ching Chong and Feipei Lai}, title = {A Functional Link Network With Higher Order Statistics for Signal Enhancement}, journal = {{IEEE} Trans. Signal Process.}, volume = {54}, number = {12}, pages = {4821--4826}, year = {2006}, url = {https://doi.org/10.1109/TSP.2006.882075}, doi = {10.1109/TSP.2006.882075}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/LinLCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/YangCYLCLHCTS06, author = {Tzu{-}Hsiang Yang and Po{-}Hsun Cheng and C. H. Yang and Feipei Lai and C. L. Chen and Hsiu{-}Hui Lee and Kai{-}Ping Hsu and Chi{-}Huang Chen and Ching{-}Ting Tan and Yeali S. Sun}, title = {A Scalable Multi-tier Architecture for the National Taiwan University Hospital Information System based on {HL7} Standard}, booktitle = {19th {IEEE} International Symposium on Computer-Based Medical Systems {(CBMS} 2006), 22-23 June 2006, Salt Lake City, Utah, {USA}}, pages = {99--104}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/CBMS.2006.27}, doi = {10.1109/CBMS.2006.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/YangCYLCLHCTS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cis/ChungWLC06, author = {Yu{-}Fang Chung and Zhen Yu Wu and Feipei Lai and Tzer{-}Shyong Chen}, editor = {Yuping Wang and Yiu{-}ming Cheung and Hai{-}Lin Liu}, title = {A Novel ID-Based Threshold Ring Signature Scheme Competent for Anonymity and Anti-forgery}, booktitle = {Computational Intelligence and Security, International Conference, {CIS} 2006, Guangzhou, China, November 3-6, 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4456}, pages = {502--512}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-3-540-74377-4\_53}, doi = {10.1007/978-3-540-74377-4\_53}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cis/ChungWLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ctrsa/WangYHL06, author = {Lih{-}Chung Wang and Bo{-}Yin Yang and Yuh{-}Hua Hu and Feipei Lai}, editor = {David Pointcheval}, title = {A "Medium-Field" Multivariate Public-Key Encryption Scheme}, booktitle = {Topics in Cryptology - {CT-RSA} 2006, The Cryptographers' Track at the {RSA} Conference 2006, San Jose, CA, USA, February 13-17, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3860}, pages = {132--149}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11605805\_9}, doi = {10.1007/11605805\_9}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/ctrsa/WangYHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HsiehLCCLTWHHKY06, author = {Sheau{-}Ling Hsieh and Feipei Lai and Po{-}Hsun Cheng and J. L. Chen and Hsiu{-}Hui Lee and Wen{-}Nung Tsai and Yung{-}Ching Weng and Sung{-}Huai Hsieh and Kai{-}Ping Hsu and Li{-}Fan Ko and Tzu{-}Hsiang Yang and C. H. Chen}, title = {An Integrated Healthcare Enterprise Information Portal and Healthcare Information System Framework}, booktitle = {28th International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2006, New York City, NY, USA, August 30 - September 3, 2006, Main Volume}, pages = {4731--4734}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IEMBS.2006.260715}, doi = {10.1109/IEMBS.2006.260715}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/HsiehLCCLTWHHKY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/TingJHLL06, author = {Kuo{-}Chang Ting and Mao{-}yu Jan and Sung{-}Huai Hsieh and Hsiu{-}Hui Lee and Feipei Lai}, title = {{GDCF:} Grouping {DCF} for the {MAC} layer enhancement of 802.11}, booktitle = {Proceedings of the Global Telecommunications Conference, 2006. {GLOBECOM} '06, San Francisco, CA, USA, 27 November - 1 December 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/GLOCOM.2006.815}, doi = {10.1109/GLOCOM.2006.815}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/TingJHLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiLRL06, author = {Kun{-}Lin Tsai and Ju{-}Yueh Lee and Shanq{-}Jang Ruan and Feipei Lai}, title = {Low power scheduling method using multiple supply voltages}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693828}, doi = {10.1109/ISCAS.2006.1693828}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiLRL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isw/HuCWL06, author = {Yuh{-}Hua Hu and Chun{-}yen Chou and Lih{-}Chung Wang and Feipei Lai}, editor = {Sokratis K. Katsikas and Javier L{\'{o}}pez and Michael Backes and Stefanos Gritzalis and Bart Preneel}, title = {Cryptanalysis of Variants of {UOV}}, booktitle = {Information Security, 9th International Conference, {ISC} 2006, Samos Island, Greece, August 30 - September 2, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4176}, pages = {161--170}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11836810\_12}, doi = {10.1007/11836810\_12}, timestamp = {Thu, 29 Aug 2019 08:10:00 +0200}, biburl = {https://dblp.org/rec/conf/isw/HuCWL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/ChungWLC06, author = {Yu{-}Fang Chung and Zhen Yu Wu and Feipei Lai and Tzer{-}Shyong Chen}, title = {Anonymous Signcryption in Ring Signature Scheme over Elliptic Curve Cryptosystem}, booktitle = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, publisher = {Atlantis Press}, year = {2006}, url = {https://doi.org/10.2991/jcis.2006.235}, doi = {10.2991/JCIS.2006.235}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/jcis/ChungWLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/ChungWLC06a, author = {Yu{-}Fang Chung and Zhen Yu Wu and Feipei Lai and Tzer{-}Shyong Chen}, title = {Unconditionally Secure Cryptosystem Based on Quantum Cryptography}, booktitle = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, publisher = {Atlantis Press}, year = {2006}, url = {https://doi.org/10.2991/jcis.2006.236}, doi = {10.2991/JCIS.2006.236}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcis/ChungWLC06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobility/TingJHLL06, author = {Kuo{-}Chang Ting and Mao{-}yu Jan and Sung{-}Huai Hsieh and Hsiu{-}Hui Lee and Feipei Lai}, title = {Design and analysis of grouping-based {DCF} {(GB-DCF)} scheme for the {MAC} layer enhancement of 802.11 and 802.11e}, booktitle = {Proceedings of the 3rd international conference on Mobile technology, applications {\&} systems, Mobility '06, Bangkok, Thailand, October 25-27, 2006}, pages = {7}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1292331.1292340}, doi = {10.1145/1292331.1292340}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobility/TingJHLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/TingJHLL06, author = {Kuo{-}Chang Ting and Mao{-}yu Jan and Sung{-}Huai Hsieh and Hsiu{-}Hui Lee and Feipei Lai}, editor = {Enrique Alba and Carla{-}Fabiana Chiasserini and Nael B. Abu{-}Ghazaleh and Renato Lo Cigno}, title = {Design and analysis of grouping-based {DCF} {(GB-DCF)} scheme for the {MAC} layer enhancement of 802.11 and 802.11n}, booktitle = {Proceedings of the 9th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2006, Terromolinos, Spain, October 2-6, 2006}, pages = {255--264}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1164717.1164762}, doi = {10.1145/1164717.1164762}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mswim/TingJHLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/ChungLLC06, author = {Yu{-}Fang Chung and Chia{-}Hui Liu and Feipei Lai and Tzer{-}Shyong Chen}, title = {Threshold Signature Scheme Resistible for Conspiracy Attack}, booktitle = {Seventh International Conference on Parallel and Distributed Computing, Applications and Technologies {(PDCAT} 2006), 4-7 December 2006, Taipei, Taiwan}, pages = {479--483}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/PDCAT.2006.111}, doi = {10.1109/PDCAT.2006.111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/ChungLLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/LinLCL05, author = {Bor{-}Shyh Lin and Bor{-}Shing Lin and Fok{-}Ching Chong and Feipei Lai}, title = {Adaptive filtering of evoked potentials using higher-order adaptive signal enhancer with genetic-type variable step-size prefilter}, journal = {Medical Biol. Eng. Comput.}, volume = {43}, number = {5}, pages = {638--647}, year = {2005}, url = {https://doi.org/10.1007/BF02351038}, doi = {10.1007/BF02351038}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mbec/LinLCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ChangL05, author = {Yen{-}Jen Chang and Feipei Lai}, title = {Dynamic Zero-Sensitivity Scheme for Low-Power Cache Memories}, journal = {{IEEE} Micro}, volume = {25}, number = {4}, pages = {20--32}, year = {2005}, url = {https://doi.org/10.1109/MM.2005.64}, doi = {10.1109/MM.2005.64}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ChangL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/RuanTNL05, author = {Shanq{-}Jang Ruan and Kun{-}Lin Tsai and Edwin Naroska and Feipei Lai}, title = {Bipartitioning and encoding in low-power pipelined circuits}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {10}, number = {1}, pages = {24--32}, year = {2005}, url = {https://doi.org/10.1145/1044111.1044114}, doi = {10.1145/1044111.1044114}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/RuanTNL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cans/HuWCL05, author = {Yuh{-}Hua Hu and Lih{-}Chung Wang and Chun{-}yen Chou and Feipei Lai}, editor = {Yvo Desmedt and Huaxiong Wang and Yi Mu and Yongqing Li}, title = {Similar Keys of Multivariate Quadratic Public Key Cryptosystems}, booktitle = {Cryptology and Network Security, 4th International Conference, {CANS} 2005, Xiamen, China, December 14-16, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3810}, pages = {211--222}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11599371\_18}, doi = {10.1007/11599371\_18}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/cans/HuWCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icita/LinTHL05, author = {Jeng{-}Wei Lin and Li{-}Ming Tseng and Jan{-}Ming Ho and Feipei Lai}, title = {A Web Redirection Service for Variant Chinese Domain Name Resolution}, booktitle = {Third International Conference on Information Technology and Applications {(ICITA} 2005), 4-7 July 2005, Sydney, Australia}, pages = {543--548}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICITA.2005.50}, doi = {10.1109/ICITA.2005.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icita/LinTHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiCLR05, author = {Kun{-}Lin Tsai and Szu{-}Wei Chaung and Feipei Lai and Shanq{-}Jang Ruan}, title = {A low power scheduling method using dual V\({}_{\mbox{dd}}\) and dual V\({}_{\mbox{th}}\)}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {684--687}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464680}, doi = {10.1109/ISCAS.2005.1464680}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiCLR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/LinLL05, author = {Jen{-}Chiun Lin and Feipei Lai and Hung{-}Chang Lee}, title = {Efficient Group Key Management Protocol with One-Way Key Derivation}, booktitle = {30th Annual {IEEE} Conference on Local Computer Networks {(LCN} 2005), 15-17 November 2005, Sydney, Australia, Proceedings}, pages = {336--343}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/LCN.2005.61}, doi = {10.1109/LCN.2005.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/LinLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkc/WangHLCY05, author = {Lih{-}Chung Wang and Yuh{-}Hua Hu and Feipei Lai and Chun{-}yen Chou and Bo{-}Yin Yang}, editor = {Serge Vaudenay}, title = {Tractable Rational Map Signature}, booktitle = {Public Key Cryptography - {PKC} 2005, 8th International Workshop on Theory and Practice in Public Key Cryptography, Les Diablerets, Switzerland, January 23-26, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3386}, pages = {244--257}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-30580-4\_17}, doi = {10.1007/978-3-540-30580-4\_17}, timestamp = {Fri, 01 May 2020 18:32:25 +0200}, biburl = {https://dblp.org/rec/conf/pkc/WangHLCY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChangLY04, author = {Yen{-}Jen Chang and Feipei Lai and Chia{-}Lin Yang}, title = {Zero-aware asymmetric {SRAM} cell for reducing cache power in writing zero}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {12}, number = {8}, pages = {827--836}, year = {2004}, url = {https://doi.org/10.1109/TVLSI.2004.831471}, doi = {10.1109/TVLSI.2004.831471}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChangLY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/LinTLL04, author = {Jen{-}Chiun Lin and Chien{-}Hua Tzeng and Feipei Lai and Hung{-}Chang Lee}, title = {Optimizing Centralized Secure Group Communications with Binary Key Tree Recomposition}, booktitle = {18th International Conference on Advanced Information Networking and Applications {(AINA} 2004), 29-31 March 2004, Fukuoka, Japan}, pages = {202--207}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/AINA.2004.1283911}, doi = {10.1109/AINA.2004.1283911}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/LinTLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChangYL04, author = {Yen{-}Jen Chang and Chia{-}Lin Yang and Feipei Lai}, title = {Value-Conscious Cache: Simple Technique for Reducing Cache Access Power}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {16--21}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268821}, doi = {10.1109/DATE.2004.1268821}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChangYL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/LinCHL04, author = {Jeng{-}Wei Lin and Ray{-}I Chang and Jan{-}Ming Ho and Feipei Lai}, editor = {Kiyoharu Aizawa and Yuichi Nakamura and Shin'ichi Satoh}, title = {Aggressive Traffic Smoothing for Delivery of Online Multimedia}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2004, 5th Pacific Rim Conference on Multimedia, Tokyo, Japan, November 30 - December 3, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3331}, pages = {114--121}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30541-5\_15}, doi = {10.1007/978-3-540-30541-5\_15}, timestamp = {Fri, 10 Mar 2023 14:55:31 +0100}, biburl = {https://dblp.org/rec/conf/pcm/LinCHL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChangWL04, author = {Yen{-}Jen Chang and Yung{-}Ching Weng and Feipei Lai}, editor = {Hisham Haddad and Andrea Omicini and Roger L. Wainwright and Lorie M. Liebrock}, title = {Enhanced object management for high performance web proxies}, booktitle = {Proceedings of the 2004 {ACM} Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004}, pages = {1711--1716}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/967900.968239}, doi = {10.1145/967900.968239}, timestamp = {Tue, 06 Nov 2018 11:06:44 +0100}, biburl = {https://dblp.org/rec/conf/sac/ChangWL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChangRL03, author = {Yen{-}Jen Chang and Shanq{-}Jang Ruan and Feipei Lai}, title = {Design and analysis of low-power cache using two-level filter scheme}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {4}, pages = {568--580}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.812292}, doi = {10.1109/TVLSI.2003.812292}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChangRL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aPcsac/TsaiLRC03, author = {Kun{-}Lin Tsai and Feipei Lai and Shanq{-}Jang Ruan and Szu{-}Wei Chaung}, editor = {Amos Omondi and Stanislav Sedukhin}, title = {State Reordering for Low Power Combinational Logic}, booktitle = {Advances in Computer Systems Architecture, 8th Asia-Pacific Conference, {ACSAC} 2003, Aizu-Wakamatsu, Japan, September 23-26, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2823}, pages = {268--276}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39864-6\_22}, doi = {10.1007/978-3-540-39864-6\_22}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aPcsac/TsaiLRC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NaroskaRHMLS03, author = {Edwin Naroska and Shanq{-}Jang Ruan and Chia{-}Lin Ho and Said Mchaalia and Feipei Lai and Uwe Schwiegelshohn}, editor = {Hiroto Yasuura}, title = {A novel approach for digital waveform compression}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {712--715}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119931}, doi = {10.1145/1119772.1119931}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/NaroskaRHMLS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/ChenCPL03, author = {Hung{-}Ming Chen and Po{-}Hung Chen and Tai{-}Jee Pan and Feipei Lai}, title = {Designing platform-based system power management on a smart tablet appliance}, booktitle = {Proceedings of the 2003 10th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2003, Sharjah, United Arab Emirates, December 14-17, 2003}, pages = {316--319}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICECS.2003.1302040}, doi = {10.1109/ICECS.2003.1302040}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/ChenCPL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaroskaRLSL03, author = {Edwin Naroska and Shanq{-}Jang Ruan and Feipei Lai and Uwe Schwiegelshohn and Le{-}Chin Liu}, title = {On optimizing power and crosstalk for bus coupling capacitance using genetic algorithms}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {277--280}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206253}, doi = {10.1109/ISCAS.2003.1206253}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaroskaRLSL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChangYL03, author = {Yen{-}Jen Chang and Chia{-}Lin Yang and Feipei Lai}, editor = {Ingrid Verbauwhede and Hyung Roh}, title = {A power-aware {SWDR} cell for reducing cache write power}, booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, pages = {14--17}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/871506.871513}, doi = {10.1145/871506.871513}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ChangYL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RuanNCLS02, author = {Shanq{-}Jang Ruan and Edwin Naroska and Yen{-}Jen Chang and Feipei Lai and Uwe Schwiegelshohn}, title = {{ENPCO:} an entropy-based partition-codec algorithm to reduce power for bipartition-codec architecture in pipelined circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {10}, number = {6}, pages = {942--949}, year = {2002}, url = {https://doi.org/10.1109/TVLSI.2002.808422}, doi = {10.1109/TVLSI.2002.808422}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RuanNCLS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/RuanNCHL02, author = {Shanq{-}Jang Ruan and Edwin Naroska and Yen{-}Jen Chang and Chia{-}Lin Ho and Feipei Lai}, title = {Energy analysis of bipartition architecture for pipelined circuits}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS} 2002, Singapore, 16-18 December 2002}, pages = {7--11}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/APCCAS.2002.1115096}, doi = {10.1109/APCCAS.2002.1115096}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/RuanNCHL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChangL02, author = {Yen{-}Jen Chang and Feipei Lai}, title = {Paged cache: an efficient partition architecture for reducing power, area and access time}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS} 2002, Singapore, 16-18 December 2002}, pages = {473--478}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/APCCAS.2002.1115309}, doi = {10.1109/APCCAS.2002.1115309}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChangL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RuanNHL02, author = {Shanq{-}Jang Ruan and Edwin Naroska and Chia{-}Lin Ho and Feipei Lai}, title = {Power Analysis of Bipartition and Dual-Encoding Architecture for Pipelined Circuits}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {327}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106790}, doi = {10.1109/ICCD.2002.1106790}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RuanNHL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChangLR02, author = {Yen{-}Jen Chang and Feipei Lai and Shanq{-}Jang Ruan}, title = {Cache Design for Eliminating the Address Translation Bottleneck and Reducing the Tag Area Cost}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {334--339}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106791}, doi = {10.1109/ICCD.2002.1106791}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChangLR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwls/ChangLR02, author = {Yen{-}Jen Chang and Feipei Lai and Shanq{-}Jang Ruan}, title = {An Efficient Two-Level Filter Scheme for Low Power Cache}, booktitle = {11th {IEEE/ACM} International Workshop on Logic {\&} Synthesis, {IWLS} 2002, June 4-7, 2002, New Orleans, Louisiana, {USA}}, pages = {61--66}, year = {2002}, timestamp = {Sun, 04 Aug 2019 18:01:44 +0200}, biburl = {https://dblp.org/rec/conf/iwls/ChangLR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/LinCLW02, author = {Jen{-}Chiun Lin and Chun{-}yen Chou and Feipei Lai and Kuen{-}Pin Wu}, title = {A Distributed Key Management Protocol for Dynamic Groups}, booktitle = {27th Annual {IEEE} Conference on Local Computer Networks {(LCN} 2002), 6-8 November 2002, Tampa, FL, USA, Proceedings}, pages = {113--122}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/LCN.2002.1181773}, doi = {10.1109/LCN.2002.1181773}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/LinCLW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/NaroskaLSS01, author = {Edwin Naroska and Feipei Lai and Rung{-}Ji Shang and Uwe Schwiegelshohn}, title = {Efficient parallel timing simulation of synchronous models on networks of workstations}, journal = {J. Syst. Archit.}, volume = {47}, number = {6}, pages = {517--528}, year = {2001}, url = {https://doi.org/10.1016/S1383-7621(01)00023-6}, doi = {10.1016/S1383-7621(01)00023-6}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/NaroskaLSS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RuanSLT01, author = {Shanq{-}Jang Ruan and Rung{-}Ji Shang and Feipei Lai and Kun{-}Lin Tsai}, title = {A bipartition-codec architecture to reduce power in pipelinedcircuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {2}, pages = {343--348}, year = {2001}, url = {https://doi.org/10.1109/43.908477}, doi = {10.1109/43.908477}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RuanSLT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aPcsac/HwangL01, author = {Shu{-}Lin Hwang and Feipei Lai}, title = {Two Cache Lines Prediction for a Wide-Issue Micro-architecture}, booktitle = {6th Australasian Computer Systems Architecture Conference {(ACSAC} 2001), 29-30 January 2001, Gold Coast, Queensland, Australia}, pages = {71--79}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ACAC.2001.903361}, doi = {10.1109/ACAC.2001.903361}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aPcsac/HwangL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenRWHLT01, author = {Po{-}Hung Chen and Shanq{-}Jang Ruan and Kuen{-}Pin Wu and Dai{-}Xun Hu and Feipei Lai and Kun{-}Lin Tsai}, title = {An entropy-based algorithm to reduce area overhead for bipartition-codec architecture}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {49--52}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.921981}, doi = {10.1109/ISCAS.2001.921981}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenRWHLT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RuanLCTL01, author = {Shanq{-}Jang Ruan and Jen{-}Chiun Lin and Po{-}Hung Chen and Kun{-}Lin Tsai and Feipei Lai}, title = {Synthesis of partition-codec architecture for low power and small area circuit design}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {523--526}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.922100}, doi = {10.1109/ISCAS.2001.922100}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RuanLCTL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/TsengWLCL01, author = {Chih{-}Kuang Tseng and Kuen{-}Pin Wu and Jen{-}Chiun Lin and Chun{-}yen Chou and Feipei Lai}, title = {A Constant Size Rekeying Message Framework for Secure Multicasting}, booktitle = {26th Annual {IEEE} Conference on Local Computer Networks {(LCN} 2001), 14-16 November 2001, Tampa, Florida, USA, Proceedings}, pages = {380--385}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/LCN.2001.990813}, doi = {10.1109/LCN.2001.990813}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/TsengWLCL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/PanWSL00, author = {Kuang{-}Hung Pan and Hsiao{-}Kuang Wu and Rung{-}Ji Shang and Feipei Lai}, title = {Performance analysis of broadcast in mobile ad hoc networks with synchronized and non-synchronized reception}, journal = {Comput. Commun.}, volume = {23}, number = {5-6}, pages = {511--524}, year = {2000}, url = {https://doi.org/10.1016/S0140-3664(99)00205-4}, doi = {10.1016/S0140-3664(99)00205-4}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/PanWSL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/NaroskaSLS00, author = {Edwin Naroska and Rung{-}Ji Shang and Feipei Lai and Uwe Schwiegelshohn}, title = {Hybrid Parallel Circuit Simulation Approaches}, booktitle = {Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000}, pages = {261--270}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/PACT.2000.888350}, doi = {10.1109/PACT.2000.888350}, timestamp = {Tue, 31 May 2022 13:36:12 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/NaroskaSLS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/RuanLCLTY00, author = {Shanq{-}Jang Ruan and Jen{-}Chiun Lin and Po{-}Hung Chen and Feipei Lai and Kun{-}Lin Tsai and Chung{-}Wei Yu}, title = {An effective output-oriented algorithm for low power multipartition architecture}, booktitle = {Proceedings of the 2000 7th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2000, Jounieh, Lebanon, December 17-20, 2000}, pages = {609--612}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICECS.2000.911613}, doi = {10.1109/ICECS.2000.911613}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/RuanLCLTY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/WuRLT00, author = {Kuen{-}Pin Wu and Shanq{-}Jang Ruan and Feipei Lai and Chih{-}Kuang Tseng}, title = {On Key Distribution in Secure Multicasting}, booktitle = {Proceedings 27th Conference on Local Computer Networks, Tampa, Florida, USA, 8-10 November, 2000}, pages = {208--212}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/LCN.2000.891029}, doi = {10.1109/LCN.2000.891029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/WuRLT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/JengLT99, author = {I{-}Horng Jeng and Feipei Lai and Yuh{-}Dar Tseng}, title = {{FACE:} Fine-tuned Architecture Codesign Environment for {ASIP} Development}, journal = {Des. Autom. Embed. Syst.}, volume = {4}, number = {4}, pages = {329--351}, year = {1999}, url = {https://doi.org/10.1023/A:1008973722314}, doi = {10.1023/A:1008973722314}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/JengLT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/PanWSL99, author = {Kuang{-}Hung Pan and Hsiao{-}Kuang Wu and Rung{-}Ji Shang and Feipei Lai}, editor = {Peter M. A. Sloot and Marian Bubak and Alfons G. Hoekstra and Louis O. Hertzberger}, title = {Performance Analysis of Broadcast in Synchronized Multihop Wireless Networks}, booktitle = {High-Performance Computing and Networking, 7th International Conference, {HPCN} Europe 1999, Amsterdam, The Netherlands, April 12-14, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1593}, pages = {493--502}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/BFb0100610}, doi = {10.1007/BFB0100610}, timestamp = {Sun, 12 Nov 2023 02:09:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcn/PanWSL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RuanSLCH99, author = {Shanq{-}Jang Ruan and Rung{-}Ji Shang and Feipei Lai and Shyh{-}Jong Chen and Xian{-}Jun Huang}, editor = {Jacob K. White and Ellen Sentovich}, title = {A bipartition-codec architecture to reduce power in pipelined circuits}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {84--90}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810627}, doi = {10.1109/ICCAD.1999.810627}, timestamp = {Mon, 08 May 2023 21:43:38 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RuanSLCH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/ShenL98, author = {Victor R. L. Shen and Feipei Lai}, title = {Requirements specification and analysis of digital systems using fuzzy and marked Petri nets}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {B}}, volume = {28}, number = {5}, pages = {748--754}, year = {1998}, url = {https://doi.org/10.1109/3477.718525}, doi = {10.1109/3477.718525}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/ShenL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/ChouHYL97, author = {Seng{-}cho Timothy Chou and Hsien{-}jung Hsu and Chau{-}Chen Yang and Feipei Lai}, title = {A stock selection {DSS} combining {AI} and technical analysis}, journal = {Ann. Oper. Res.}, volume = {75}, pages = {335--353}, year = {1997}, url = {https://doi.org/10.1023/A\%3A1018923916424}, doi = {10.1023/A\%3A1018923916424}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/ChouHYL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/DengL97, author = {Jyh{-}Yuan Deng and Feipei Lai}, title = {Region-based template deformation and masking for eye-feature extraction and description}, journal = {Pattern Recognit.}, volume = {30}, number = {3}, pages = {403--419}, year = {1997}, url = {https://doi.org/10.1016/S0031-3203(96)00086-6}, doi = {10.1016/S0031-3203(96)00086-6}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/DengL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChangL96, author = {Meng{-}chou Chang and Feipei Lai}, title = {Efficient Exploitation of Instruction-Level Parallelism for Superscalar Processors by the Conjugate Register File Scheme}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {3}, pages = {278--293}, year = {1996}, url = {https://doi.org/10.1109/12.485567}, doi = {10.1109/12.485567}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ChangL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/ChangLC96, author = {Meng{-}chou Chang and Feipei Lai and Wei{-}Chao Chen}, title = {Image Shading Taking into Account Relativistic Effects}, journal = {{ACM} Trans. Graph.}, volume = {15}, number = {4}, pages = {265--300}, year = {1996}, url = {https://doi.org/10.1145/234535.234537}, doi = {10.1145/234535.234537}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/ChangLC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cifer/ChouYCL96, author = {Seng{-}cho Timothy Chou and Chau{-}Chen Yang and Chi{-}Huang Chan and Feipei Lai}, title = {A rule-based neural stock trading decision support system}, booktitle = {Proceedings of the {IEEE/IAFE} 1996 Conference on Computational Intelligence for Financial Engineering, CIFEr 1996, New York City, USA, March 24-26, 1996}, pages = {148--154}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/CIFER.1996.501839}, doi = {10.1109/CIFER.1996.501839}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cifer/ChouYCL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/LiangKL96, author = {Wen{-}Yew Liang and Chun{-}Ta King and Feipei Lai}, title = {Adsmith: An Efficient Object-Based Distributed Shared Memory System On Pvm}, booktitle = {1996 International Symposium on Parallel Architectures, Algorithms and Networks {(ISPAN} '96), June 12-14, 1996, Beijing, China}, pages = {173--179}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ISPAN.1996.508978}, doi = {10.1109/ISPAN.1996.508978}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/LiangKL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChenLS95, author = {Tzer{-}Shyong Chen and Feipei Lai and Rung{-}Ji Shang}, title = {A Simple Tree Pattern Matching Algorithm for Code Generator}, booktitle = {19th International Computer Software and Applications Conference (COMPSAC'95), August 9-11, 1995, Dallas, Texas, {USA}}, pages = {162--167}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/CMPSAC.1995.524775}, doi = {10.1109/CMPSAC.1995.524775}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChenLS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/LaiCH94, author = {Feipei Lai and Yung{-}kuang Chao and Chia{-}Jung Hsieh}, title = {The complementary relationship of interprocedural register allocation and inlining}, journal = {Int. J. Parallel Program.}, volume = {22}, number = {4}, pages = {409--434}, year = {1994}, url = {https://doi.org/10.1007/BF02577739}, doi = {10.1007/BF02577739}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/LaiCH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LaiT94, author = {Feipei Lai and Fong{-}chou Tsai}, title = {A Pipeline Bubbles Reduction Technique for the Monsoon Dataflow Architecture}, booktitle = {27th Annual Hawaii International Conference on System Sciences (HICSS-27), January 4-7, 1994, Maui, Hawaii, {USA}}, pages = {388--397}, publisher = {{IEEE} Computer Society}, year = {1994}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/LaiT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccl/LaiC94, author = {Feipei Lai and Yung{-}kuang Chao}, editor = {Henri E. Bal}, title = {The Complementary Relationship of Interprocedural Register Allocation and Inlining}, booktitle = {Proceedings of the {IEEE} Computer Society 1994 International Conference on Computer Languages, May 16-19, 1994, Toulouse, France}, pages = {253--264}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICCL.1994.288375}, doi = {10.1109/ICCL.1994.288375}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccl/LaiC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/LaiH94, author = {Feipei Lai and Chia{-}Jung Hsieh}, editor = {Lionel M. Ni}, title = {Reducing Procedure Call Overhead: Optimizing Register Usage at Procedure Calls}, booktitle = {Proceedings 1994 International Conference on Parallel and Distributed Systems, December 19-21, 1994, Hsinchu, Taiwan, Republic of China}, pages = {649--654}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICPADS.1994.590416}, doi = {10.1109/ICPADS.1994.590416}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/LaiH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/TsaiYL94, author = {Zsehong Tsai and Kangyei Yu and Feipei Lai}, title = {A performance evaluation procedure for a class of growable {ATM} switches}, booktitle = {International Symposium on Parallel Architectures, Algorithms and Networks, {ISPAN} 1994, Kanazawa, Japan, December 14-16, 1994}, pages = {302--309}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ISPAN.1994.367186}, doi = {10.1109/ISPAN.1994.367186}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/TsaiYL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/JangLJPC93, author = {Gia{-}Shuh Jang and Feipei Lai and Bor{-}Wei Jiang and Tai{-}Ming Parng and Li{-}Hua Chien}, title = {Intelligent stock trading system with price trend prediction and reversal recognition using dual-module neural networks}, journal = {Appl. Intell.}, volume = {3}, number = {3}, pages = {225--248}, year = {1993}, url = {https://doi.org/10.1007/BF00871939}, doi = {10.1007/BF00871939}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/JangLJPC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/TsaiYL93, author = {Zsehong Tsai and Kangyei Yu and Feipei Lai}, title = {Design and analysis of a hierarchical and modular local {ATM} switch}, booktitle = {18th Conference on Local Computer Networks, September 19-22, 1993, Minneapolis, Minnesota, USA, Proceedings}, pages = {44--51}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/LCN.1993.591182}, doi = {10.1109/LCN.1993.591182}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/TsaiYL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/LaiYL92, author = {Feipei Lai and Chia{-}Cheng Yeh and Hung{-}Chang Lee}, title = {Register Allocation Via Dynamically Updated Information}, journal = {J. Inf. Sci. Eng.}, volume = {8}, number = {3}, pages = {393--413}, year = {1992}, url = {http://www.iis.sinica.edu.tw/page/jise/1992/199209\_04.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/LaiYL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LaiC92, author = {Feipei Lai and Meng{-}chou Chang}, editor = {Allan Gottlieb}, title = {Enhancing boosting with semantic register in a superscalar processor}, booktitle = {Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, Australia, May 1992}, pages = {430}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/146628.140496}, doi = {10.1145/146628.140496}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/LaiC92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ChangLS92, author = {Meng{-}chou Chang and Feipei Lai and Rung{-}Ji Shang}, editor = {Wen{-}mei W. Hwu}, title = {Exploiting instruction-level parallelism with the conjugate register file scheme}, booktitle = {Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992}, pages = {29--32}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/MICRO.1992.696995}, doi = {10.1109/MICRO.1992.696995}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/ChangLS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsi/LaiTCP90, author = {Feipei Lai and Lea{-}Ming Tzeng and Thom{-}Ling Chang and Tai{-}Ming Parng}, editor = {Peter A. Ng and C. V. Ramamoorthy and Laurence C. Seifert and Raymond T. Yeh}, title = {{MARS} Performance Evaluation with Different Interconnection Networks}, booktitle = {Proceedings of the First International Conference on Systems Integration, Morristown, NJ, USA, April 1990}, pages = {248--257}, publisher = {{IEEE} Computer Society}, year = {1990}, timestamp = {Wed, 08 Feb 2006 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsi/LaiTCP90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/LaiLL90, author = {Feipei Lai and Hung{-}Chang Lee and Chun{-}Luh Lee}, editor = {Christos A. Papachristou and Vicki H. Allan}, title = {Optimization on instruction reorganization}, booktitle = {Proceedings of the 23rd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1990, Orlando, Florida, USA, November 27-29, 1990}, pages = {143--148}, publisher = {{ACM/IEEE}}, year = {1990}, url = {https://dl.acm.org/citation.cfm?id=255264}, timestamp = {Wed, 13 Feb 2019 11:42:26 +0100}, biburl = {https://dblp.org/rec/conf/micro/LaiLL90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/LaiWP90, author = {Feipei Lai and Chyuan{-}Yow Wu and Tai{-}Ming Parng}, editor = {Christos A. Papachristou and Vicki H. Allan}, title = {A memory management unit and cache controller for the {MARS} system}, booktitle = {Proceedings of the 23rd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1990, Orlando, Florida, USA, November 27-29, 1990}, pages = {200--208}, publisher = {{ACM/IEEE}}, year = {1990}, url = {https://dl.acm.org/citation.cfm?id=255276}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/LaiWP90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/LeeLTPL89, author = {Hung{-}Chang Lee and Feipei Lai and Jenn{-}Yuan Tsai and Tai{-}Ming Parng and Yu{-}Gang Li}, title = {MARS-a RISC-based architecture for {LISP}}, booktitle = {{IEEE} International Workshop on Tools for Artificial Intelligence: Architectures, Languages and Algorithms, {TAI} 1989, Fairfax, VA, USA, October 23-25, 1989}, pages = {198--206}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/TAI.1989.65321}, doi = {10.1109/TAI.1989.65321}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictai/LeeLTPL89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.