BibTeX records: Seung Eun Lee

download as .bib file

@article{DBLP:journals/tcasII/OhPL24,
  author       = {Hyun Woo Oh and
                  Joungmin Park and
                  Seung Eun Lee},
  title        = {DL-Sort: {A} Hybrid Approach to Scalable Hardware-Accelerated Fully-Streaming
                  Sorting},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {71},
  number       = {5},
  pages        = {2549--2553},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCSII.2024.3377255},
  doi          = {10.1109/TCSII.2024.3377255},
  timestamp    = {Tue, 18 Jun 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/OhPL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/LeeAKOL24,
  author       = {Sangho Lee and
                  Seongmo An and
                  Raehyeong Kim and
                  Jongwon Oh and
                  Seung Eun Lee},
  title        = {Point Cloud Clustering System with {DBSCAN} Algorithm for Low-Resolution
                  LiDAR},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024,
                  Las Vegas, NV, USA, January 6-8, 2024},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ICCE59016.2024.10444271},
  doi          = {10.1109/ICCE59016.2024.10444271},
  timestamp    = {Fri, 08 Mar 2024 08:28:36 +0100},
  biburl       = {https://dblp.org/rec/conf/iccel/LeeAKOL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/OhL23,
  author       = {Hyun Woo Oh and
                  Seung Eun Lee},
  title        = {The Design of Optimized {RISC} Processor for Edge Artificial Intelligence
                  Based on Custom Instruction Set Extension},
  journal      = {{IEEE} Access},
  volume       = {11},
  pages        = {49409--49421},
  year         = {2023},
  url          = {https://doi.org/10.1109/ACCESS.2023.3276411},
  doi          = {10.1109/ACCESS.2023.3276411},
  timestamp    = {Fri, 02 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/OhL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aicas/ShinLGKL23,
  author       = {Jin Young Shin and
                  Sang Ho Lee and
                  Kwang Hyun Go and
                  Soohee Kim and
                  Seung Eun Lee},
  title        = {{AI} Processor based Data Correction for Enhancing Accuracy of Ultrasonic
                  Sensor},
  booktitle    = {5th {IEEE} International Conference on Artificial Intelligence Circuits
                  and Systems, {AICAS} 2023, Hangzhou, China, June 11-13, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/AICAS57966.2023.10168652},
  doi          = {10.1109/AICAS57966.2023.10168652},
  timestamp    = {Mon, 24 Jul 2023 15:56:17 +0200},
  biburl       = {https://dblp.org/rec/conf/aicas/ShinLGKL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/JeongCJKL23,
  author       = {Yue Ri Jeong and
                  Kwonneung Cho and
                  Young Woo Jeong and
                  Sun Beom Kwon and
                  Seung Eun Lee},
  title        = {A Real-Time Reconfigurable {AI} Processor Based on {FPGA}},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023,
                  Las Vegas, NV, USA, January 6-8, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICCE56470.2023.10043575},
  doi          = {10.1109/ICCE56470.2023.10043575},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccel/JeongCJKL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/KimPJL23,
  author       = {Soohee Kim and
                  Joungmin Park and
                  Young Woo Jeong and
                  Seung Eun Lee},
  title        = {Embedded Monitoring System for Preventing Lonely Death Based on Edge
                  {AI}},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023,
                  Las Vegas, NV, USA, January 6-8, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICCE56470.2023.10043431},
  doi          = {10.1109/ICCE56470.2023.10043431},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccel/KimPJL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/OhAJL23,
  author       = {Hyun Woo Oh and
                  Seongmo An and
                  Won Sik Jeong and
                  Seung Eun Lee},
  title        = {{RF2P:} {A} Lightweight {RISC} Processor Optimized for Rapid Migration
                  from {IEEE-754} to Posit},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2023, Vienna, Austria, August 7-8, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISLPED58423.2023.10244582},
  doi          = {10.1109/ISLPED58423.2023.10244582},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/OhAJL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongJSL23,
  author       = {Young Woo Jeong and
                  Won Sik Jeong and
                  Jin Young Shin and
                  Seung Eun Lee},
  title        = {The Design of Embedded Fuzzy Logic Controller for Autonomous Mobile
                  Robots},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {145--146},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396118},
  doi          = {10.1109/ISOCC59558.2023.10396118},
  timestamp    = {Mon, 26 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongJSL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkAKL23,
  author       = {Joungmin Park and
                  Seongmo An and
                  Jinyeol Kim and
                  Seung Eun Lee},
  title        = {Continuous Convolution Accelerator with Data Reuse based on Systolic
                  Architecture},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {319--320},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396060},
  doi          = {10.1109/ISOCC59558.2023.10396060},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkAKL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/KimJJL22,
  author       = {Jeongeun Kim and
                  Young Woo Jeong and
                  Su{-}Yeon Jang and
                  Seung Eun Lee},
  editor       = {Andreas Kl{\"{o}}ckner and
                  Jos{\'{e}} Moreira},
  title        = {An Architecture for Resilient Federated Learning through Parallel
                  Recognition},
  booktitle    = {Proceedings of the International Conference on Parallel Architectures
                  and Compilation Techniques, {PACT} 2022, Chicago, Illinois, October
                  8-12, 2022},
  pages        = {546--547},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3559009.3569689},
  doi          = {10.1145/3559009.3569689},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/KimJJL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/ChoOKJL22,
  author       = {Kwon Neung Cho and
                  Hyun Woo Oh and
                  Jeongeun Kim and
                  Young Woo Jeong and
                  Seung Eun Lee},
  title        = {A Local Interconnect Network Controller for Resource-Constrained Automotive
                  Devices},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022,
                  Las Vegas, NV, USA, January 7-9, 2022},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICCE53296.2022.9730493},
  doi          = {10.1109/ICCE53296.2022.9730493},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccel/ChoOKJL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/JeongGL22,
  author       = {Young Woo Jeong and
                  Kwang Hyun Go and
                  Seung Eun Lee},
  title        = {Robot-on-Chip: Computing on a Single Chip for an Autonomous Robot},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022,
                  Las Vegas, NV, USA, January 7-9, 2022},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICCE53296.2022.9730399},
  doi          = {10.1109/ICCE53296.2022.9730399},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccel/JeongGL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimJCJL22,
  author       = {Jeongeun Kim and
                  Yue Ri Jeong and
                  Kwonneung Cho and
                  Won Sik Jeong and
                  Seung Eun Lee},
  title        = {Reconfigurable Stochastic Computing Architecture for Computationally
                  Intensive Applications},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {61--62},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031563},
  doi          = {10.1109/ISOCC56007.2022.10031563},
  timestamp    = {Wed, 15 Feb 2023 22:08:05 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimJCJL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhJL22,
  author       = {Hyun Woo Oh and
                  Won Sik Jeong and
                  Seung Eun Lee},
  title        = {Evaluation of Posit Arithmetic on Machine Learning based on Approximate
                  Exponential Functions},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {358--359},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031524},
  doi          = {10.1109/ISOCC56007.2022.10031524},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/OhJL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/ChoOL21,
  author       = {Kwon Neung Cho and
                  Hyun Woo Oh and
                  Seung Eun Lee},
  title        = {Vision-based Parking Occupation Detecting with Embedded {AI} Processor},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2021,
                  Las Vegas, NV, USA, January 10-12, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCE50685.2021.9427661},
  doi          = {10.1109/ICCE50685.2021.9427661},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccel/ChoOL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/GoHCL21,
  author       = {Kwang Hyun Go and
                  Chang Yeop Han and
                  Kwon Neung Cho and
                  Seung Eun Lee},
  title        = {Crime Prevention System: Crashing Window Sound Detection Using {AI}
                  Processor},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2021,
                  Las Vegas, NV, USA, January 10-12, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCE50685.2021.9427630},
  doi          = {10.1109/ICCE50685.2021.9427630},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccel/GoHCL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/icl/LeeSP20,
  author       = {Seung Eun Lee and
                  Young{-}Joo Suh and
                  Young Deok Park},
  title        = {DozyBand: Bandwidth Adaptation With Lightweight Signaling for Energy
                  Efficient Wireless Communications in {IEEE} 802.11ac WLANs},
  journal      = {{IEEE} Commun. Lett.},
  volume       = {24},
  number       = {10},
  pages        = {2358--2362},
  year         = {2020},
  url          = {https://doi.org/10.1109/LCOMM.2020.2993879},
  doi          = {10.1109/LCOMM.2020.2993879},
  timestamp    = {Tue, 20 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/icl/LeeSP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/itiis/Choi0KL20,
  author       = {Do Young Choi and
                  Jung{-}Hwan Oh and
                  Ji Kwang Kim and
                  Seung Eun Lee},
  title        = {Energy Efficient and Low-Cost Server Architecture for Hadoop Storage
                  Appliance},
  journal      = {{KSII} Trans. Internet Inf. Syst.},
  volume       = {14},
  number       = {12},
  pages        = {4648--4663},
  year         = {2020},
  url          = {https://doi.org/10.3837/tiis.2020.12.002},
  doi          = {10.3837/TIIS.2020.12.002},
  timestamp    = {Thu, 16 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/itiis/Choi0KL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcsc/KimOHGL20,
  author       = {Ji Kwang Kim and
                  Jung{-}Hwan Oh and
                  Gwan Beom Hwang and
                  Oh Seong Gwon and
                  Seung Eun Lee},
  title        = {Design of Low-Power SoC for Wearable Healthcare Device},
  journal      = {J. Circuits Syst. Comput.},
  volume       = {29},
  number       = {6},
  pages        = {2050085:1--2050085:14},
  year         = {2020},
  url          = {https://doi.org/10.1142/S0218126620500851},
  doi          = {10.1142/S0218126620500851},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jcsc/KimOHGL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/JangYL20,
  author       = {Su{-}Yeon Jang and
                  Young Hyun Yoon and
                  Seung Eun Lee},
  title        = {Stochastic Computing based {AI} System for Mobile Devices},
  booktitle    = {2020 {IEEE} International Conference on Consumer Electronics (ICCE),
                  Las Vegas, NV, USA, January 4-6, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICCE46568.2020.9042978},
  doi          = {10.1109/ICCE46568.2020.9042978},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccel/JangYL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhCL20,
  author       = {Hyun Woo Oh and
                  Kwon Neung Cho and
                  Seung Eun Lee},
  title        = {Design of 32-bit Processor for Embedded Systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {306--307},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332944},
  doi          = {10.1109/ISOCC50952.2020.9332944},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/OhCL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/KimOYL19,
  author       = {Ji Kwang Kim and
                  Jung{-}Hwan Oh and
                  Jun Hyeok Yang and
                  Seung Eun Lee},
  title        = {2D Line Draw Hardware Accelerator for Tiny Embedded Processor in Consumer
                  Electronics},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2019,
                  Las Vegas, NV, USA, January 11-13, 2019},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCE.2019.8661832},
  doi          = {10.1109/ICCE.2019.8661832},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccel/KimOYL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/OhYKIJKL19,
  author       = {Jung{-}Hwan Oh and
                  Young Hyun Yoon and
                  Ji Kwang Kim and
                  Hyung Bin Ihm and
                  Shin Hye Jeon and
                  Tae{-}Heon Kim and
                  Seung Eun Lee},
  title        = {An FPGA-based Electronic Control Unit for Automotive Systems},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2019,
                  Las Vegas, NV, USA, January 11-13, 2019},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCE.2019.8662003},
  doi          = {10.1109/ICCE.2019.8662003},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccel/OhYKIJKL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/YoonOKIJKL19,
  author       = {Young Hyun Yoon and
                  Jung{-}Hwan Oh and
                  Ji Kwang Kim and
                  Hyung Bin Ihm and
                  Shin Hye Jeon and
                  Tae{-}Heon Kim and
                  Seung Eun Lee},
  title        = {Remote In-System Reconfiguration for Automotive Device},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2019,
                  Las Vegas, NV, USA, January 11-13, 2019},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCE.2019.8661945},
  doi          = {10.1109/ICCE.2019.8661945},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccel/YoonOKIJKL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YoonJCL19,
  author       = {Young Hyun Yoon and
                  Su{-}Yeon Jang and
                  Do Young Choi and
                  Seung Eun Lee},
  title        = {Flexible Embedded {AI} System with High-speed Neuromorphic Controller},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {265--266},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078508},
  doi          = {10.1109/ISOCC47750.2019.9078508},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/YoonJCL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceee/LeeJOKL17,
  author       = {Sang Muk Lee and
                  Ji Hoon Jang and
                  Jung{-}Hwan Oh and
                  Ji Kwang Kim and
                  Seung Eun Lee},
  title        = {Design of hardware accelerator for Lempel-Ziv 4 {(LZ4)} compression},
  journal      = {{IEICE} Electron. Express},
  volume       = {14},
  number       = {11},
  pages        = {20170399},
  year         = {2017},
  url          = {https://doi.org/10.1587/elex.14.20170399},
  doi          = {10.1587/ELEX.14.20170399},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieiceee/LeeJOKL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/ShinOLKLL17,
  author       = {Jung Woo Shin and
                  Jung{-}Hwan Oh and
                  Sang Muk Lee and
                  Jae{-}Jin Ko and
                  Sang{-}Yub Lee and
                  Seung Eun Lee},
  title        = {In-vehicle {CAN} {FD} Network for smart wearable devices},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2017,
                  Las Vegas, NV, USA, January 8-10, 2017},
  pages        = {45--46},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCE.2017.7889223},
  doi          = {10.1109/ICCE.2017.7889223},
  timestamp    = {Thu, 23 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccel/ShinOLKLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isce/KimOGYL17,
  author       = {Ji Kwang Kim and
                  Jung{-}Hwan Oh and
                  Oh Seong Gwon and
                  Jung Woong Yang and
                  Seung Eun Lee},
  title        = {Real-time {PPG} monitoring system for mobile healthcare devices},
  booktitle    = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2017,
                  Kuala Lumpur, Malaysia, November 14-15, 2017},
  pages        = {84--85},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCE.2017.8355558},
  doi          = {10.1109/ISCE.2017.8355558},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isce/KimOGYL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhWL17,
  author       = {Jung{-}Hwan Oh and
                  Jong Uk Wi and
                  Seung Eun Lee},
  title        = {Design of {CAN} - {CAN} {FD} bridge for in-vehicle network},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {312--313},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368912},
  doi          = {10.1109/ISOCC.2017.8368912},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/OhWL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeOJLKL16,
  author       = {Sang Muk Lee and
                  Jung{-}Hwan Oh and
                  Ji Hoon Jang and
                  Seong Mo Lee and
                  Ji Kwang Kim and
                  Seung Eun Lee},
  title        = {Live demonstration: An {FPGA} based hardware compression accelerator
                  for Hadoop system},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {744--745},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804035},
  doi          = {10.1109/APCCAS.2016.7804035},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeOJLKL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/GwonKSL16,
  author       = {Oh Seong Gwon and
                  Ji Kwang Kim and
                  Jung Woo Shin and
                  Seung Eun Lee},
  title        = {Live demonstration: {AHB} based digital filter for low power mobile
                  healthcare system},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {746--747},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804036},
  doi          = {10.1109/APCCAS.2016.7804036},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/GwonKSL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ShinOLL16,
  author       = {Jung Woo Shin and
                  Jung{-}Hwan Oh and
                  Sang Muk Lee and
                  Seung Eun Lee},
  title        = {Live demonstration: {CAN} {FD} controller for in-vehicle network},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {748--749},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804037},
  doi          = {10.1109/APCCAS.2016.7804037},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ShinOLL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinOLL16,
  author       = {Jung Woo Shin and
                  Jung{-}Hwan Oh and
                  Sang Muk Lee and
                  Seung Eun Lee},
  title        = {{CAN} {FD} controller for in-vehicle system},
  booktitle    = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea,
                  October 23-26, 2016},
  pages        = {227--228},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISOCC.2016.7799870},
  doi          = {10.1109/ISOCC.2016.7799870},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinOLL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimGL16,
  author       = {Ji Kwang Kim and
                  Oh Seong Gwon and
                  Seung Eun Lee},
  title        = {Design of an area-efficient hardware filter for embedded system},
  booktitle    = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea,
                  October 23-26, 2016},
  pages        = {229--230},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISOCC.2016.7799871},
  doi          = {10.1109/ISOCC.2016.7799871},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimGL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdp/LeeKL16,
  author       = {Sang Muk Lee and
                  Eun Nu Ri Ko and
                  Seung Eun Lee},
  title        = {A Hardware Scheduler for Multicore Block Cipher Processor},
  booktitle    = {24th Euromicro International Conference on Parallel, Distributed,
                  and Network-Based Processing, {PDP} 2016, Heraklion, Crete, Greece,
                  February 17-19, 2016},
  pages        = {750--754},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/PDP.2016.59},
  doi          = {10.1109/PDP.2016.59},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pdp/LeeKL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/KimLL15,
  author       = {Sang Don Kim and
                  Sang Muk Lee and
                  Seung Eun Lee},
  title        = {Secure communication system for wearable devices wireless intra body
                  communication},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2015,
                  Las Vegas, NV, USA, January 9-12, 2015},
  pages        = {381--382},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCE.2015.7066454},
  doi          = {10.1109/ICCE.2015.7066454},
  timestamp    = {Wed, 16 Oct 2019 14:14:51 +0200},
  biburl       = {https://dblp.org/rec/conf/iccel/KimLL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iov/KimLLJSKL14,
  author       = {Sang Don Kim and
                  Seong Mo Lee and
                  Sang Muk Lee and
                  Ji Hoon Jang and
                  Jae{-}Gi Son and
                  Young Hwan Kim and
                  Seung Eun Lee},
  editor       = {Ching{-}Hsien Robert Hsu and
                  Shangguang Wang},
  title        = {Compression Accelerator for Hadoop Appliance},
  booktitle    = {Internet of Vehicles - Technologies and Services - First International
                  Conference, IOV, Beijing, China, September 1-3, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8662},
  pages        = {416--423},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-11167-4\_41},
  doi          = {10.1007/978-3-319-11167-4\_41},
  timestamp    = {Tue, 14 May 2019 10:00:44 +0200},
  biburl       = {https://dblp.org/rec/conf/iov/KimLLJSKL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceee/JeongL13,
  author       = {Yeong Seob Jeong and
                  Seung Eun Lee},
  title        = {Deadlock-free {XY-YX} router for on-chip interconnection network},
  journal      = {{IEICE} Electron. Express},
  volume       = {10},
  number       = {20},
  pages        = {20130699},
  year         = {2013},
  url          = {https://doi.org/10.1587/elex.10.20130699},
  doi          = {10.1587/ELEX.10.20130699},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ieiceee/JeongL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/FangZJLI0L13,
  author       = {Zhen Fang and
                  Li Zhao and
                  Xiaowei Jiang and
                  Shih{-}Lien Lu and
                  Ravi R. Iyer and
                  Tong Li and
                  Seung Eun Lee},
  title        = {Reducing cache and {TLB} power by exploiting memory region and privilege
                  level semantics},
  journal      = {J. Syst. Archit.},
  volume       = {59},
  number       = {6},
  pages        = {279--295},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.sysarc.2013.04.002},
  doi          = {10.1016/J.SYSARC.2013.04.002},
  timestamp    = {Tue, 06 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/FangZJLI0L13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/Lee13,
  author       = {Seung Eun Lee},
  title        = {Adaptive error correction in Orthogonal Latin Square Codes for low-power,
                  resilient on-chip interconnection network},
  journal      = {Microelectron. Reliab.},
  volume       = {53},
  number       = {3},
  pages        = {509--511},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.microrel.2012.09.009},
  doi          = {10.1016/J.MICROREL.2012.09.009},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/Lee13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/emc/KimLJJKL13,
  author       = {Su Jin Kim and
                  Seong Mo Lee and
                  Ji Hoon Jang and
                  Yeong Seob Jeong and
                  Sang Don Kim and
                  Seung Eun Lee},
  editor       = {Yueh{-}Min Huang and
                  Han{-}Chieh Chao and
                  Der{-}Jiunn Deng and
                  James J. Park},
  title        = {In-Time Transaction Accelerator Architecture for {RDBMS}},
  booktitle    = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing
                  - HumanCom and {EMC} 2013 [International Conference on Human-centric
                  Computing, HumanCom 2013 / 8th International Conference on Embedded
                  and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25,
                  2013]},
  series       = {Lecture Notes in Electrical Engineering},
  volume       = {260},
  pages        = {329--334},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-94-007-7262-5\_38},
  doi          = {10.1007/978-94-007-7262-5\_38},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/emc/KimLJJKL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/emc/KimLJJL13,
  author       = {Sang Don Kim and
                  Ju Seong Lee and
                  Yeong Seob Jeong and
                  Ji Hoon Jang and
                  Seung Eun Lee},
  editor       = {Yueh{-}Min Huang and
                  Han{-}Chieh Chao and
                  Der{-}Jiunn Deng and
                  James J. Park},
  title        = {Intra-Body Communication for Personal Area Network},
  booktitle    = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing
                  - HumanCom and {EMC} 2013 [International Conference on Human-centric
                  Computing, HumanCom 2013 / 8th International Conference on Embedded
                  and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25,
                  2013]},
  series       = {Lecture Notes in Electrical Engineering},
  volume       = {260},
  pages        = {335--339},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-94-007-7262-5\_39},
  doi          = {10.1007/978-94-007-7262-5\_39},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/emc/KimLJJL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/emc/LeeJPKLKL13,
  author       = {Seong Mo Lee and
                  Ji Hoon Jang and
                  Dae Young Park and
                  Sang Don Kim and
                  Ju Seong Lee and
                  Seon Kyeong Kim and
                  Seung Eun Lee},
  editor       = {Yueh{-}Min Huang and
                  Han{-}Chieh Chao and
                  Der{-}Jiunn Deng and
                  James J. Park},
  title        = {mrGlove: FPGA-Based Data Glove for Heterogeneous Devices},
  booktitle    = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing
                  - HumanCom and {EMC} 2013 [International Conference on Human-centric
                  Computing, HumanCom 2013 / 8th International Conference on Embedded
                  and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25,
                  2013]},
  series       = {Lecture Notes in Electrical Engineering},
  volume       = {260},
  pages        = {341--345},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-94-007-7262-5\_40},
  doi          = {10.1007/978-94-007-7262-5\_40},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/emc/LeeJPKLKL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/www/LeeK13,
  author       = {Seung Eun Lee and
                  Dongug Kim},
  editor       = {Leslie Carr and
                  Alberto H. F. Laender and
                  Bernadette Farias L{\'{o}}scio and
                  Irwin King and
                  Marcus Fontoura and
                  Denny Vrandecic and
                  Lora Aroyo and
                  Jos{\'{e}} Palazzo M. de Oliveira and
                  Fernanda Lima and
                  Erik Wilde},
  title        = {A click model for time-sensitive queries},
  booktitle    = {22nd International World Wide Web Conference, {WWW} '13, Rio de Janeiro,
                  Brazil, May 13-17, 2013, Companion Volume},
  pages        = {147--148},
  publisher    = {International World Wide Web Conferences Steering Committee / {ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2487788.2487859},
  doi          = {10.1145/2487788.2487859},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/www/LeeK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isica/Lee12,
  author       = {Seung Eun Lee},
  editor       = {Zhenhua Li and
                  Xiang Li and
                  Yong Liu and
                  Zhihua Cai},
  title        = {Efficient Arctangent Computation for Real-Time Histograms of Oriented
                  Gradients Descriptor Extraction},
  booktitle    = {Computational Intelligence and Intelligent Systems - 6th International
                  Symposium, {ISICA} 2012, Wuhan, China, October 27-28, 2012. Proceedings},
  series       = {Communications in Computer and Information Science},
  volume       = {316},
  pages        = {612--616},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-34289-9\_68},
  doi          = {10.1007/978-3-642-34289-9\_68},
  timestamp    = {Thu, 20 Apr 2023 17:39:43 +0200},
  biburl       = {https://dblp.org/rec/conf/isica/Lee12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isica/LeeK12,
  author       = {Seung Eun Lee and
                  Sang Don Kim},
  editor       = {Zhenhua Li and
                  Xiang Li and
                  Yong Liu and
                  Zhihua Cai},
  title        = {Single Photon Counting X-Ray Imaging System},
  booktitle    = {Computational Intelligence and Intelligent Systems - 6th International
                  Symposium, {ISICA} 2012, Wuhan, China, October 27-28, 2012. Proceedings},
  series       = {Communications in Computer and Information Science},
  volume       = {316},
  pages        = {617--620},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-34289-9\_69},
  doi          = {10.1007/978-3-642-34289-9\_69},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isica/LeeK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isica/LeeP12,
  author       = {Seung Eun Lee and
                  Dae Young Park},
  editor       = {Zhenhua Li and
                  Xiang Li and
                  Yong Liu and
                  Zhihua Cai},
  title        = {Evaluation of {CUDA} for X-Ray Imaging System},
  booktitle    = {Computational Intelligence and Intelligent Systems - 6th International
                  Symposium, {ISICA} 2012, Wuhan, China, October 27-28, 2012. Proceedings},
  series       = {Communications in Computer and Information Science},
  volume       = {316},
  pages        = {621--625},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-34289-9\_70},
  doi          = {10.1007/978-3-642-34289-9\_70},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isica/LeeP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isica/LeeJSC12,
  author       = {Seung Eun Lee and
                  Yeong Seob Jeong and
                  Seung{-}jun Son and
                  Hyeon{-}Min Choi},
  editor       = {Zhenhua Li and
                  Xiang Li and
                  Yong Liu and
                  Zhihua Cai},
  title        = {Ambulatory Pattern Extraction for U-Health Care},
  booktitle    = {Computational Intelligence and Intelligent Systems - 6th International
                  Symposium, {ISICA} 2012, Wuhan, China, October 27-28, 2012. Proceedings},
  series       = {Communications in Computer and Information Science},
  volume       = {316},
  pages        = {626--630},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-34289-9\_71},
  doi          = {10.1007/978-3-642-34289-9\_71},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isica/LeeJSC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/FangZJLILL12,
  author       = {Zhen Fang and
                  Li Zhao and
                  Xiaowei Jiang and
                  Shih{-}Lien Lu and
                  Ravi R. Iyer and
                  Tong Li and
                  Seung Eun Lee},
  editor       = {Naresh R. Shanbhag and
                  Massimo Poncino and
                  Pai H. Chou and
                  Ajith Amerasekera},
  title        = {Reducing {L1} caches power by exploiting software semantics},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'12,
                  Redondo Beach, CA, {USA} - July 30 - August 01, 2012},
  pages        = {391--396},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2333660.2333750},
  doi          = {10.1145/2333660.2333750},
  timestamp    = {Wed, 07 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/FangZJLILL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/LeeYCWI11,
  author       = {Seung Eun Lee and
                  Yoon Seok Yang and
                  Gwan S. Choi and
                  Wei Wu and
                  Ravi R. Iyer},
  title        = {Low-Power, Resilient Interconnection with Orthogonal Latin Squares},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {28},
  number       = {2},
  pages        = {30--39},
  year         = {2011},
  url          = {https://doi.org/10.1109/MDT.2011.35},
  doi          = {10.1109/MDT.2011.35},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/LeeYCWI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/LiZIPLELN11,
  author       = {Bin Li and
                  Li Zhao and
                  Ravi R. Iyer and
                  Li{-}Shiuan Peh and
                  Michael Leddige and
                  Michael Espig and
                  Seung Eun Lee and
                  Donald Newell},
  title        = {CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {71},
  number       = {5},
  pages        = {700--713},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.jpdc.2010.10.013},
  doi          = {10.1016/J.JPDC.2010.10.013},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jpdc/LiZIPLELN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/WangHLB11,
  author       = {Chifeng Wang and
                  Wen{-}Hsiang Hu and
                  Seung Eun Lee and
                  Nader Bagherzadeh},
  title        = {Area and power-efficient innovative congestion-aware Network-on-Chip
                  architecture},
  journal      = {J. Syst. Archit.},
  volume       = {57},
  number       = {1},
  pages        = {24--38},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.sysarc.2010.10.009},
  doi          = {10.1016/J.SYSARC.2010.10.009},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/WangHLB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/IyerSTFIZCSL11,
  author       = {Ravi R. Iyer and
                  Sadagopan Srinivasan and
                  Omesh Tickoo and
                  Zhen Fang and
                  Ramesh Illikkal and
                  Steven Zhang and
                  Vineet Chadha and
                  Paul M. Stillwell and
                  Seung Eun Lee},
  title        = {CogniServe: Heterogeneous Server Architecture for Large-Scale Recognition},
  journal      = {{IEEE} Micro},
  volume       = {31},
  number       = {3},
  pages        = {20--31},
  year         = {2011},
  url          = {https://doi.org/10.1109/MM.2011.37},
  doi          = {10.1109/MM.2011.37},
  timestamp    = {Tue, 13 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/IyerSTFIZCSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/csee2/Lee11a,
  author       = {Seung Eun Lee},
  editor       = {Song Lin and
                  Xiong Huang},
  title        = {Sharing Computation Resources in Image and Speech Recognition for
                  Embedded Systems},
  booktitle    = {Advances in Computer Science, Environment, Ecoinformatics, and Education
                  - International Conference, {CSEE} 2011, Wuhan, China, August 21-22,
                  2011. Proceedings, Part {II}},
  series       = {Communications in Computer and Information Science},
  volume       = {215},
  pages        = {150--156},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-23324-1\_25},
  doi          = {10.1007/978-3-642-23324-1\_25},
  timestamp    = {Wed, 17 May 2017 14:24:31 +0200},
  biburl       = {https://dblp.org/rec/conf/csee2/Lee11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/csee2/Lee11b,
  author       = {Seung Eun Lee},
  editor       = {Song Lin and
                  Xiong Huang},
  title        = {Cycle Accurate Power and Performance Simulator for Design Space Exploration
                  on a Many-Core Platform},
  booktitle    = {Advances in Computer Science, Environment, Ecoinformatics, and Education
                  - International Conference, {CSEE} 2011, Wuhan, China, August 21-22,
                  2011. Proceedings, Part {II}},
  series       = {Communications in Computer and Information Science},
  volume       = {215},
  pages        = {169--175},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-23324-1\_28},
  doi          = {10.1007/978-3-642-23324-1\_28},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/csee2/Lee11b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/FajardoFIGLZ11,
  author       = {Carlos Flores Fajardo and
                  Zhen Fang and
                  Ravi R. Iyer and
                  German Fabila Garcia and
                  Seung Eun Lee and
                  Li Zhao},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {Buffer-integrated-Cache: a cost-effective {SRAM} architecture for
                  handheld and embedded platforms},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {966--971},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024938},
  doi          = {10.1145/2024724.2024938},
  timestamp    = {Wed, 07 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/FajardoFIGLZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/FangZIFGLLKJM11,
  author       = {Zhen Fang and
                  Li Zhao and
                  Ravishankar R. Iyer and
                  Carlos Flores Fajardo and
                  German Fabila Garcia and
                  Seung Eun Lee and
                  Bin Li and
                  Steve R. King and
                  Xiaowei Jiang and
                  Srihari Makineni},
  editor       = {David K. Lowenthal and
                  Bronis R. de Supinski and
                  Sally A. McKee},
  title        = {Cost-effectively offering private buffers in SoCs and CMPs},
  booktitle    = {Proceedings of the 25th International Conference on Supercomputing,
                  2011, Tucson, AZ, USA, May 31 - June 04, 2011},
  pages        = {275--284},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1995896.1995940},
  doi          = {10.1145/1995896.1995940},
  timestamp    = {Wed, 07 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ics/FangZIFGLLKJM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iwec/ChoiL11,
  author       = {Hyun{-}Min Choi and
                  Seung Eun Lee},
  editor       = {J{\'{u}}nia Coutinho Anacleto and
                  Sidney S. Fels and
                  T. C. Nicholas Graham and
                  Bill Kapralos and
                  Magy Seif El{-}Nasr and
                  Kevin Stanley},
  title        = {Opportunity of Accelerating User eXperience {(UX)} Technologies on
                  Embedded Systems},
  booktitle    = {Entertainment Computing - {ICEC} 2011 - 10th International Conference,
                  {ICEC} 2011, Vancouver, Canada, October 5-8, 2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6972},
  pages        = {419--423},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-24500-8\_56},
  doi          = {10.1007/978-3-642-24500-8\_56},
  timestamp    = {Sun, 12 Nov 2023 02:15:16 +0100},
  biburl       = {https://dblp.org/rec/conf/iwec/ChoiL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdp/YangCBL11,
  author       = {Jungsook Yang and
                  Chuny Chun and
                  Nader Bagherzadeh and
                  Seung Eun Lee},
  editor       = {Yiannis Cotronis and
                  Marco Danelutto and
                  George Angelos Papadopoulos},
  title        = {Load Balancing for Data-Parallel Applications on Network-on-Chip Enabled
                  Multi-processor Platform},
  booktitle    = {Proceedings of the 19th International Euromicro Conference on Parallel,
                  Distributed and Network-based Processing, {PDP} 2011, Ayia Napa, Cyprus,
                  9-11 February 2011},
  pages        = {439--446},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/PDP.2011.90},
  doi          = {10.1109/PDP.2011.90},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pdp/YangCBL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/FangHLLLDM10,
  author       = {Zhen Fang and
                  Erik G. Hallnor and
                  Bin Li and
                  Mike Leddige and
                  Seung Eun Lee and
                  Donglai Dai and
                  Srihari Makineni},
  title        = {Boomerang: Reducing Power Consumption of Response Packets in NoCs
                  with Minimal Performance Impact},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {9},
  number       = {2},
  pages        = {49--52},
  year         = {2010},
  url          = {https://doi.org/10.1109/L-CA.2010.15},
  doi          = {10.1109/L-CA.2010.15},
  timestamp    = {Thu, 08 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/FangHLLLDM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijhpsa/YangBLYB10,
  author       = {Yoon Seok Yang and
                  Jun Ho Bahn and
                  Seung Eun Lee and
                  Jungsook Yang and
                  Nader Bagherzadeh},
  title        = {Parallel processing for block ciphers on a fault tolerant networked
                  processor array},
  journal      = {Int. J. High Perform. Syst. Archit.},
  volume       = {2},
  number       = {3/4},
  pages        = {156--167},
  year         = {2010},
  url          = {https://doi.org/10.1504/IJHPSA.2010.034537},
  doi          = {10.1504/IJHPSA.2010.034537},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijhpsa/YangBLYB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdp/WangHLB10,
  author       = {Chifeng Wang and
                  Wen{-}Hsiang Hu and
                  Seung Eun Lee and
                  Nader Bagherzadeh},
  editor       = {Marco Danelutto and
                  Julien Bourgeois and
                  Tom Gross},
  title        = {Area and Power-efficient Innovative Network-on-Chip Architecurte},
  booktitle    = {Proceedings of the 18th Euromicro Conference on Parallel, Distributed
                  and Network-based Processing, {PDP} 2010, Pisa, Italy, February 17-19,
                  2010},
  pages        = {533--539},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/PDP.2010.15},
  doi          = {10.1109/PDP.2010.15},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pdp/WangHLB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cee/LeeB09,
  author       = {Seung Eun Lee and
                  Nader Bagherzadeh},
  title        = {A high level power model for Network-on-Chip (NoC) router},
  journal      = {Comput. Electr. Eng.},
  volume       = {35},
  number       = {6},
  pages        = {837--845},
  year         = {2009},
  url          = {https://doi.org/10.1016/j.compeleceng.2008.11.023},
  doi          = {10.1016/J.COMPELECENG.2008.11.023},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cee/LeeB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/LeeB09,
  author       = {Seung Eun Lee and
                  Nader Bagherzadeh},
  title        = {A variable frequency link for a power-aware network-on-chip (NoC)},
  journal      = {Integr.},
  volume       = {42},
  number       = {4},
  pages        = {479--485},
  year         = {2009},
  url          = {https://doi.org/10.1016/j.vlsi.2009.01.002},
  doi          = {10.1016/J.VLSI.2009.01.002},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/LeeB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeZFSIN09,
  author       = {Seung Eun Lee and
                  Yong Zhang and
                  Zhen Fang and
                  Sadagopan Srinivasan and
                  Ravi Iyer and
                  Donald Newell},
  title        = {Accelerating mobile augmented reality on a handheld platform},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {419--426},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413123},
  doi          = {10.1109/ICCD.2009.5413123},
  timestamp    = {Fri, 02 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeZFSIN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeeWZYLB09,
  author       = {Seung Eun Lee and
                  Chris Wilkerson and
                  Ming Zhang and
                  Rajendra S. Yavatkar and
                  Shih{-}Lien Lu and
                  Nader Bagherzadeh},
  title        = {Low power adaptive pipeline based on instruction isolation},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {788--793},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810393},
  doi          = {10.1109/ISQED.2009.4810393},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LeeWZYLB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itng/YangBLB09,
  author       = {Yoon Seok Yang and
                  Jun Ho Bahn and
                  Seung Eun Lee and
                  Nader Bagherzadeh},
  editor       = {Shahram Latifi},
  title        = {Parallel and Pipeline Processing for Block Cipher Algorithms on a
                  Network-on-Chip},
  booktitle    = {Sixth International Conference on Information Technology: New Generations,
                  {ITNG} 2009, Las Vegas, Nevada, USA, 27-29 April 2009},
  pages        = {849--854},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ITNG.2009.163},
  doi          = {10.1109/ITNG.2009.163},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itng/YangBLB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ppl/BahnLYYB08,
  author       = {Jun Ho Bahn and
                  Seung Eun Lee and
                  Yoon Seok Yang and
                  Jungsook Yang and
                  Nader Bagherzadeh},
  title        = {On Design and Application Mapping of a Network-on-Chip(NoC) Architecture},
  journal      = {Parallel Process. Lett.},
  volume       = {18},
  number       = {2},
  pages        = {239--255},
  year         = {2008},
  url          = {https://doi.org/10.1142/S0129626408003363},
  doi          = {10.1142/S0129626408003363},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ppl/BahnLYYB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arcs/LeeBYB08,
  author       = {Seung Eun Lee and
                  Jun Ho Bahn and
                  Yoon Seok Yang and
                  Nader Bagherzadeh},
  editor       = {Uwe Brinkschulte and
                  Theo Ungerer and
                  Christian Hochberger and
                  Rainer G. Spallek},
  title        = {A Generic Network Interface Architecture for a Networked Processor
                  Array (NePA)},
  booktitle    = {Architecture of Computing Systems - {ARCS} 2008, 21st International
                  Conference, Dresden, Germany, February 25-28, 2008, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4934},
  pages        = {247--260},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78153-0\_19},
  doi          = {10.1007/978-3-540-78153-0\_19},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arcs/LeeBYB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijhpsa/BahnLB07,
  author       = {Jun Ho Bahn and
                  Seung Eun Lee and
                  Nader Bagherzadeh},
  title        = {Design of a router for network-on-chip},
  journal      = {Int. J. High Perform. Syst. Archit.},
  volume       = {1},
  number       = {2},
  pages        = {98--105},
  year         = {2007},
  url          = {https://doi.org/10.1504/IJHPSA.2007.015395},
  doi          = {10.1504/IJHPSA.2007.015395},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijhpsa/BahnLB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/chi/LeeL07,
  author       = {Seung Eun Lee and
                  Geehyuk Lee},
  editor       = {Mary Beth Rosson and
                  David J. Gilmore},
  title        = {K-menu: a keyword-based dynamic menu interface for small computers},
  booktitle    = {Extended Abstracts Proceedings of the 2007 Conference on Human Factors
                  in Computing Systems, {CHI} 2007, San Jose, California, USA, April
                  28 - May 3, 2007},
  pages        = {2543--2548},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1240866.1241038},
  doi          = {10.1145/1240866.1241038},
  timestamp    = {Fri, 12 Mar 2021 15:27:48 +0100},
  biburl       = {https://dblp.org/rec/conf/chi/LeeL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itng/BahnLB07,
  author       = {Jun Ho Bahn and
                  Seung Eun Lee and
                  Nader Bagherzadeh},
  editor       = {Shahram Latifi},
  title        = {On Design and Analysis of a Feasible Network-on-Chip (NoC) Architecture},
  booktitle    = {Fourth International Conference on Information Technology: New Generations
                  {(ITNG} 2007), 2-4 April 2007, Las Vegas, Nevada, {USA}},
  pages        = {1033--1038},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ITNG.2007.139},
  doi          = {10.1109/ITNG.2007.139},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itng/BahnLB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbac-pad/LeeBB07,
  author       = {Seung Eun Lee and
                  Jun Ho Bahn and
                  Nader Bagherzadeh},
  title        = {Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor
                  {(CMP)}},
  booktitle    = {19th Symposium on Computer Architecture and High Performance Computing
                  {(SBAC-PAD} 2007), 24-27 October 2007, Gramado, RS, Brazil},
  pages        = {211--218},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/SBAC-PAD.2007.38},
  doi          = {10.1109/SBAC-PAD.2007.38},
  timestamp    = {Fri, 13 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbac-pad/LeeBB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/LeeB06,
  author       = {Seung Eun Lee and
                  Nader Bagherzadeh},
  editor       = {Reinaldo A. Bergamaschi and
                  Kiyoung Choi},
  title        = {Increasing the throughput of an adaptive router in network-on-chip
                  (NoC)},
  booktitle    = {Proceedings of the 4th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {82--87},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176254.1176276},
  doi          = {10.1145/1176254.1176276},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/LeeB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/KwonKAKL05,
  author       = {O'Dae Kwon and
                  M. J. Kim and
                  S.{-}J. An and
                  Dongkwon Kim and
                  Seung Eun Lee},
  title        = {Photonic quantum corral, carrier ordering, and photonic quantum dot/ring
                  device},
  journal      = {Microelectron. J.},
  volume       = {36},
  number       = {3-6},
  pages        = {298--300},
  year         = {2005},
  url          = {https://doi.org/10.1016/j.mejo.2005.02.083},
  doi          = {10.1016/J.MEJO.2005.02.083},
  timestamp    = {Tue, 26 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mj/KwonKAKL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icat/LeeLJP05,
  author       = {Jong Seo Lee and
                  Seung Eun Lee and
                  Sun Yean Jang and
                  Kyoung Shin Park},
  title        = {A simplified hand gesture interface for spherical manipulation in
                  virtual environments},
  booktitle    = {Proceedings of the 2005 international conference on Augmented tele-existence,
                  {ICAT} 2005, Christchurch, New Zealand, December 5-8, 2005},
  pages        = {284},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1152399.1152474},
  doi          = {10.1145/1152399.1152474},
  timestamp    = {Mon, 13 Apr 2020 17:55:30 +0200},
  biburl       = {https://dblp.org/rec/conf/icat/LeeLJP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}