Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Steven P. Levitan
@article{DBLP:journals/jetc/FangYJCL16, author = {Yan Fang and Victor V. Yashin and Brandon B. Jennings and Donald M. Chiarulli and Steven P. Levitan}, title = {A Simplified Phase Model for Simulation of Oscillator-Based Computing Systems}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {13}, number = {2}, pages = {14:1--14:20}, year = {2016}, url = {https://doi.org/10.1145/2976743}, doi = {10.1145/2976743}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jetc/FangYJCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/TsaiLJXSLCCRCLD16, author = {Wei{-}Yu Tsai and Xueqing Li and Matthew Jerry and Baihua Xie and Nikhil Shukla and Huichu Liu and Nandhini Chandramoorthy and Matthew Cotter and Arijit Raychowdhury and Donald M. Chiarulli and Steven P. Levitan and Suman Datta and John Sampson and Nagarajan Ranganathan and Vijaykrishnan Narayanan}, title = {Enabling New Computation Paradigms with HyperFET - An Emerging Device}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {2}, number = {1}, pages = {30--48}, year = {2016}, url = {https://doi.org/10.1109/TMSCS.2016.2519022}, doi = {10.1109/TMSCS.2016.2519022}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmscs/TsaiLJXSLCCRCLD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ThomasKCL16, author = {Christopher Thomas and Adriana Kovashka and Donald M. Chiarulli and Steven P. Levitan}, title = {A Visual Attention Algorithm Designed for Coupled Oscillator Acceleration}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2016, Las Vegas, NV, USA, June 26 - July 1, 2016}, pages = {828--836}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPRW.2016.108}, doi = {10.1109/CVPRW.2016.108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ThomasKCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PotkonjakCKL15, author = {Miodrag Potkonjak and Deming Chen and Priyank Kalla and Steven P. Levitan}, editor = {Diana Marculescu and Frank Liu}, title = {{DA} Vision 2015: From Here to Eternity}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {271--277}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372580}, doi = {10.1109/ICCAD.2015.7372580}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PotkonjakCKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChiarulliJFSL15, author = {Donald M. Chiarulli and Brandon B. Jennings and Yan Fang and Andrew J. Seel and Steven P. Levitan}, title = {A Computational Primitive for Convolution based on Coupled Oscillator Arrays}, booktitle = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015, Montpellier, France, July 8-10, 2015}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVLSI.2015.77}, doi = {10.1109/ISVLSI.2015.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChiarulliJFSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/FangYCL15, author = {Yan Fang and Victor V. Yashin and Donald M. Chiarulli and Steven P. Levitan}, title = {A Simplified Phase Model for Oscillator Based Computing}, booktitle = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015, Montpellier, France, July 8-10, 2015}, pages = {231--236}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVLSI.2015.44}, doi = {10.1109/ISVLSI.2015.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/FangYCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/FangYCL15, author = {Yan Fang and Victor V. Yashin and Donald M. Chiarulli and Steven P. Levitan}, title = {A Simplified Phase Model for Oscillator Based Computing}, journal = {CoRR}, volume = {abs/1508.00051}, year = {2015}, url = {http://arxiv.org/abs/1508.00051}, eprinttype = {arXiv}, eprint = {1508.00051}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/FangYCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NarayananDCCLW14, author = {Vijaykrishnan Narayanan and Suman Datta and Gert Cauwenberghs and Donald M. Chiarulli and Steven P. Levitan and H.{-}S. Philip Wong}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Video analytics using beyond {CMOS} devices}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--5}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.357}, doi = {10.7873/DATE.2014.357}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/NarayananDCCLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/DickersonCLCC14, author = {Samuel J. Dickerson and Donald M. Chiarulli and Steven P. Levitan and Craig Carthel and Stefano Coraluppi}, title = {Dielectrophoresis-based classification of cells using multi-target multiple-hypothesis tracking}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {1402--1405}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6943862}, doi = {10.1109/EMBC.2014.6943862}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/DickersonCLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/CoraluppiCDCL14, author = {Stefano Coraluppi and Craig Carthel and Samuel J. Dickerson and Donald M. Chiarulli and Steven P. Levitan}, title = {Feature-aided multiple-hypothesis tracking and classification of biological cells}, booktitle = {17th International Conference on Information Fusion, {FUSION} 2014, Salamanca, Spain, July 7-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/document/6916061/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fusion/CoraluppiCDCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangYSJBCL14, author = {Yan Fang and Victor V. Yashin and Andrew J. Seel and Brandon B. Jennings and Reggie Barnett and Donald M. Chiarulli and Steven P. Levitan}, editor = {Yao{-}Wen Chang}, title = {Modeling oscillator arrays for video analytic applications}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {86--91}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001336}, doi = {10.1109/ICCAD.2014.7001336}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/FangYSJBCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/CotterFLCN14, author = {Matthew J. Cotter and Yan Fang and Steven P. Levitan and Donald M. Chiarulli and Vijaykrishnan Narayanan}, title = {Computational Architectures Based on Coupled Oscillators}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa, FL, USA, July 9-11, 2014}, pages = {130--135}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISVLSI.2014.87}, doi = {10.1109/ISVLSI.2014.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/CotterFLCN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/JenningsBGCFCL14, author = {Brandon B. Jennings and Reggie Barnett and Chet N. Gnegy and John A. Carpenter and Yan Fang and Donald M. Chiarulli and Steven P. Levitan}, title = {{HMAX} image processing pipeline with coupled oscillator acceleration}, booktitle = {2014 {IEEE} Workshop on Signal Processing Systems, SiPS 2014, Belfast, United Kingdom, October 20-22, 2014}, pages = {286--291}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SiPS.2014.6986101}, doi = {10.1109/SIPS.2014.6986101}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/JenningsBGCFCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/FangCCL14, author = {Yan Fang and Matthew J. Cotter and Donald M. Chiarulli and Steven P. Levitan}, title = {Image Segmentation Using Frequency Locking of Coupled Oscillators}, journal = {CoRR}, volume = {abs/1405.2362}, year = {2014}, url = {http://arxiv.org/abs/1405.2362}, eprinttype = {arXiv}, eprint = {1405.2362}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/FangCCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LevitanFCGJACCP13, author = {Steven P. Levitan and Yan Fang and John A. Carpenter and Chet N. Gnegy and Natalie S. Janosik and Soyo Awosika{-}Olumo and Donald M. Chiarulli and Gy{\"{o}}rgy Csaba and Wolfgang Porod}, editor = {Pai H. Chou and Ru Huang and Yuan Xie and Tanay Karnik}, title = {Associative processing with coupled oscillators}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}, pages = {235}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISLPED.2013.6629300}, doi = {10.1109/ISLPED.2013.6629300}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LevitanFCGJACCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/JonesL11, author = {Alex K. Jones and Steven P. Levitan}, title = {Industrially inspired just-in-time {(JIT)} teaching}, booktitle = {2011 {IEEE} International Conference on Microelectronic Systems Education, {MSE} 2011, San Diego, CA, USA, June 5-6, 2011}, pages = {9--12}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/MSE.2011.5937079}, doi = {10.1109/MSE.2011.5937079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/JonesL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/DickersonLC09, author = {Samuel J. Dickerson and Steven P. Levitan and Donald M. Chiarulli}, title = {3D integrated circuits for lab-on-chip applications}, booktitle = {{IEEE} International Conference on 3D System Integration, 3DIC 2009, San Francisco, California, USA, 28-30 September 2009}, pages = {1--8}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/3DIC.2009.5306597}, doi = {10.1109/3DIC.2009.5306597}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/DickersonLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/IrelandCL09, author = {Kelli Ireland and Donald M. Chiarulli and Steven P. Levitan}, title = {A routerless system level interconnection network for 3D integrated systems}, booktitle = {{IEEE} International Conference on 3D System Integration, 3DIC 2009, San Francisco, California, USA, 28-30 September 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/3DIC.2009.5306557}, doi = {10.1109/3DIC.2009.5306557}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dic/IrelandCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LevitanC09, author = {Steven P. Levitan and Donald M. Chiarulli}, title = {Massively parallel processing: it's d{\'{e}}j{\`{a}} vu all over again}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {534--538}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630050}, doi = {10.1145/1629911.1630050}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LevitanC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/IrelandJLC09, author = {Kelli Ireland and Joseph Jezak and Steven P. Levitan and Donald M. Chiarulli}, editor = {Maurizio Palesi and Shashi Kumar}, title = {Scalable arbitration of partitioned bus interconnection networks in 3D-IC systems}, booktitle = {Second International Workshop on Network on Chip Architectures, NoCArc 2009, In conjunction with the 42nd Annual {IEEE/ACM} International Symposium on Microarchitecture (MICRO-42), December 12, 2009, New York, NY, {USA}}, pages = {77--82}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1645213.1645231}, doi = {10.1145/1645213.1645231}, timestamp = {Wed, 13 Feb 2019 11:42:26 +0100}, biburl = {https://dblp.org/rec/conf/micro/IrelandJLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BakosCL07, author = {Jason D. Bakos and Donald M. Chiarulli and Steven P. Levitan}, title = {Lightweight Error Correction Coding for System-Level Interconnects}, journal = {{IEEE} Trans. Computers}, volume = {56}, number = {3}, pages = {289--304}, year = {2007}, url = {https://doi.org/10.1109/TC.2007.49}, doi = {10.1109/TC.2007.49}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BakosCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Levitan07, author = {Steven P. Levitan}, title = {You Can Get There From Here: Connectivity of Random Graphs on Grids}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {272--273}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278549}, doi = {10.1145/1278480.1278549}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Levitan07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/LevitanMC07, author = {Steven P. Levitan and Jose A. Martinez and Donald M. Chiarulli}, title = {Non-Linear Circuit Simulation using {MATLAB}}, booktitle = {Forum on specification and Design Languages, {FDL} 2007, September 18-20, 2007, Barcelona, Spain, Proceedings}, pages = {1--5}, publisher = {{ECSI}}, year = {2007}, url = {http://www.ecsi-association.org/ecsi/main.asp?l1=library\&\#38;fn=def\&\#38;id=271}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/LevitanMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/JonesLRX07, author = {Alex K. Jones and Steven P. Levitan and Rob A. Rutenbar and Yuan Xie}, title = {Collaborative {VLSI-CAD} Instruction in the Digital Sandbox}, booktitle = {{IEEE} International Conference on Microelectronic Systems Education, {MSE} '07, San Diego, CA, USA, June 3-4, 2007}, pages = {141--142}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MSE.2007.29}, doi = {10.1109/MSE.2007.29}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/JonesLRX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MartinezLC06, author = {Jose A. Martinez and Steven P. Levitan and Donald M. Chiarulli}, editor = {Georges G. E. Gielen}, title = {Nonlinear model order reduction using remainder functions}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {281--282}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244138}, doi = {10.1109/DATE.2006.244138}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MartinezLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiarulliBML05, author = {Donald M. Chiarulli and Jason D. Bakos and Joel R. Martin and Steven P. Levitan}, title = {Area, power, and pin efficient bus transceiver using multi-bit-differential signaling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1662--1665}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464924}, doi = {10.1109/ISCAS.2005.1464924}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiarulliBML05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/WattanapongsakornL04, author = {Naruemon Wattanapongsakorn and Steven P. Levitan}, title = {Reliability optimization models for embedded systems with multiple applications}, journal = {{IEEE} Trans. Reliab.}, volume = {53}, number = {3}, pages = {406--416}, year = {2004}, url = {https://doi.org/10.1109/TR.2004.833310}, doi = {10.1109/TR.2004.833310}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/WattanapongsakornL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReedLBMC04, author = {D. K. Reed and Steven P. Levitan and J. Boles and Jose A. Martinez and Donald M. Chiarulli}, title = {An Application of Parallel Discrete Event Simulation Algorithms to Mixed Domain System Simulation}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {1356--1357}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1269085}, doi = {10.1109/DATE.2004.1269085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ReedLBMC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiarulliLBK04, author = {Donald M. Chiarulli and Steven P. Levitan and Jason D. Bakos and Charlie Kuznia}, title = {Active substrates for optoelectronic interconnect}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {592--595}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiarulliLBK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/GuptaLSC04, author = {Amit Gupta and Steven P. Levitan and Leo Selavo and Donald M. Chiarulli}, title = {High-Speed Optoelectronics Receivers in SiGe}, booktitle = {17th International Conference on {VLSI} Design {(VLSI} Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India}, pages = {957}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICVD.2004.1261054}, doi = {10.1109/ICVD.2004.1261054}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/GuptaLSC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LevitanMKDKBC03, author = {Steven P. Levitan and Jose A. Martinez and Timothy P. Kurzweg and Abhijit Davare and Mark Kahrs and Michael Bails and Donald M. Chiarulli}, title = {System simulation of mixed-signal multi-domain microsystems with piecewise linear models}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {2}, pages = {139--154}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2002.806604}, doi = {10.1109/TCAD.2002.806604}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LevitanMKDKBC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/KourtevHLCCCL03, author = {Ivan S. Kourtev and Raymond R. Hoare and Steven P. Levitan and Tom Cain and Bruce R. Childers and Donald M. Chiarulli and David L. Landis}, title = {Short Courses in System-on-a-Chip (SoC) Design}, booktitle = {2003 International Conference on Microelectronics Systems Education, {MSE} 2003, Educating Tomorrow's Microsystems Designers, Anaheim, CA, USA, June 1-2, 2003}, pages = {126--127}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/MSE.2003.1205285}, doi = {10.1109/MSE.2003.1205285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/KourtevHLCCCL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KurzwegLMKC02, author = {Timothy P. Kurzweg and Steven P. Levitan and Jose A. Martinez and Mark Kahrs and Donald M. Chiarulli}, title = {A fast optical propagation technique for modeling micro-optical systems}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {236--241}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.513979}, doi = {10.1145/513918.513979}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KurzwegLMKC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChuangBLCLL02, author = {Henry Y. H. Chuang and David P. Birch and Li{-}Chang Liu and Jong{-}Chih Chien and Steven P. Levitan and Ching{-}Chung Li}, title = {A High Speed Shift-Invariant Wavelet Transform Chip for Video Compression}, booktitle = {2002 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2002), 25-26 April 2002, Pittsburgh, PA, {USA}}, pages = {125--134}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISVLSI.2002.1016886}, doi = {10.1109/ISVLSI.2002.1016886}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChuangBLCLL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwls/Levitan02, author = {Steven P. Levitan}, title = {Giga = 1/Nano: {CAD} Tools and Modeling Challenges for Giga-Scale Mixed Technology Micro-Systems}, booktitle = {11th {IEEE/ACM} International Workshop on Logic {\&} Synthesis, {IWLS} 2002, June 4-7, 2002, New Orleans, Louisiana, {USA}}, pages = {399}, year = {2002}, timestamp = {Sun, 04 Aug 2019 18:01:44 +0200}, biburl = {https://dblp.org/rec/conf/iwls/Levitan02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/KhoslaSIVCLL01, author = {Pradeep K. Khosla and Herman Schmit and Mary Jane Irwin and Narayanan Vijaykrishnan and Tom Cain and Steven P. Levitan and Dave Landis}, title = {SoC Design Skills: Collaboration Builds a Stronger SoC Design Team}, booktitle = {2001 International Conference on Microelectronics Systems Education, {MSE} 2001, Las Vegas, NV, USA, July 17-18, 2001}, pages = {42--43}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/MSE.2001.932408}, doi = {10.1109/MSE.2001.932408}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/KhoslaSIVCLL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/HsiehL00, author = {Yee{-}Wing Hsieh and Steven P. Levitan}, title = {Abstraction techniques for verification of multiple tightly coupled counters, registers and comparators}, booktitle = {Proceedings of the {IEEE} International High-Level Design Validation and Test Workshop 2000, Berkeley, California, USA, November 8-10, 2000}, pages = {133--138}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/HLDVT.2000.889574}, doi = {10.1109/HLDVT.2000.889574}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/HsiehL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ChiarulliL00, author = {Donald M. Chiarulli and Steven P. Levitan}, editor = {Jos{\'{e}} D. P. Rolim}, title = {Optoelectronic Multi-chip Modules Based on Imaging Fiber Bundle Structures}, booktitle = {Parallel and Distributed Processing, 15 {IPDPS} 2000 Workshops, Cancun, Mexico, May 1-5, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1800}, pages = {1132--1132}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45591-4\_154}, doi = {10.1007/3-540-45591-4\_154}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/ipps/ChiarulliL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ChoiL99, author = {Kyumyung Choi and Steven P. Levitan}, title = {A flexible datapath allocation method for architectural synthesis}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {4}, number = {4}, pages = {376--404}, year = {1999}, url = {https://doi.org/10.1145/323480.323486}, doi = {10.1145/323480.323486}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ChoiL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KurzwegLMMPC99, author = {Timothy P. Kurzweg and Steven P. Levitan and Philippe J. Marchand and Jose A. Martinez and Kurt R. Prough and Donald M. Chiarulli}, editor = {Mary Jane Irwin}, title = {A {CAD} Tool for Optical {MEMS}}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {879--884}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.310092}, doi = {10.1145/309847.310092}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KurzwegLMMPC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/HsiehL98, author = {Yee{-}Wing Hsieh and Steven P. Levitan}, title = {Control / Data-Flow Analysis for {VHDL} Semantic Extraction}, journal = {J. Inf. Sci. Eng.}, volume = {14}, number = {3}, pages = {547--565}, year = {1998}, url = {http://www.iis.sinica.edu.tw/page/jise/1998/199809\_02.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/HsiehL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsiehL98, author = {Yee{-}Wing Hsieh and Steven P. Levitan}, editor = {Patrick M. Dewilde and Franz J. Rammig and Gerry Musgrave}, title = {Model Abstraction for Formal Verification}, booktitle = {1998 Design, Automation and Test in Europe {(DATE} '98), February 23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France}, pages = {140--147}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/DATE.1998.655848}, doi = {10.1109/DATE.1998.655848}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HsiehL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/PlusquellicCL98, author = {James F. Plusquellic and Donald M. Chiarulli and Steven P. Levitan}, title = {Characterization of {CMOS} Defects using Transient Signal Analysis}, booktitle = {13th International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} '98), 2-4 November 1998, Austin, TX, USA, Proceedings}, pages = {93--101}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/DFTVS.1998.732155}, doi = {10.1109/DFTVS.1998.732155}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/PlusquellicCL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/SakrLGC98, author = {Majd F. Sakr and Steven P. Levitan and C. Lee Giles and Donald M. Chiarulli}, title = {Reconfigurable Processor Architectures Exploiting High Bandwidth Optical Channels}, booktitle = {6th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} '98), 15-17 April 1998, Napa Valley, CA, {USA}}, pages = {275--276}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/FPGA.1998.707914}, doi = {10.1109/FPGA.1998.707914}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/SakrLGC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LevitanMKRCFM97, author = {Steven P. Levitan and Philippe J. Marchand and Timothy P. Kurzweg and M. A. Rempel and Donald M. Chiarulli and C. Fan and F. B. McCormick}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {Computer-Aided Design of Free-Space Opto-Electronic Systems}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {768--773}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266369}, doi = {10.1145/266021.266369}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LevitanMKRCFM97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/Al-HoumailyCL97, author = {Yousef J. Al{-}Houmaily and Panos K. Chrysanthis and Steven P. Levitan}, editor = {W. A. Gray and Per{-}{\AA}ke Larson}, title = {An Argument in Favour of Presumed Commit Protocol}, booktitle = {Proceedings of the Thirteenth International Conference on Data Engineering, April 7-11, 1997, Birmingham, {UK}}, pages = {255--265}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICDE.1997.581795}, doi = {10.1109/ICDE.1997.581795}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/Al-HoumailyCL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SakrLCHG97, author = {Majd F. Sakr and Steven P. Levitan and Donald M. Chiarulli and Bill G. Horne and C. Lee Giles}, editor = {Douglas H. Fisher}, title = {Predicting Multiprocessor Memory Access Patterns with Learning Models}, booktitle = {Proceedings of the Fourteenth International Conference on Machine Learning {(ICML} 1997), Nashville, Tennessee, USA, July 8-12, 1997}, pages = {305--312}, publisher = {Morgan Kaufmann}, year = {1997}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/SakrLCHG97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PlusquellicCL97, author = {James F. Plusquellic and Donald M. Chiarulli and Steven P. Levitan}, title = {Identification of Defective {CMOS} Devices Using Correlation and Regression Analysis of Frequency Domain Transient Signal Data}, booktitle = {Proceedings {IEEE} International Test Conference 1997, Washington, DC, USA, November 3-5, 1997}, pages = {40--49}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/TEST.1997.639592}, doi = {10.1109/TEST.1997.639592}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PlusquellicCL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/Al-HoumailyCL97, author = {Yousef J. Al{-}Houmaily and Panos K. Chrysanthis and Steven P. Levitan}, editor = {Barrett R. Bryant and Janice H. Carroll and Dave Oppenheim and Jim Hightower and K. M. George}, title = {Enhancing the performance of presumed commit protocol}, booktitle = {Proceedings of the 1997 {ACM} symposium on Applied Computing, SAC'97, San Jose, CA, USA, February 28 - March 1}, pages = {131--133}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/331697.331725}, doi = {10.1145/331697.331725}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/Al-HoumailyCL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnn/SakrGLHMC96, author = {Majd F. Sakr and C. Lee Giles and Steven P. Levitan and Bill G. Horne and Marco Maggini and Donald M. Chiarulli}, title = {Online prediction of multiprocessor memory access patterns}, booktitle = {Proceedings of International Conference on Neural Networks (ICNN'96), Washington, DC, USA, June 3-6, 1996}, pages = {1564--1569}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/ICNN.1996.549133}, doi = {10.1109/ICNN.1996.549133}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnn/SakrGLHMC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PlusquellicCL96, author = {James F. Plusquellic and Donald M. Chiarulli and Steven P. Levitan}, title = {Digital Integrated Circuit Testing using Transient Signal Analysis}, booktitle = {Proceedings {IEEE} International Test Conference 1996, Test and Design Validity, Washington, DC, USA, October 20-25, 1996}, pages = {481--490}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/TEST.1996.557062}, doi = {10.1109/TEST.1996.557062}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PlusquellicCL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FrezzaLC95, author = {Stephen T. Frezza and Steven P. Levitan and Panos K. Chrysanthis}, editor = {Bryan Preas}, title = {Requirements-Based Design Evaluation}, booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995}, pages = {76--81}, publisher = {{ACM} Press}, year = {1995}, url = {https://doi.org/10.1145/217474.217510}, doi = {10.1145/217474.217510}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/FrezzaLC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/GravenstreterMCLT95, author = {Gregory Gravenstreter and Rami G. Melhem and Donald M. Chiarulli and Steven P. Levitan and James P. Teza}, title = {The Partitioned Optical Passive Stars {(POPS)} topology}, booktitle = {Proceedings of {IPPS} '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, {USA}}, pages = {4--10}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/IPPS.1995.395906}, doi = {10.1109/IPPS.1995.395906}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/GravenstreterMCLT95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiL95, author = {Kyumyung Choi and Steven P. Levitan}, title = {Exploration of Area and Performance Optimized Datapath Design Using Realistic Cost Metrics}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {1049--1052}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ISCAS.1995.519947}, doi = {10.1109/ISCAS.1995.519947}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/QiaoMCL94, author = {Chunming Qiao and Rami G. Melhem and Donald M. Chiarulli and Steven P. Levitan}, title = {Dynamic Reconfiguration of Optically Interconnected Networks with Time-Division Multiplexing}, journal = {J. Parallel Distributed Comput.}, volume = {22}, number = {2}, pages = {268--278}, year = {1994}, url = {https://doi.org/10.1006/jpdc.1994.1086}, doi = {10.1006/JPDC.1994.1086}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/QiaoMCL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ChiarulliLMBDGG94, author = {Donald M. Chiarulli and Steven P. Levitan and Rami G. Melhem and Manoj Bidnurkar and Robert Ditmore and Gregory Gravenstreter and Zicheng Guo and Chungming Qiao and Majd F. Sakr and James P. Teza}, title = {Optoelectronic buses for high-performance computing}, journal = {Proc. {IEEE}}, volume = {82}, number = {11}, pages = {1701--1710}, year = {1994}, url = {https://doi.org/10.1109/5.333748}, doi = {10.1109/5.333748}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ChiarulliLMBDGG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FrezzaL93, author = {Stephen T. Frezza and Steven P. Levitan}, title = {{SPAR:} a schematic place and route system}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {7}, pages = {956--973}, year = {1993}, url = {https://doi.org/10.1109/43.238032}, doi = {10.1109/43.238032}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FrezzaL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/charme/MartelloL93, author = {Alan R. Martello and Steven P. Levitan}, editor = {George J. Milne and Laurence Pierre}, title = {Temporal Analysis of Time Bounded Digital Systems}, booktitle = {Correct Hardware Design and Verification Methods, {IFIP} {WG} 10.5 Advanced Research Working Conference, {CHARME} '93, Arles, France, May 24-26, 1993, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {683}, pages = {27--38}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/BFb0021712}, doi = {10.1007/BFB0021712}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/charme/MartelloL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/GuoMHCL91, author = {Zicheng Guo and Rami G. Melhem and Richard W. Hall and Donald M. Chiarulli and Steven P. Levitan}, title = {Pipelined Communications in Optically Interconnected Arrays}, journal = {J. Parallel Distributed Comput.}, volume = {12}, number = {3}, pages = {269--282}, year = {1991}, url = {https://doi.org/10.1016/0743-7315(91)90130-2}, doi = {10.1016/0743-7315(91)90130-2}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/GuoMHCL91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/QiaoMCL91, author = {Chunming Qiao and Rami G. Melhem and Donald M. Chiarulli and Steven P. Levitan}, title = {Multicasting in Optical Bus Connected Processors Using Coincident Pulse Techniques}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {708--709}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/QiaoMCL91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ChiarulliLM90, author = {Donald M. Chiarulli and Steven P. Levitan and Rami G. Melhem}, title = {Optical Bus Control for Distributed Multiprocessors}, journal = {J. Parallel Distributed Comput.}, volume = {10}, number = {1}, pages = {45--54}, year = {1990}, url = {https://doi.org/10.1016/0743-7315(90)90005-A}, doi = {10.1016/0743-7315(90)90005-A}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ChiarulliLM90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MartelloLC90, author = {Alan R. Martello and Steven P. Levitan and Donald M. Chiarulli}, editor = {Richard C. Smith}, title = {Timing Verification Using {HDTV}}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {118--123}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123238}, doi = {10.1145/123186.123238}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MartelloLC90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/MelhemCL89, author = {Rami G. Melhem and Donald M. Chiarulli and Steven P. Levitan}, title = {Space Multiplexing of Waveguides in Optically Interconnected Multiprocessor Systems}, journal = {Comput. J.}, volume = {32}, number = {4}, pages = {362--369}, year = {1989}, url = {https://doi.org/10.1093/comjnl/32.4.362}, doi = {10.1093/COMJNL/32.4.362}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/MelhemCL89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/WeemsLHRSN89, author = {Charles C. Weems and Steven P. Levitan and Allen R. Hanson and Edward M. Riseman and David B. Shu and J. Gregory Nash}, title = {The image understanding architecture}, journal = {Int. J. Comput. Vis.}, volume = {2}, number = {3}, pages = {251--282}, year = {1989}, url = {https://doi.org/10.1007/BF00158166}, doi = {10.1007/BF00158166}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcv/WeemsLHRSN89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/ChiarulliML87, author = {Donald M. Chiarulli and Rami G. Melhem and Steven P. Levitan}, title = {Using Coincident Optical Pulses for Parallel Memory Addressing}, journal = {Computer}, volume = {20}, number = {12}, pages = {48--57}, year = {1987}, url = {https://doi.org/10.1109/MC.1987.1663446}, doi = {10.1109/MC.1987.1663446}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/ChiarulliML87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/Levitan85, author = {Steven P. Levitan}, title = {Evaluation Criteria for Communication Structures in Parallel Architectures}, booktitle = {International Conference on Parallel Processing, ICPP'85, University Park, PA, USA, August 1985}, pages = {147--154}, publisher = {{IEEE} Computer Society Press}, year = {1985}, timestamp = {Mon, 28 Jul 2014 17:06:01 +0200}, biburl = {https://dblp.org/rec/conf/icpp/Levitan85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/Levitan82, author = {Steven P. Levitan}, title = {Algorithms for a Broadcast Protocol Multiprocessor}, booktitle = {Proceedings of the 3rd International Conference on Distributed Computing Systems, Miami/Ft. Lauderdale, Florida, USA, October 18-22, 1982}, pages = {666--672}, publisher = {{IEEE} Computer Society}, year = {1982}, timestamp = {Wed, 21 Sep 2005 15:11:36 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/Levitan82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LevitanF82, author = {Steven P. Levitan and Caxton C. Foster}, editor = {Stephen A. Szygenda and John Hughes and Matt Blanton and Terry J. Wagner and Dennis J. Frailey and Tom Gunter and Chuck McLeavy and G. Jack Lipovski and Miroslaw Malek}, title = {Finding an extremum in a network}, booktitle = {9th International Symposium on Computer Architecture {(ISCA} 1982), Austin, TX, USA, April 26-29, 1982}, pages = {321--325}, publisher = {{IEEE} Computer Society}, year = {1982}, url = {https://dl.acm.org/doi/10.5555/800048.801741}, doi = {10.5555/800048.801741}, timestamp = {Thu, 15 Jul 2021 16:04:52 +0200}, biburl = {https://dblp.org/rec/conf/isca/LevitanF82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.