BibTeX records: Abdelkarim Mercha

download as .bib file

@article{DBLP:journals/tvlsi/BaoRTMVTW17,
  author       = {Trong Huynh Bao and
                  Julien Ryckaert and
                  Zsolt Tokei and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Voon{-}Yew Thean and
                  Piet Wambacq},
  title        = {Statistical Timing Analysis Considering Device and Interconnect Variability
                  for {BEOL} Requirements in the 5-nm Node and Beyond},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {5},
  pages        = {1669--1680},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2647853},
  doi          = {10.1109/TVLSI.2017.2647853},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/BaoRTMVTW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ParvaisWMVTSNOA15,
  author       = {Bertrand Parvais and
                  Piet Wambacq and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean and
                  Ken Sawada and
                  Kazuki Nomoto and
                  Tetsuya Oishi and
                  Hiroaki Ammo},
  title        = {A digital intensive circuit for low-frequency noise monitoring in
                  28nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387446},
  doi          = {10.1109/ASSCC.2015.7387446},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ParvaisWMVTSNOA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/RaghavanBJSYRMH15,
  author       = {Praveen Raghavan and
                  Marie Garcia Bardon and
                  Doyoung Jang and
                  P. Schuddinck and
                  Dmitry Yakimets and
                  Julien Ryckaert and
                  Abdelkarim Mercha and
                  Naoto Horiguchi and
                  Nadine Collaert and
                  Anda Mocuta and
                  Dan Mocuta and
                  Zsolt Tokei and
                  Diederik Verkest and
                  Aaron Thean and
                  An Steegen},
  title        = {Holisitic device exploration for 7nm node},
  booktitle    = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San
                  Jose, CA, USA, September 28-30, 2015},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CICC.2015.7338377},
  doi          = {10.1109/CICC.2015.7338377},
  timestamp    = {Wed, 27 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/RaghavanBJSYRMH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/BaoSRYMVTW15,
  author       = {Trong Huynh Bao and
                  Sushil Sakhare and
                  Julien Ryckaert and
                  Dmitry Yakimets and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Voon{-}Yew Thean and
                  Piet Wambacq},
  title        = {Design technology co-optimization for enabling 5nm gate-all-around
                  nanowire 6T {SRAM}},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165874},
  doi          = {10.1109/ICICDT.2015.7165874},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/BaoSRYMVTW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/BardonSRJYMVT15,
  author       = {Marie Garcia Bardon and
                  P. Schuddinck and
                  Praveen Raghavan and
                  Doyoung Jang and
                  Dmitry Yakimets and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean},
  title        = {Dimensioning for power and performance under 10nm: The limits of FinFETs
                  scaling},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165883},
  doi          = {10.1109/ICICDT.2015.7165883},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/BardonSRJYMVT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/FievetRBRMVT15,
  author       = {Nathalie Fievet and
                  Praveen Raghavan and
                  Rogier Baert and
                  Fr{\'{e}}d{\'{e}}ric Robert and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean},
  title        = {Impact of device and interconnect process variability on clock distribution},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165895},
  doi          = {10.1109/ICICDT.2015.7165895},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/FievetRBRMVT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/MiyaguchiPRWRMM15,
  author       = {Kenichi Miyaguchi and
                  Bertrand Parvais and
                  Lars{-}{\AA}ke Ragnarsson and
                  Piet Wambacq and
                  Praveen Raghavan and
                  Abdelkarim Mercha and
                  Anda Mocuta and
                  Diederik Verkest and
                  Aaron Thean},
  title        = {Modeling FinFET metal gate stack resistance for 14nm node and beyond},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165885},
  doi          = {10.1109/ICICDT.2015.7165885},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/MiyaguchiPRWRMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/TomidaHDHJMCKMH15,
  author       = {Kazuyuki Tomida and
                  Keizo Hiraga and
                  Morin Dehan and
                  Geert Hellings and
                  Doyoung Jang and
                  Kenichi Miyaguchi and
                  Thomas Chiarella and
                  Minsoo Kim and
                  Anda Mocuta and
                  Naoto Horiguchi and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean},
  title        = {Impact of fin shape variability on device performance towards 10nm
                  node},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165884},
  doi          = {10.1109/ICICDT.2015.7165884},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/TomidaHDHJMCKMH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/YakimetsJREMSMB15,
  author       = {Dmitry Yakimets and
                  Doyoung Jang and
                  Praveen Raghavan and
                  Geert Eneman and
                  Hans Mertens and
                  P. Schuddinck and
                  Arindam Mallik and
                  Marie Garcia Bardon and
                  Nadine Collaert and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean and
                  Kristin De Meyer},
  title        = {Lateral {NWFET} optimization for beyond 7nm nodes},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165887},
  doi          = {10.1109/ICICDT.2015.7165887},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/YakimetsJREMSMB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/RyckaertRBBDMSVWCCDJLLMPSWMBHMTTCVS14,
  author       = {Julien Ryckaert and
                  Praveen Raghavan and
                  Rogier Baert and
                  Marie Garcia Bardon and
                  Mircea Dusa and
                  Arindam Mallik and
                  Sushil Sakhare and
                  Boris Vandewalle and
                  Piet Wambacq and
                  Bharani Chava and
                  Kris Croes and
                  Morin Dehan and
                  Doyoung Jang and
                  Philippe Leray and
                  Tsung{-}Te Liu and
                  Kenichi Miyaguchi and
                  Bertrand Parvais and
                  Pieter Schuddinck and
                  Philippe Weemaes and
                  Abdelkarim Mercha and
                  J{\"{u}}rgen B{\"{o}}mmels and
                  Naoto Horiguchi and
                  Greg McIntyre and
                  Aaron Thean and
                  Zsolt T{\"{o}}kei and
                  Shaunee Cheng and
                  Diederik Verkest and
                  An Steegen},
  title        = {Design Technology co-optimization for {N10}},
  booktitle    = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference,
                  {CICC} 2014, San Jose, CA, USA, September 15-17, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/CICC.2014.6946037},
  doi          = {10.1109/CICC.2014.6946037},
  timestamp    = {Mon, 02 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/RyckaertRBBDMSVWCCDJLLMPSWMBHMTTCVS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/BaoYRCBVBCRDRMTVTW14,
  author       = {Trong Huynh Bao and
                  Dmitry Yakimets and
                  Julien Ryckaert and
                  Ivan Ciofi and
                  Rogier Baert and
                  Anabela Veloso and
                  J{\"{u}}rgen B{\"{o}}mmels and
                  Nadine Collaert and
                  Philippe Roussel and
                  S. Demuynck and
                  Praveen Raghavan and
                  Abdelkarim Mercha and
                  Zsolt Tokei and
                  Diederik Verkest and
                  Aaron Thean and
                  Piet Wambacq},
  title        = {Circuit and process co-design with vertical gate-all-around nanowire
                  {FET} technology to extend {CMOS} scaling for 5nm and beyond technologies},
  booktitle    = {44th European Solid State Device Research Conference, {ESSDERC} 2014,
                  Venice Lido, Italy, September 22-26, 2014},
  pages        = {102--105},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ESSDERC.2014.6948768},
  doi          = {10.1109/ESSDERC.2014.6948768},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/BaoYRCBVBCRDRMTVTW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MallikZLCBBBCRBMV13,
  author       = {Arindam Mallik and
                  Paul Zuber and
                  Tsung{-}Te Liu and
                  Bharani Chava and
                  Bhavana Ballal and
                  Pablo Royer Del Bario and
                  Rogier Baert and
                  Kris Croes and
                  Julien Ryckaert and
                  Mustafa Badaroglu and
                  Abdelkarim Mercha and
                  Diederik Verkest},
  title        = {{TEASE:} a systematic analysis framework for early evaluation of FinFET-based
                  advanced technology nodes},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {24:1--24:6},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488764},
  doi          = {10.1145/2463209.2488764},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/MallikZLCBBBCRBMV13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/JangBYMKCRDM13,
  author       = {Doyoung Jang and
                  Marie Garcia Bardon and
                  Dmitry Yakimets and
                  Kenichi Miyaguchi and
                  An De Keersgieter and
                  Thomas Chiarella and
                  Romain Ritzenthaler and
                  Morin Dehan and
                  Abdelkarim Mercha},
  title        = {{STI} and eSiGe source/drain epitaxy induced stress modeling in 28
                  nm technology with replacement gate {(RMG)} process},
  booktitle    = {Proceedings of the European Solid-State Device Research Conference,
                  {ESSDERC} 2013, Bucharest, Romania, September 16-20, 2013},
  pages        = {159--162},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ESSDERC.2013.6818843},
  doi          = {10.1109/ESSDERC.2013.6818843},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/JangBYMKCRDM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/GuoPIECWMGCRBJV12,
  author       = {Wei Guo and
                  Geert Van der Plas and
                  Andrej Ivankovic and
                  Geert Eneman and
                  Vladimir Cherman and
                  Bart De Wachter and
                  Abdelkarim Mercha and
                  Mario Gonzalez and
                  Yann Civale and
                  Augusto Redolfi and
                  Thibault Buisson and
                  A. Jourdan and
                  Bart Vandevelde and
                  Kenneth J. Rebibis and
                  Ingrid De Wolf and
                  Antonio La Manna and
                  Gerald Beyer and
                  Eric Beyne and
                  Bart Swinnen},
  title        = {3D chip package interaction thermo-mechanical challenges: Proximity
                  effects of Through Silicon vias and {\(\mu\)}-bumps},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232855},
  doi          = {10.1109/ICICDT.2012.6232855},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/GuoPIECWMGCRBJV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PlasLLMOTTLSKVCVSWLPBMCROPBORWDNAPABDTBM11,
  author       = {Geert Van der Plas and
                  Paresh Limaye and
                  Igor Loi and
                  Abdelkarim Mercha and
                  Herman Oprins and
                  Cristina Torregiani and
                  Steven Thijs and
                  Dimitri Linten and
                  Michele Stucchi and
                  Guruprasad Katti and
                  Dimitrios Velenis and
                  Vladimir Cherman and
                  Bart Vandevelde and
                  Veerle Simons and
                  Ingrid De Wolf and
                  Riet Labie and
                  Dan Perry and
                  Stephane Bronckers and
                  Nikolaos Minas and
                  Miro Cupac and
                  Wouter Ruythooren and
                  Jan Van Olmen and
                  Alain Phommahaxay and
                  Muriel de Potter de ten Broeck and
                  Ann Opdebeeck and
                  Michal Rakowski and
                  Bart De Wachter and
                  Morin Dehan and
                  Marc Nelis and
                  Rahul Agarwal and
                  Antonio Pullini and
                  Federico Angiolini and
                  Luca Benini and
                  Wim Dehaene and
                  Youssef Travaly and
                  Eric Beyne and
                  Paul Marchal},
  title        = {Design Issues and Considerations for Low-Cost 3-D {TSV} {IC} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {46},
  number       = {1},
  pages        = {293--307},
  year         = {2011},
  url          = {https://doi.org/10.1109/JSSC.2010.2074070},
  doi          = {10.1109/JSSC.2010.2074070},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PlasLLMOTTLSKVCVSWLPBMCROPBORWDNAPABDTBM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/3dic/IvankovicPMCCMMGEZBDMVBBVWV11,
  author       = {Andrej Ivankovic and
                  Geert Van der Plas and
                  V. Moroz and
                  M. Choi and
                  Vladimir Cherman and
                  Abdelkarim Mercha and
                  Paul Marchal and
                  Marcel Gonzalez and
                  Geert Eneman and
                  Wenqi Zhang and
                  Thibault Buisson and
                  Mikael Detalle and
                  Antonio La Manna and
                  Diederik Verkest and
                  Gerald Beyer and
                  Eric Beyne and
                  Bart Vandevelde and
                  Ingrid De Wolf and
                  Dirk Vandepitte},
  editor       = {Mitsumasa Koyanagi and
                  Morihiro Kada},
  title        = {Analysis of microbump induced stress effects in 3D stacked {IC} technologies},
  booktitle    = {2011 {IEEE} International 3D Systems Integration Conference (3DIC),
                  Osaka, Japan, January 31 - February 2, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/3DIC.2012.6262972},
  doi          = {10.1109/3DIC.2012.6262972},
  timestamp    = {Mon, 19 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/3dic/IvankovicPMCCMMGEZBDMVBBVWV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/EnemanCMMCMMBHP11,
  author       = {Geert Eneman and
                  J. Cho and
                  V. Moroz and
                  Dragomir Milojevic and
                  M. Choi and
                  Kristin De Meyer and
                  Abdelkarim Mercha and
                  Eric Beyne and
                  Thomas Hoffmann and
                  Geert Van der Plas},
  title        = {An analytical compact model for estimation of stress in multiple Through-Silicon
                  Via configurations},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {505--506},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763088},
  doi          = {10.1109/DATE.2011.5763088},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/EnemanCMMCMMBHP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/PlasTLKLMSOVMCDNADTBM10,
  author       = {Geert Van der Plas and
                  Steven Thijs and
                  Dimitri Linten and
                  Guruprasad Katti and
                  Paresh Limaye and
                  Abdelkarim Mercha and
                  Michele Stucchi and
                  Herman Oprins and
                  Bart Vandevelde and
                  Nikolaos Minas and
                  Miro Cupac and
                  Morin Dehan and
                  Marc Nelis and
                  Rahul Agarwal and
                  Wim Dehaene and
                  Youssef Travaly and
                  Eric Beyne and
                  Paul Marchal},
  editor       = {Jacqueline Snyder and
                  Rakesh Patel and
                  Tom Andre},
  title        = {Verifying electrical/thermal/thermo-mechanical behavior of a 3D stack
                  - Challenges and solutions},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose,
                  California, USA, 19-22 September, 2010, Proceedings},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/CICC.2010.5617425},
  doi          = {10.1109/CICC.2010.5617425},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/PlasTLKLMSOVMCDNADTBM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MinasWMSOMPVM10,
  author       = {Nikolaos Minas and
                  Ingrid De Wolf and
                  Erik Jan Marinissen and
                  Michele Stucchi and
                  Herman Oprins and
                  Abdelkarim Mercha and
                  Geert Van der Plas and
                  Dimitrios Velenis and
                  Pol Marchal},
  title        = {3D integration: Circuit design, test, and reliability challenges},
  booktitle    = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010),
                  5-7 July, 2010, Corfu, Greece},
  pages        = {217},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/IOLTS.2010.5560201},
  doi          = {10.1109/IOLTS.2010.5560201},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/MinasWMSOMPVM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHCMDRW10,
  author       = {Wen{-}Chieh Wang and
                  Zue{-}Der Huang and
                  Geert Carchon and
                  Abdelkarim Mercha and
                  Stefaan Decoutere and
                  Walter De Raedt and
                  Chung{-}Yu Wu},
  title        = {45-nm Planar bulk-CMOS 23-GHz LNAs with high-Q above-IC inductors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {741--744},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537471},
  doi          = {10.1109/ISCAS.2010.5537471},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHCMDRW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PlasLMOTTLSKVSCVSWLPBMCROPBORWDNADTMB10,
  author       = {Geert Van der Plas and
                  Paresh Limaye and
                  Abdelkarim Mercha and
                  Herman Oprins and
                  Cristina Torregiani and
                  Steven Thijs and
                  Dimitri Linten and
                  Michele Stucchi and
                  Guruprasad Katti and
                  Dimitrios Velenis and
                  Domae Shinichi and
                  Vladimir Cherman and
                  Bart Vandevelde and
                  Veerle Simons and
                  Ingrid De Wolf and
                  Riet Labie and
                  Dan Perry and
                  Stephane Bronckers and
                  Nikolaos Minas and
                  Miro Cupac and
                  Wouter Ruythooren and
                  Jan Van Olmen and
                  Alain Phommahaxay and
                  Muriel de Potter de ten Broeck and
                  Ann Opdebeeck and
                  Michal Rakowski and
                  Bart De Wachter and
                  Morin Dehan and
                  Marc Nelis and
                  Rahul Agarwal and
                  Wim Dehaene and
                  Youssef Travaly and
                  Pol Marchal and
                  Eric Beyne},
  title        = {Design issues and considerations for low-cost 3D {TSV} {IC} technology},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010,
                  Digest of Technical Papers, San Francisco, CA, USA, 7-11 February,
                  2010},
  pages        = {148--149},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSCC.2010.5434016},
  doi          = {10.1109/ISSCC.2010.5434016},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PlasLMOTTLSKVSCVSWLPBMCROPBORWDNADTMB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/SubramanianMPDGSD10,
  author       = {Vaidyanathan Subramanian and
                  Abdelkarim Mercha and
                  Bertrand Parvais and
                  Morin Dehan and
                  Guido Groeseneken and
                  Willy M. C. Sansen and
                  Stefaan Decoutere},
  title        = {Identifying the Bottlenecks to the {RF} Performance of FinFETs},
  booktitle    = {{VLSI} Design 2010: 23rd International Conference on {VLSI} Design,
                  9th International Conference on Embedded Systems, Bangalore, India,
                  3-7 January 2010},
  pages        = {111--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSI.Design.2010.19},
  doi          = {10.1109/VLSI.DESIGN.2010.19},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/SubramanianMPDGSD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/3dic/OlmenCDMHJKMRSTBS09,
  author       = {Jan Van Olmen and
                  Jan Coenen and
                  Wim Dehaene and
                  Kristin De Meyer and
                  Cedric Huyghebaert and
                  Anne Jourdain and
                  Guruprasad Katti and
                  Abdelkarim Mercha and
                  Michal Rakowski and
                  Michele Stucchi and
                  Youssef Travaly and
                  Eric Beyne and
                  Bart Swinnen},
  title        = {3D Stacked {IC} demonstrator using Hybrid Collective Die-to-Wafer
                  bonding with copper Through Silicon Vias {(TSV)}},
  booktitle    = {{IEEE} International Conference on 3D System Integration, 3DIC 2009,
                  San Francisco, California, USA, 28-30 September 2009},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/3DIC.2009.5306600},
  doi          = {10.1109/3DIC.2009.5306600},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/3dic/OlmenCDMHJKMRSTBS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/ChiarellaWMKDRO09,
  author       = {Thomas Chiarella and
                  Liesbeth Witters and
                  Abdelkarim Mercha and
                  Christoph Kerner and
                  Rok Dittrich and
                  Michal Rakowski and
                  Claude Ortolland and
                  Lars{-}{\AA}ke Ragnarsson and
                  Bertrand Parvais and
                  An De Keersgieter and
                  Stefan Kubicek and
                  Augusto Redolfi and
                  R. Rooyackers and
                  C. Vrancken and
                  S. Brus and
                  A. Lauwers and
                  Philippe Absil and
                  S. Biesemans and
                  Thomas Y. Hoffmann},
  title        = {Migrating from planar to FinFET for further {CMOS} scaling: {SOI}
                  or bulk?},
  booktitle    = {35th European Solid-State Circuits Conference, {ESSCIRC} 2009, Athens,
                  Greece, 14-18 September 2009},
  pages        = {84--87},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ESSCIRC.2009.5325993},
  doi          = {10.1109/ESSCIRC.2009.5325993},
  timestamp    = {Mon, 15 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/ChiarellaWMKDRO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/BorremansTDMW09,
  author       = {Jonathan Borremans and
                  Steven Thijs and
                  Morin Dehan and
                  Abdelkarim Mercha and
                  Piet Wambacq},
  title        = {Low-cost feedback-enabled LNAs in 45nm {CMOS}},
  booktitle    = {35th European Solid-State Circuits Conference, {ESSCIRC} 2009, Athens,
                  Greece, 14-18 September 2009},
  pages        = {100--103},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ESSCIRC.2009.5326024},
  doi          = {10.1109/ESSCIRC.2009.5326024},
  timestamp    = {Tue, 07 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/BorremansTDMW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/SiprakWPMFKDD09,
  author       = {Domagoj Siprak and
                  Piet Wambacq and
                  Bertrand Parvais and
                  Abdelkarim Mercha and
                  Michael Fulde and
                  Jesenka Veledar Kruger and
                  Morin Dehan and
                  Stefaan Decoutere},
  title        = {FinFET {RF} receiver building blocks operating above 10 GHz},
  booktitle    = {35th European Solid-State Circuits Conference, {ESSCIRC} 2009, Athens,
                  Greece, 14-18 September 2009},
  pages        = {360--363},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ESSCIRC.2009.5325986},
  doi          = {10.1109/ESSCIRC.2009.5325986},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/SiprakWPMFKDD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WambacqMSVBHTLPPDDSCJ08,
  author       = {Piet Wambacq and
                  Abdelkarim Mercha and
                  Karen Scheir and
                  Bob Verbruggen and
                  Jonathan Borremans and
                  Vincent De Heyn and
                  Steven Thijs and
                  Dimitri Linten and
                  Geert Van der Plas and
                  Bertrand Parvais and
                  Morin Dehan and
                  Stefaan Decoutere and
                  Charlotte Soens and
                  Nadine Collaert and
                  Malgorzata Jurczak},
  title        = {Advanced Planar Bulk and Multigate {CMOS} Technology: Analog-Circuit
                  Benchmarking up to mm-Wave Frequencies},
  booktitle    = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2008, Digest of Technical Papers, San Francisco, CA, USA, February
                  3-7, 2008},
  pages        = {528--529},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSCC.2008.4523290},
  doi          = {10.1109/ISSCC.2008.4523290},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/WambacqMSVBHTLPPDDSCJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/AkarvardarMSSCGC07,
  author       = {Kerem Akarvardar and
                  Abdelkarim Mercha and
                  Eddy Simoen and
                  Vaidyanathan Subramanian and
                  Cor Claeys and
                  Pierre Gentil and
                  Sorin Cristoloveanu},
  title        = {High-temperature performance of state-of-the-art triple-gate transistors},
  journal      = {Microelectron. Reliab.},
  volume       = {47},
  number       = {12},
  pages        = {2065--2069},
  year         = {2007},
  url          = {https://doi.org/10.1016/j.microrel.2006.10.002},
  doi          = {10.1016/J.MICROREL.2006.10.002},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/AkarvardarMSSCGC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/WambacqVSBDLHPMPGSCJD07,
  author       = {Piet Wambacq and
                  Bob Verbruggen and
                  Karen Scheir and
                  Jonathan Borremans and
                  Morin Dehan and
                  Dimitri Linten and
                  Vincent De Heyn and
                  Geert Van der Plas and
                  Abdelkarim Mercha and
                  Bertrand Parvais and
                  Cedric Gustin and
                  Vaidyanathan Subramanian and
                  Nadine Collaert and
                  Malgorzata Jurczak and
                  Stefaan Decoutere},
  title        = {The Potential of FinFETs for Analog and {RF} Circuit Applications},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {54-I},
  number       = {11},
  pages        = {2541--2551},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCSI.2007.907866},
  doi          = {10.1109/TCSI.2007.907866},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/WambacqVSBDLHPMPGSCJD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/ParvaisHDMD07,
  author       = {Bertrand Parvais and
                  S. Hu and
                  Morin Dehan and
                  Abdelkarim Mercha and
                  Stefaan Decoutere},
  title        = {An Accurate Scalable Compact Model for the Substrate Resistance of
                  {RF} MOSFETs},
  booktitle    = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference,
                  {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September
                  16-19, 2007},
  pages        = {503--506},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/CICC.2007.4405781},
  doi          = {10.1109/CICC.2007.4405781},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/ParvaisHDMD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/FuldeMGPSABSSK07,
  author       = {Michael Fulde and
                  Abdelkarim Mercha and
                  Cedric Gustin and
                  Bertrand Parvais and
                  Vaidyanathan Subramanian and
                  Klaus von Arnim and
                  Florian Bauer and
                  Klaus Schruefer and
                  Doris Schmitt{-}Landsiedel and
                  Gerhard Knoblinger},
  editor       = {Doris Schmitt{-}Landsiedel and
                  Tobias Noll},
  title        = {Analog design challenges and trade-offs using emerging materials and
                  devices},
  booktitle    = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich,
                  Germany, 11-13 September 2007},
  pages        = {123--126},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ESSCIRC.2007.4430261},
  doi          = {10.1109/ESSCIRC.2007.4430261},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/FuldeMGPSABSSK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/ParvaisSMDWSGD07,
  author       = {Bertrand Parvais and
                  Vaidyanathan Subramanian and
                  Abdelkarim Mercha and
                  Morin Dehan and
                  Piet Wambacq and
                  Willy Sansen and
                  Guido Groeseneken and
                  Stefaan Decoutere},
  title        = {FinFET technology for analog and {RF} circuits},
  booktitle    = {14th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2007, Marrakech, Morocco, December 11-14, 2007},
  pages        = {182--185},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICECS.2007.4510960},
  doi          = {10.1109/ICECS.2007.4510960},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/ParvaisSMDWSGD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/RafiSHMCOC06,
  author       = {Joan Marc Raf{\'{\i}} and
                  Eddy Simoen and
                  Kiyoteru Hayama and
                  Abdelkarim Mercha and
                  Francesca Campabadal and
                  Hidenori Ohyama and
                  Cor Claeys},
  title        = {Hot-carrier-induced degradation of drain current hysteresis and transients
                  in thin gate oxide floating body partially depleted {SOI} nMOSFETs},
  journal      = {Microelectron. Reliab.},
  volume       = {46},
  number       = {9-11},
  pages        = {1657--1663},
  year         = {2006},
  url          = {https://doi.org/10.1016/j.microrel.2006.07.037},
  doi          = {10.1016/J.MICROREL.2006.07.037},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/RafiSHMCOC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/HayamaTSORMSC06,
  author       = {Kiyoteru Hayama and
                  Kenichiro Takakura and
                  K. Shigaki and
                  Hidenori Ohyama and
                  Joan Marc Raf{\'{\i}} and
                  Abdelkarim Mercha and
                  Eddy Simoen and
                  Cor Claeys},
  title        = {Impact on the back gate degradation in partially depleted {SOI} n-MOSFETs
                  by 2-MeV electron irradiation},
  journal      = {Microelectron. Reliab.},
  volume       = {46},
  number       = {9-11},
  pages        = {1731--1735},
  year         = {2006},
  url          = {https://doi.org/10.1016/j.microrel.2006.07.063},
  doi          = {10.1016/J.MICROREL.2006.07.063},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/HayamaTSORMSC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/DecoutereWSBM06,
  author       = {Stefaan Decoutere and
                  Piet Wambacq and
                  Vaidy Subramanian and
                  Jonathan Borremans and
                  Abdelkarim Mercha},
  title        = {Technologies for (sub-) 45nm Analog/RF {CMOS} - Circuit Design Opportunities
                  and Challenges},
  booktitle    = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference,
                  {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September
                  10-13, 2006},
  pages        = {679--686},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/CICC.2006.320879},
  doi          = {10.1109/CICC.2006.320879},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/DecoutereWSBM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/JeamsaksiriMRDC05,
  author       = {Wutthinan Jeamsaksiri and
                  Abdelkarim Mercha and
                  Javier Ramos and
                  Stefaan Decoutere and
                  Florence Cubaynes},
  title        = {\emph{RFCV} Test Structure Design for a Selected Frequency Range},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {88-C},
  number       = {5},
  pages        = {817--823},
  year         = {2005},
  url          = {https://doi.org/10.1093/ietele/e88-c.5.817},
  doi          = {10.1093/IETELE/E88-C.5.817},
  timestamp    = {Sat, 11 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/JeamsaksiriMRDC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LintenTNWJRMJDD05,
  author       = {Dimitri Linten and
                  Steven Thijs and
                  Mahadeva Iyer Natarajan and
                  Piet Wambacq and
                  Wutthinan Jeamsaksiri and
                  Javier Ramos and
                  Abdelkarim Mercha and
                  Snezana Jenei and
                  St{\'{e}}phane Donnay and
                  Stefaan Decoutere},
  title        = {A 5-GHz fully integrated ESD-protected low-noise amplifier in 90-nm
                  {RF} {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {40},
  number       = {7},
  pages        = {1434--1442},
  year         = {2005},
  url          = {https://doi.org/10.1109/JSSC.2005.847490},
  doi          = {10.1109/JSSC.2005.847490},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LintenTNWJRMJDD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LintenSCJMRJWDA05,
  author       = {Dimitri Linten and
                  Xiao Sun and
                  Geert Carchon and
                  Wutthinan Jeamsaksiri and
                  Abdelkarim Mercha and
                  Javier Ramos and
                  Snezana Jenei and
                  Piet Wambacq and
                  Morin Dehan and
                  Lars Aspemyr and
                  Andries J. Scholten and
                  Stefaan Decoutere and
                  St{\'{e}}phane Donnay and
                  Walter De Raedt},
  title        = {Low-power voltage-controlled oscillators in 90-nm {CMOS} using high-quality
                  thin-film postprocessed inductors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {40},
  number       = {9},
  pages        = {1922--1931},
  year         = {2005},
  url          = {https://doi.org/10.1109/JSSC.2005.848144},
  doi          = {10.1109/JSSC.2005.848144},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LintenSCJMRJWDA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/HayamaTOKMRMSC05,
  author       = {Kiyoteru Hayama and
                  Kenichiro Takakura and
                  Hidenori Ohyama and
                  S. Kuboyama and
                  S. Matsuda and
                  Joan Marc Raf{\'{\i}} and
                  Abdelkarim Mercha and
                  Eddy Simoen and
                  Cor Claeys},
  title        = {Radiation source dependence of performance degradation in thin gate
                  oxide fully-depleted {SOI} n-MOSFETs},
  journal      = {Microelectron. Reliab.},
  volume       = {45},
  number       = {9-11},
  pages        = {1376--1381},
  year         = {2005},
  url          = {https://doi.org/10.1016/j.microrel.2005.07.024},
  doi          = {10.1016/J.MICROREL.2005.07.024},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/HayamaTOKMRMSC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/LintenSTNMCWND05,
  author       = {Dimitri Linten and
                  Xiao Sun and
                  Steven Thijs and
                  M. I. Natarajan and
                  Abdelkarim Mercha and
                  Geert Carchon and
                  Piet Wambacq and
                  Takeshi Nakaie and
                  Stefaan Decoutere},
  title        = {Low-power low-noise highly {ESD} robust LNA, and {VCO} design using
                  above-IC inductors},
  booktitle    = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference,
                  {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September
                  18-21, 2005},
  pages        = {497--500},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/CICC.2005.1568714},
  doi          = {10.1109/CICC.2005.1568714},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/LintenSTNMCWND05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Mercha05,
  author       = {Abdelkarim Mercha},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Technology and architecture for deep submicron {RF} {CMOS} technology},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081085},
  doi          = {10.1145/1081081.1081085},
  timestamp    = {Fri, 03 Jun 2022 10:52:19 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Mercha05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/HayamaTOMSCRK04,
  author       = {Kiyoteru Hayama and
                  Kenichiro Takakura and
                  Hidenori Ohyama and
                  Abdelkarim Mercha and
                  Eddy Simoen and
                  Cor Claeys and
                  Joan Marc Raf{\'{\i}} and
                  Michael Kokkoris},
  title        = {Degradation of electrical performance and floating body effect in
                  ultra thin gate oxide {FD-SOI} n-MOSFETs by 7.5-MeV proton irradiation},
  journal      = {Microelectron. Reliab.},
  volume       = {44},
  number       = {9-11},
  pages        = {1721--1726},
  year         = {2004},
  url          = {https://doi.org/10.1016/j.microrel.2004.07.063},
  doi          = {10.1016/J.MICROREL.2004.07.063},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/HayamaTOMSCRK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/LintenSCJMRJASW04,
  author       = {Dimitri Linten and
                  Xiao Sun and
                  Geert Carchon and
                  Wutthinan Jeamsaksiri and
                  Abdelkarim Mercha and
                  Javier Ramos and
                  Snezana Jenei and
                  Lars Aspemyr and
                  Andries J. Scholten and
                  Piet Wambacq and
                  Stefaan Decoutere and
                  St{\'{e}}phane Donnay and
                  Walter De Raedt},
  title        = {A 328 {\(\mu\)}W 5 GHz voltage-controlled oscillator in 90 nm {CMOS}
                  with high-quality thin-film post-processed inductor},
  booktitle    = {Proceedings of the {IEEE} 2004 Custom Integrated Circuits Conference,
                  {CICC} 2004, Orlando, FL, USA, October 2004},
  pages        = {701--704},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/CICC.2004.1358924},
  doi          = {10.1109/CICC.2004.1358924},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/LintenSCJMRJASW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/LintenTNWJRMJDD04,
  author       = {Dimitri Linten and
                  Steven Thijs and
                  Mahadeva Iyer Natarajan and
                  Piet Wambacq and
                  Wutthinan Jeamsaksiri and
                  Javier Ramos and
                  Abdelkarim Mercha and
                  Snezana Jenei and
                  St{\'{e}}phane Donnay and
                  Stefaan Decoutere},
  editor       = {Michiel Steyaert and
                  C. L. Claeys},
  title        = {A 5 GHz fully integrated ESD-protected low-noise amplifier in 90 nm
                  {RF} {CMOS}},
  booktitle    = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2004, Leuven,
                  Belgium, September 21-23, 2004},
  pages        = {291--294},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ESSCIR.2004.1356675},
  doi          = {10.1109/ESSCIR.2004.1356675},
  timestamp    = {Wed, 29 Mar 2023 10:59:49 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/LintenTNWJRMJDD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics