BibTeX records: Hans Mertens

download as .bib file

@inproceedings{DBLP:conf/irps/BuryVFCTVRMDHLK23,
  author       = {Erik Bury and
                  Michiel Vandemaele and
                  Jacopo Franco and
                  Adrian Chasin and
                  Stanislav Tyaginov and
                  A. Vandooren and
                  Romain Ritzenthaler and
                  Hans Mertens and
                  Javier Diaz{-}Fortuny and
                  N. Horiguchi and
                  Dimitri Linten and
                  Ben Kaczer},
  title        = {Reliability challenges in Forksheet Devices: (Invited Paper)},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey,
                  CA, USA, March 26-30, 2023},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/IRPS48203.2023.10118269},
  doi          = {10.1109/IRPS48203.2023.10118269},
  timestamp    = {Wed, 24 May 2023 09:43:44 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/BuryVFCTVRMDHLK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/VandemaeleKBFCMMHG23,
  author       = {Michiel Vandemaele and
                  Ben Kaczer and
                  Erik Bury and
                  Jacopo Franco and
                  Adrian Chasin and
                  Alexander Makarov and
                  Hans Mertens and
                  Geert Hellings and
                  Guido Groeseneken},
  title        = {Investigating Nanowire, Nanosheet and Forksheet {FET} Hot-Carrier
                  Reliability via {TCAD} Simulations: Invited Paper},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey,
                  CA, USA, March 26-30, 2023},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/IRPS48203.2023.10118211},
  doi          = {10.1109/IRPS48203.2023.10118211},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/VandemaeleKBFCMMHG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsit/MertensHCZWMDRT23,
  author       = {Hans Mertens and
                  M. Hosseini and
                  Thomas Chiarella and
                  D. Zhou and
                  S. Wang and
                  G. Mannaert and
                  E. Dupuy and
                  D. Radisic and
                  Z. Tao and
                  Y. Oniki and
                  Andriy Hikavyy and
                  R. Rosseel and
                  A. Mingardi and
                  S. Choudhury and
                  P. Puttarame Gowda and
                  F. Sebaai and
                  A. Peter and
                  Kevin Vandersmissen and
                  J. P. Soulie and
                  An De Keersgieter and
                  L. Petersen Barbosa Lima and
                  C. Cavalcante and
                  D. Batuk and
                  G. T. Martinez and
                  J. Geypen and
                  F. Seidel and
                  K. Paulussen and
                  P. Favia and
                  J{\"{u}}rgen B{\"{o}}mmels and
                  Roger Loo and
                  P. Wong and
                  A. Sepulveda Marquez and
                  B. T. Chan and
                  J{\'{e}}r{\^{o}}me Mitard and
                  S. Subramanian and
                  S. Demuynck and
                  E. Dentoni Litta and
                  N. Horiguchi and
                  S. Samavedam and
                  S. Biesemans},
  title        = {Nanosheet-based Complementary Field-Effect Transistors (CFETs) at
                  48nm Gate Pitch, and Middle Dielectric Isolation to enable {CFET}
                  Inner Spacer Formation and Multi-Vt Patterning},
  booktitle    = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology
                  and Circuits), Kyoto, Japan, June 11-16, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185218},
  doi          = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185218},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsit/MertensHCZWMDRT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/BuryCKVTFRMWHL22,
  author       = {Erik Bury and
                  Adrian Vaisman Chasin and
                  Ben Kaczer and
                  Michiel Vandemaele and
                  Stanislav Tyaginov and
                  Jacopo Franco and
                  Romain Ritzenthaler and
                  Hans Mertens and
                  Pieter Weckx and
                  N. Horiguchi and
                  Dimitri Linten},
  title        = {Evaluating Forksheet {FET} Reliability Concerns by Experimental Comparison
                  with Co-integrated Nanosheets},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas,
                  TX, USA, March 27-31, 2022},
  pages        = {5},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/IRPS48227.2022.9764526},
  doi          = {10.1109/IRPS48227.2022.9764526},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/BuryCKVTFRMWHL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/VandemaeleKTBCF22,
  author       = {Michiel Vandemaele and
                  Ben Kaczer and
                  Stanislav Tyaginov and
                  Erik Bury and
                  Adrian Vaisman Chasin and
                  Jacopo Franco and
                  Alexander Makarov and
                  Hans Mertens and
                  Geert Hellings and
                  Guido Groeseneken},
  title        = {Simulation Comparison of Hot-Carrier Degradation in Nanowire, Nanosheet
                  and Forksheet FETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas,
                  TX, USA, March 27-31, 2022},
  pages        = {6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/IRPS48227.2022.9764470},
  doi          = {10.1109/IRPS48227.2022.9764470},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/VandemaeleKTBCF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/VandemaeleKTFDC21,
  author       = {Michiel Vandemaele and
                  Ben Kaczer and
                  Stanislav Tyaginov and
                  Jacopo Franco and
                  Robin Degraeve and
                  Adrian Vaisman Chasin and
                  Zhicheng Wu and
                  Erik Bury and
                  Yang Xiang and
                  Hans Mertens and
                  Guido Groeseneken},
  title        = {The properties, effect and extraction of localized defect profiles
                  from degraded {FET} characteristics},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405164},
  doi          = {10.1109/IRPS46558.2021.9405164},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/VandemaeleKTFDC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SimoenOVCRMHC19,
  author       = {Eddy Simoen and
                  Alberto Vinicius Oliveira and
                  Anabela Veloso and
                  Adrian Vaisman Chasin and
                  Romain Ritzenthaler and
                  Hans Mertens and
                  Naoto Horiguchi and
                  Cor Claeys},
  title        = {Impact of Device Architecture and Gate Stack Processing on the Low-Frequency
                  Noise of Silicon Nanowire Transistors},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983679},
  doi          = {10.1109/ASICON47005.2019.8983679},
  timestamp    = {Wed, 12 Feb 2020 16:13:42 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SimoenOVCRMHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ChenLHSKCMMMH19,
  author       = {Shih{-}Hung Chen and
                  Dimitri Linten and
                  Geert Hellings and
                  Marko Simicic and
                  Ben Kaczer and
                  Thomas Chiarella and
                  Hans Mertens and
                  J{\'{e}}r{\^{o}}me Mitard and
                  Anda Mocuta and
                  N. Horiguchi},
  title        = {CDM-Time Domain Turn-on Transient of {ESD} Diodes in Bulk FinFET and
                  {GAA} {NW} Technologies},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey,
                  CA, USA, March 31 - April 4, 2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IRPS.2019.8720432},
  doi          = {10.1109/IRPS.2019.8720432},
  timestamp    = {Sun, 19 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/ChenLHSKCMMMH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/VandemaeleKTSMC19,
  author       = {Michiel Vandemaele and
                  Ben Kaczer and
                  Stanislav Tyaginov and
                  Zlatan Stanojevic and
                  Alexander Makarov and
                  Adrian Vaisman Chasin and
                  Erik Bury and
                  Hans Mertens and
                  Dimitri Linten and
                  Guido Groeseneken},
  title        = {Full (V\({}_{\mbox{g}}\), V\({}_{\mbox{d}}\)) Bias Space Modeling
                  of Hot-Carrier Degradation in Nanowire FETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey,
                  CA, USA, March 31 - April 4, 2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IRPS.2019.8720406},
  doi          = {10.1109/IRPS.2019.8720406},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/VandemaeleKTSMC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/BadarogluXZYBSF17,
  author       = {Mustafa Badaroglu and
                  Jeff Xu and
                  John Zhu and
                  Da Yang and
                  Jerry Bao and
                  Seung Chul Song and
                  Peijie Feng and
                  Romain Ritzenthaler and
                  Hans Mertens and
                  Geert Eneman and
                  Naoto Horiguchi and
                  Jeffrey Smith and
                  Suman Datta and
                  David Kohen and
                  Po{-}Wen Chan and
                  Keagan Chen and
                  P. R. Chidi Chidambaram},
  title        = {{PPAC} scaling enablement for 5nm mobile SoC technology},
  booktitle    = {47th European Solid-State Device Research Conference, {ESSDERC} 2017,
                  Leuven, Belgium, September 11-14, 2017},
  pages        = {240--243},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ESSDERC.2017.8066636},
  doi          = {10.1109/ESSDERC.2017.8066636},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/BadarogluXZYBSF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/RitzenthalerMKM17,
  author       = {Romain Ritzenthaler and
                  Hans Mertens and
                  An De Keersgieter and
                  J{\'{e}}r{\^{o}}me Mitard and
                  Dan Mocuta and
                  N. Horiguchi},
  title        = {Isolation of nanowires made on bulk wafers by ground plane doping},
  booktitle    = {47th European Solid-State Device Research Conference, {ESSDERC} 2017,
                  Leuven, Belgium, September 11-14, 2017},
  pages        = {300--303},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ESSDERC.2017.8066651},
  doi          = {10.1109/ESSDERC.2017.8066651},
  timestamp    = {Mon, 09 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/RitzenthalerMKM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/YakimetsJREMSMB15,
  author       = {Dmitry Yakimets and
                  Doyoung Jang and
                  Praveen Raghavan and
                  Geert Eneman and
                  Hans Mertens and
                  P. Schuddinck and
                  Arindam Mallik and
                  Marie Garcia Bardon and
                  Nadine Collaert and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean and
                  Kristin De Meyer},
  title        = {Lateral {NWFET} optimization for beyond 7nm nodes},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165887},
  doi          = {10.1109/ICICDT.2015.7165887},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/YakimetsJREMSMB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/FrancoKRBMRGHTG15,
  author       = {Jacopo Franco and
                  Ben Kaczer and
                  Philippe J. Roussel and
                  Erik Bury and
                  Hans Mertens and
                  Romain Ritzenthaler and
                  Tibor Grasser and
                  Naoto Horiguchi and
                  Aaron Thean and
                  Guido Groeseneken},
  title        = {{NBTI} in Si0.55Ge0.45 cladding p-FinFETs: Porting the superior reliability
                  from planar to 3D architectures},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey,
                  CA, USA, April 19-23, 2015},
  pages        = {2},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/IRPS.2015.7112694},
  doi          = {10.1109/IRPS.2015.7112694},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/FrancoKRBMRGHTG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics