BibTeX records: Li-Shiuan Peh

download as .bib file

@article{DBLP:journals/tcad/AmornpaisannonDPC24,
  author       = {Burin Amornpaisannon and
                  Andreas Diavastos and
                  Li{-}Shiuan Peh and
                  Trevor E. Carlson},
  title        = {Secure Run-Time Hardware Trojan Detection Using Lightweight Analytical
                  Models},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {43},
  number       = {2},
  pages        = {431--441},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCAD.2023.3316113},
  doi          = {10.1109/TCAD.2023.3316113},
  timestamp    = {Fri, 08 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/AmornpaisannonDPC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/imwut/KalupahanaBXP23,
  author       = {Ayanga Imesha Kumari Kalupahana and
                  Ananta Narayanan Balaji and
                  Xiaokui Xiao and
                  Li{-}Shiuan Peh},
  title        = {SeRaNDiP: Leveraging Inherent Sensor Random Noise for Differential
                  Privacy Preservation in Wearable Community Sensing Applications},
  journal      = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.},
  volume       = {7},
  number       = {2},
  pages        = {61:1--61:38},
  year         = {2023},
  url          = {https://doi.org/10.1145/3596252},
  doi          = {10.1145/3596252},
  timestamp    = {Fri, 21 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/imwut/KalupahanaBXP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pacmhci/BalajiP23,
  author       = {Ananta Narayanan Balaji and
                  Li{-}Shiuan Peh},
  title        = {AI-On-Skin: Towards Enabling Fast and Scalable On-body {AI} Inference
                  for Wearable On-Skin Interfaces},
  journal      = {Proc. {ACM} Hum. Comput. Interact.},
  volume       = {7},
  number       = {{EICS}},
  pages        = {1--34},
  year         = {2023},
  url          = {https://doi.org/10.1145/3593239},
  doi          = {10.1145/3593239},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pacmhci/BalajiP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BandaraWJWMP23,
  author       = {Thilini Kaushalya Bandara and
                  Dan Wu and
                  Rohan Juneja and
                  Dhananjaya Wijerathne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{FLEX:} Introducing FLEXible Execution on {CGRA} with Spatio-Temporal
                  Vector Dataflow},
  booktitle    = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD}
                  2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICCAD57390.2023.10323612},
  doi          = {10.1109/ICCAD57390.2023.10323612},
  timestamp    = {Wed, 03 Jan 2024 08:34:26 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BandaraWJWMP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0020WLC0WPMUBD23,
  author       = {Bo Wang and
                  Ming Ming Wong and
                  Dongrui Li and
                  Yi Sheng Chong and
                  Jun Zhou and
                  Weng{-}Fai Wong and
                  Li{-}Shiuan Peh and
                  Aarthy Mani and
                  Mohit Upadhyay and
                  Ananta Narayanan Balaji and
                  Anh Tuan Do},
  title        = {1.7pJ/SOP Neuromorphic Processor with Integrated Partial Sum Routers
                  for In-Network Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10181759},
  doi          = {10.1109/ISCAS46773.2023.10181759},
  timestamp    = {Mon, 11 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0020WLC0WPMUBD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/VenkataramaniBM22,
  author       = {Vanchinathan Venkataramani and
                  Bruno Bodin and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{ASCENT:} Communication Scheduling for {SDF} on Bufferless Software-Defined
                  NoC},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {10},
  pages        = {3266--3275},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3128445},
  doi          = {10.1109/TCAD.2021.3128445},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/VenkataramaniBM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/BandaraWMP22,
  author       = {Thilini Kaushalya Bandara and
                  Dhananjaya Wijerathne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  editor       = {Babak Falsafi and
                  Michael Ferdman and
                  Shan Lu and
                  Thomas F. Wenisch},
  title        = {{REVAMP:} a systematic framework for heterogeneous {CGRA} realization},
  booktitle    = {{ASPLOS} '22: 27th {ACM} International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Lausanne,
                  Switzerland, 28 February 2022 - 4 March 2022},
  pages        = {918--932},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3503222.3507772},
  doi          = {10.1145/3503222.3507772},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asplos/BandaraWMP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/UpadhyayJWZWP22,
  author       = {Mohit Upadhyay and
                  Rohan Juneja and
                  Bo Wang and
                  Jun Zhou and
                  Weng{-}Fai Wong and
                  Li{-}Shiuan Peh},
  editor       = {Rob Oshana},
  title        = {{REACT:} a heterogeneous reconfigurable neural network accelerator
                  with software-configurable NoCs for training and inference on wearables},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {1291--1296},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530406},
  doi          = {10.1145/3489517.3530406},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/UpadhyayJWZWP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangDZUWP22,
  author       = {Bo Wang and
                  Ke Dong and
                  Nurul Akhira Binte Zakaria and
                  Mohit Upadhyay and
                  Weng{-}Fai Wong and
                  Li{-}Shiuan Peh},
  title        = {Network-on-Chip-Centric Accelerator Architectures for Edge {AI} Computing},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {243--244},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031356},
  doi          = {10.1109/ISOCC56007.2022.10031356},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/WangDZUWP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/chi/BalajiP21,
  author       = {Ananta Narayanan Balaji and
                  Li{-}Shiuan Peh},
  editor       = {Yoshifumi Kitamura and
                  Aaron Quigley and
                  Katherine Isbister and
                  Takeo Igarashi},
  title        = {AI-on-skin: Enabling On-body {AI} Inference for Wearable Artificial
                  Skin Interfaces},
  booktitle    = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems,
                  Virtual Event / Yokohama Japan, May 8-13, 2021, Extended Abstracts},
  pages        = {358:1--358:7},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3411763.3451689},
  doi          = {10.1145/3411763.3451689},
  timestamp    = {Wed, 19 May 2021 12:29:22 +0200},
  biburl       = {https://dblp.org/rec/conf/chi/BalajiP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/ShalabyTCP21,
  author       = {Ahmed Shalaby and
                  Yaswanth Tavva and
                  Trevor E. Carlson and
                  Li{-}Shiuan Peh},
  editor       = {Tushar Krishna and
                  John Kim and
                  Sergi Abadal and
                  Joshua San Miguel},
  title        = {Sentry-NoC: a statically-scheduled NoC for secure SoCs},
  booktitle    = {{NOCS} '21: International Symposium on Networks-on-Chip, Virtual Event,
                  October 14-15, 2021},
  pages        = {67--74},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3479876.3481595},
  doi          = {10.1145/3479876.3481595},
  timestamp    = {Mon, 18 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/ShalabyTCP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/VenkataramaniMM20,
  author       = {Vanchinathan Venkataramani and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{SPECTRUM:} {A} Software-defined Predictable Many-core Architecture
                  for {LTE/5G} Baseband Processing},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {19},
  number       = {5},
  pages        = {32:1--32:28},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400032},
  doi          = {10.1145/3400032},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/VenkataramaniMM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangZWP20,
  author       = {Bo Wang and
                  Jun Zhou and
                  Weng{-}Fai Wong and
                  Li{-}Shiuan Peh},
  title        = {Shenjing: {A} low power reconfigurable neuromorphic accelerator with
                  partial-sum and spike networks-on-chip},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {240--245},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116516},
  doi          = {10.23919/DATE48585.2020.9116516},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WangZWP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/VenkataramaniBM20,
  author       = {Vanchinathan Venkataramani and
                  Bruno Bodin and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {Time-Predictable Software-Defined Architecture with Sdf-Based Compiler
                  Flow for 5g Baseband Processing},
  booktitle    = {2020 {IEEE} International Conference on Acoustics, Speech and Signal
                  Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020},
  pages        = {1553--1557},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICASSP40776.2020.9054285},
  doi          = {10.1109/ICASSP40776.2020.9054285},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/VenkataramaniBM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AmornpaisannonD20,
  author       = {Burin Amornpaisannon and
                  Andreas Diavastos and
                  Li{-}Shiuan Peh and
                  Trevor E. Carlson},
  title        = {Laser Attack Benchmark Suite},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {50:1--50:9},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415646},
  doi          = {10.1145/3400302.3415646},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AmornpaisannonD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangKMMP19,
  author       = {Bo Wang and
                  Manupa Karunarathne and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {HyCUBE: {A} 0.9V 26.4 MOPS/mW, 290 pJ/op, Power Efficient Accelerator
                  for IoT Applications},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau,
                  SAR, China, November 4-6, 2019},
  pages        = {133--136},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/A-SSCC47793.2019.9056954},
  doi          = {10.1109/A-SSCC47793.2019.9056954},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WangKMMP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KarunaratneWMP19,
  author       = {Manupa Karunaratne and
                  Dhananjaya Wijerathne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  editor       = {David Z. Pan},
  title        = {4D-CGRA: Introducing Branch Dimension to Spatio-Temporal Application
                  Mapping on CGRAs},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942148},
  doi          = {10.1109/ICCAD45719.2019.8942148},
  timestamp    = {Wed, 19 Feb 2020 16:38:01 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KarunaratneWMP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lctrts/VenkataramaniMM19,
  author       = {Vanchinathan Venkataramani and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  editor       = {Jian{-}Jia Chen and
                  Aviral Shrivastava},
  title        = {{SPECTRUM:} a software defined predictable many-core architecture
                  for {LTE} baseband processing},
  booktitle    = {Proceedings of the 20th {ACM} {SIGPLAN/SIGBED} International Conference
                  on Languages, Compilers, and Tools for Embedded Systems, {LCTES} 2019,
                  Phoenix, AZ, USA, June 23-23, 2019},
  pages        = {82--96},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316482.3326352},
  doi          = {10.1145/3316482.3326352},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lctrts/VenkataramaniMM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mobisys/BalajiYWPS19,
  author       = {Ananta Narayanan Balaji and
                  Chen Yuan and
                  Bo Wang and
                  Li{-}Shiuan Peh and
                  Huilin Shao},
  editor       = {Junehwa Song and
                  Minkyong Kim and
                  Nicholas D. Lane and
                  Rajesh Krishna Balan and
                  Fahim Kawsar and
                  Yunxin Liu},
  title        = {pH Watch - Leveraging Pulse Oximeters in Existing Wearables for Reusable,
                  Real-time Monitoring of pH in Sweat},
  booktitle    = {Proceedings of the 17th Annual International Conference on Mobile
                  Systems, Applications, and Services, MobiSys 2019, Seoul, Republic
                  of Korea, June 17-21, 2019},
  pages        = {262--274},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3307334.3326105},
  doi          = {10.1145/3307334.3326105},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mobisys/BalajiYWPS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mobisys/BalajiYWPS19a,
  author       = {Ananta Narayanan Balaji and
                  Chen Yuan and
                  Bo Wang and
                  Li{-}Shiuan Peh and
                  Huilin Shao},
  editor       = {Junehwa Song and
                  Minkyong Kim and
                  Nicholas D. Lane and
                  Rajesh Krishna Balan and
                  Fahim Kawsar and
                  Yunxin Liu},
  title        = {pH Watch - Leveraging Pulse Oximeters in Existing Wearables for Reusable,
                  Real-time Monitoring of pH in Sweat},
  booktitle    = {Proceedings of the 17th Annual International Conference on Mobile
                  Systems, Applications, and Services, MobiSys 2019, Seoul, Republic
                  of Korea, June 17-21, 2019},
  pages        = {687--688},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3307334.3328583},
  doi          = {10.1145/3307334.3328583},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mobisys/BalajiYWPS19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1911-10741,
  author       = {Bo Wang and
                  Jun Zhou and
                  Weng{-}Fai Wong and
                  Li{-}Shiuan Peh},
  title        = {Shenjing: {A} low power reconfigurable neuromorphic accelerator with
                  partial-sum and spike networks-on-chip},
  journal      = {CoRR},
  volume       = {abs/1911.10741},
  year         = {2019},
  url          = {http://arxiv.org/abs/1911.10741},
  eprinttype    = {arXiv},
  eprint       = {1911.10741},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1911-10741.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/TanMVKMP18,
  author       = {Cheng Tan and
                  Aditi Kulkarni Mohite and
                  Vanchinathan Venkataramani and
                  Manupa Karunaratne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{LOCUS:} Low-Power Customizable Many-Core Architecture for Wearables},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {17},
  number       = {1},
  pages        = {16:1--16:26},
  year         = {2018},
  url          = {https://doi.org/10.1145/3122786},
  doi          = {10.1145/3122786},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/TanMVKMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KarunaratneTMMP18,
  author       = {Manupa Karunaratne and
                  Cheng Tan and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {Dnestmap: mapping deeply-nested loops on ultra-low power CGRAs},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {129:1--129:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196027},
  doi          = {10.1145/3195970.3196027},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KarunaratneTMMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/TanKMP18,
  author       = {Cheng Tan and
                  Manupa Karunaratne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  editor       = {Murali Annavaram and
                  Timothy Mark Pinkston and
                  Babak Falsafi},
  title        = {Stitch: Fusible Heterogeneous Accelerators Enmeshed with Many-Core
                  Architecture for Wearables},
  booktitle    = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018},
  pages        = {575--587},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCA.2018.00054},
  doi          = {10.1109/ISCA.2018.00054},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/TanKMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:series/synthesis/2017Jerger,
  author       = {Natalie D. Enright Jerger and
                  Tushar Krishna and
                  Li{-}Shiuan Peh},
  title        = {On-Chip Networks, Second Edition},
  series       = {Synthesis Lectures on Computer Architecture},
  publisher    = {Morgan {\&} Claypool Publishers},
  year         = {2017},
  url          = {https://doi.org/10.2200/S00772ED1V01Y201704CAC040},
  doi          = {10.2200/S00772ED1V01Y201704CAC040},
  isbn         = {978-3-031-00627-2},
  timestamp    = {Thu, 19 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/series/synthesis/2017Jerger.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KarunaratneMMP17,
  author       = {Manupa Karunaratne and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {HyCUBE: {A} {CGRA} with Reconfigurable Single-cycle Multi-hop Interconnect},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {45:1--45:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062262},
  doi          = {10.1145/3061639.3062262},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KarunaratneMMP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DayaPC17,
  author       = {Bhavya K. Daya and
                  Li{-}Shiuan Peh and
                  Anantha P. Chandrakasan},
  title        = {Low-Power On-Chip Network Providing Guaranteed Services for Snoopy
                  Coherent and Artificial Neural Network Systems},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {87:1--87:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062278},
  doi          = {10.1145/3061639.3062278},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DayaPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KrishnaBCZWWLMP17,
  author       = {Tushar Krishna and
                  Arya Balachandran and
                  Siau Ben Chiah and
                  Li Zhang and
                  Bing Wang and
                  Cong Wang and
                  Kenneth Eng{-}Kian Lee and
                  J{\"{u}}rgen Michel and
                  Li{-}Shiuan Peh},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Automatic place-and-route of emerging LED-driven wires within a monolithically-integrated
                  {CMOS-III-V} process},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {344--349},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927014},
  doi          = {10.23919/DATE.2017.7927014},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KrishnaBCZWWLMP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/DayaPC16,
  author       = {Bhavya K. Daya and
                  Li{-}Shiuan Peh and
                  Anantha P. Chandrakasan},
  title        = {Towards High-Performance Bufferless NoCs with {SCEPTER}},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {15},
  number       = {1},
  pages        = {62--65},
  year         = {2016},
  url          = {https://doi.org/10.1109/LCA.2015.2428699},
  doi          = {10.1109/LCA.2015.2428699},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/DayaPC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/comcom/SankaranACP16,
  author       = {Kartik Sankaran and
                  Akkihebbal L. Ananda and
                  Mun Choon Chan and
                  Li{-}Shiuan Peh},
  title        = {Dynamic framework for building highly-localized mobile web {DTN} applications},
  journal      = {Comput. Commun.},
  volume       = {73},
  pages        = {56--65},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.comcom.2015.08.017},
  doi          = {10.1016/J.COMCOM.2015.08.017},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/comcom/SankaranACP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/TanKVKMP16,
  author       = {Cheng Tan and
                  Aditi Kulkarni Mohite and
                  Vanchinathan Venkataramani and
                  Manupa Karunaratne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{LOCUS:} low-power customizable many-core architecture for wearables},
  booktitle    = {2016 International Conference on Compilers, Architectures and Synthesis
                  for Embedded Systems, {CASES} 2016, Pittsburgh, Pennsylvania, USA,
                  October 1-7, 2016},
  pages        = {11:1--11:10},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2968455.2968506},
  doi          = {10.1145/2968455.2968506},
  timestamp    = {Sat, 25 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/TanKVKMP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DayaPC16,
  author       = {Bhavya K. Daya and
                  Li{-}Shiuan Peh and
                  Anantha P. Chandrakasan},
  title        = {Quest for high-performance bufferless NoCs with single-cycle express
                  paths and self-learning throttling},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {36:1--36:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898075},
  doi          = {10.1145/2897937.2898075},
  timestamp    = {Tue, 06 Nov 2018 16:58:19 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DayaPC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ParkWKPC16,
  author       = {Sunghyun Park and
                  Alice Wang and
                  Uming Ko and
                  Li{-}Shiuan Peh and
                  Anantha P. Chandrakasan},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {Enabling simultaneously bi-directional {TSV} signaling for energy
                  and area efficient 3D-ICs},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {163--168},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459298/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ParkWKPC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GaoP16,
  author       = {Jason H. Gao and
                  Li{-}Shiuan Peh},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {Automotive {V2X} on phones: Enabling next-generation mobile {ITS}
                  apps},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {858--863},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459429/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GaoP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icnp/GuoHCP16,
  author       = {Xiang{-}Fa Guo and
                  Hande Hong and
                  Mun Choon Chan and
                  Li{-}Shiuan Peh},
  title        = {Poster abstract: Long-term observation with passive Wi-Fi scanning},
  booktitle    = {24th {IEEE} International Conference on Network Protocols, {ICNP}
                  2016, Singapore, November 8-11, 2016},
  pages        = {1--2},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICNP.2016.7784472},
  doi          = {10.1109/ICNP.2016.7784472},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icnp/GuoHCP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icra/GaoP16,
  author       = {Jason H. Gao and
                  Li{-}Shiuan Peh},
  editor       = {Danica Kragic and
                  Antonio Bicchi and
                  Alessandro De Luca},
  title        = {A smartphone-based laser distance sensor for outdoor environments},
  booktitle    = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA}
                  2016, Stockholm, Sweden, May 16-21, 2016},
  pages        = {2922--2929},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICRA.2016.7487457},
  doi          = {10.1109/ICRA.2016.7487457},
  timestamp    = {Wed, 16 Oct 2019 14:14:51 +0200},
  biburl       = {https://dblp.org/rec/conf/icra/GaoP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KwonP15,
  author       = {Woo{-}Cheol Kwon and
                  Li{-}Shiuan Peh},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {A universal ordered NoC design platform for shared-memory MPSoC},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {697--704},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372638},
  doi          = {10.1109/ICCAD.2015.7372638},
  timestamp    = {Mon, 26 Jun 2023 16:43:56 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KwonP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/PapamichaelCCCH15,
  author       = {Michael Papamichael and
                  Cagla Cakir and
                  Chen Sun and
                  Chia{-}Hsin Owen Chen and
                  James C. Hoe and
                  Ken Mai and
                  Li{-}Shiuan Peh and
                  Vladimir Stojanovic},
  title        = {{DELPHI:} a framework for RTL-based architecture design evaluation
                  using {DSENT} models},
  booktitle    = {2015 {IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015},
  pages        = {11--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISPASS.2015.7095780},
  doi          = {10.1109/ISPASS.2015.7095780},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispass/PapamichaelCCCH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ZhangWZLMPZC14,
  author       = {Wenjia Zhang and
                  Bing Wang and
                  Zhaomin Zhu and
                  Kenneth Eng{-}Kian Lee and
                  J{\"{u}}rgen Michel and
                  Li{-}Shiuan Peh and
                  Li Zhang and
                  Soo{-}Jin Chua},
  title        = {Ultralow-Power LED-Enabled On-Chip Optical Communication Designed
                  in the III-Nitride and Silicon {CMOS} Process Integrated Platform},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {5},
  pages        = {36--45},
  year         = {2014},
  url          = {https://doi.org/10.1109/MDAT.2014.2346735},
  doi          = {10.1109/MDAT.2014.2346735},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/ZhangWZLMPZC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/KrishnaCKP14,
  author       = {Tushar Krishna and
                  Chia{-}Hsin Owen Chen and
                  Woo{-}Cheol Kwon and
                  Li{-}Shiuan Peh},
  title        = {Smart: Single-Cycle Multihop Traversals over a Shared Network on Chip},
  journal      = {{IEEE} Micro},
  volume       = {34},
  number       = {3},
  pages        = {43--56},
  year         = {2014},
  url          = {https://doi.org/10.1109/MM.2014.48},
  doi          = {10.1109/MM.2014.48},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/KrishnaCKP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/KwonKP14,
  author       = {Woo{-}Cheol Kwon and
                  Tushar Krishna and
                  Li{-}Shiuan Peh},
  editor       = {Rajeev Balasubramonian and
                  Al Davis and
                  Sarita V. Adve},
  title        = {Locality-oblivious cache organization leveraging single-cycle multi-hop
                  NoCs},
  booktitle    = {Architectural Support for Programming Languages and Operating Systems,
                  {ASPLOS} 2014, Salt Lake City, UT, USA, March 1-5, 2014},
  pages        = {715--728},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2541940.2541976},
  doi          = {10.1145/2541940.2541976},
  timestamp    = {Wed, 07 Jul 2021 13:23:08 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/KwonKP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hotchips/ChenPSKDKWACP14,
  author       = {Chia{-}Hsin Owen Chen and
                  Sunghyun Park and
                  Suvinay Subramanian and
                  Tushar Krishna and
                  Bhavya K. Daya and
                  Woo{-}Cheol Kwon and
                  Brett Wilkerson and
                  John Arends and
                  Anantha P. Chandrakasan and
                  Li{-}Shiuan Peh},
  title        = {{SCORPIO:} 36-core shared memory processor demonstrating snoopy coherence
                  on a mesh interconnect},
  booktitle    = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August
                  10-12, 2014},
  pages        = {1--20},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/HOTCHIPS.2014.7478827},
  doi          = {10.1109/HOTCHIPS.2014.7478827},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hotchips/ChenPSKDKWACP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/WangP14,
  author       = {Huayong Wang and
                  Li{-}Shiuan Peh},
  title        = {MobiStreams: {A} Reliable Distributed Stream Processing System for
                  Mobile Devices},
  booktitle    = {2014 {IEEE} 28th International Parallel and Distributed Processing
                  Symposium, Phoenix, AZ, USA, May 19-23, 2014},
  pages        = {51--60},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/IPDPS.2014.17},
  doi          = {10.1109/IPDPS.2014.17},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/WangP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/DayaCSKPKHCP14,
  author       = {Bhavya K. Daya and
                  Chia{-}Hsin Owen Chen and
                  Suvinay Subramanian and
                  Woo{-}Cheol Kwon and
                  Sunghyun Park and
                  Tushar Krishna and
                  Jim Holt and
                  Anantha P. Chandrakasan and
                  Li{-}Shiuan Peh},
  title        = {{SCORPIO:} {A} 36-core research chip demonstrating snoopy coherence
                  on a scalable mesh NoC with in-network ordering},
  booktitle    = {{ACM/IEEE} 41st International Symposium on Computer Architecture,
                  {ISCA} 2014, Minneapolis, MN, USA, June 14-18, 2014},
  pages        = {25--36},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISCA.2014.6853232},
  doi          = {10.1109/ISCA.2014.6853232},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/DayaCSKPKHCP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoiGRMXBFP14,
  author       = {Pilsoon Choi and
                  Jason H. Gao and
                  Nadesh Ramanathan and
                  Mengda Mao and
                  Shipeng Xu and
                  Chirn Chye Boon and
                  Suhaib A. Fahmy and
                  Li{-}Shiuan Peh},
  editor       = {Yuan Xie and
                  Tanay Karnik and
                  Muhammad M. Khellah and
                  Renu Mehra},
  title        = {A case for leveraging 802.11p for direct phone-to-phone communications},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'14,
                  La Jolla, CA, {USA} - August 11 - 13, 2014},
  pages        = {207--212},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2627369.2627644},
  doi          = {10.1145/2627369.2627644},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChoiGRMXBFP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mobicom/SankaranACP14,
  author       = {Kartik Sankaran and
                  Akkihebbal L. Ananda and
                  Mun Choon Chan and
                  Li{-}Shiuan Peh},
  editor       = {Mario Gerla and
                  Andrea Passarella},
  title        = {Dynamic framework for building highly-localized mobile web {DTN} applications},
  booktitle    = {Proceedings of the 9th {ACM} MobiCom workshop on Challenged networks,
                  {CHANTS} '14, Maui, Hawaii, USA, September 7, 2014},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2645672.2645675},
  doi          = {10.1145/2645672.2645675},
  timestamp    = {Tue, 06 Nov 2018 16:59:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mobicom/SankaranACP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/KrishnaP14,
  author       = {Tushar Krishna and
                  Li{-}Shiuan Peh},
  editor       = {Davide Bertozzi and
                  Luca Benini and
                  Sudhakar Yalamanchili and
                  J{\"{o}}rg Henkel},
  title        = {Single-cycle collective communication over a shared network fabric},
  booktitle    = {Eighth {IEEE/ACM} International Symposium on Networks-on-Chip, NoCS
                  2014, Ferrara, Italy, September 17-19, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/NOCS.2014.7008755},
  doi          = {10.1109/NOCS.2014.7008755},
  timestamp    = {Wed, 16 Oct 2019 14:14:48 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/KrishnaP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sensys/SankaranZGACP14,
  author       = {Kartik Sankaran and
                  Minhui Zhu and
                  Xiang{-}Fa Guo and
                  Akkihebbal L. Ananda and
                  Mun Choon Chan and
                  Li{-}Shiuan Peh},
  editor       = {{\'{A}}kos L{\'{e}}deczi and
                  Prabal Dutta and
                  Chenyang Lu},
  title        = {Using mobile phone barometer for low-power transportation context
                  detection},
  booktitle    = {Proceedings of the 12th {ACM} Conference on Embedded Network Sensor
                  Systems, SenSys '14, Memphis, Tennessee, USA, November 3-6, 2014},
  pages        = {191--205},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2668332.2668343},
  doi          = {10.1145/2668332.2668343},
  timestamp    = {Tue, 05 Nov 2019 12:22:56 +0100},
  biburl       = {https://dblp.org/rec/conf/sensys/SankaranZGACP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vtc/HetuHP14,
  author       = {Seth N. Hetu and
                  Vahid Saber Hamishagi and
                  Li{-}Shiuan Peh},
  title        = {Similitude: Interfacing a Traffic Simulator and Network Simulator
                  with Emulated Android Clients},
  booktitle    = {{IEEE} 80th Vehicular Technology Conference, {VTC} Fall 2014, Vancouver,
                  BC, Canada, September 14-17, 2014},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/VTCFall.2014.6966178},
  doi          = {10.1109/VTCFALL.2014.6966178},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/vtc/HetuHP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/KrishnaCPKSCP13,
  author       = {Tushar Krishna and
                  Chia{-}Hsin Owen Chen and
                  Sunghyun Park and
                  Woo{-}Cheol Kwon and
                  Suvinay Subramanian and
                  Anantha P. Chandrakasan and
                  Li{-}Shiuan Peh},
  title        = {Single-Cycle Multihop Asynchronous Repeated Traversal: {A} {SMART}
                  Future for Reconfigurable On-Chip Networks},
  journal      = {Computer},
  volume       = {46},
  number       = {10},
  pages        = {48--55},
  year         = {2013},
  url          = {https://doi.org/10.1109/MC.2013.260},
  doi          = {10.1109/MC.2013.260},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/computer/KrishnaCPKSCP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PostmanKEPC13,
  author       = {Jacob Postman and
                  Tushar Krishna and
                  Christopher Edmonds and
                  Li{-}Shiuan Peh and
                  Patrick Chiang},
  title        = {{SWIFT:} {A} Low-Power Network-On-Chip Implementing the Token Flow
                  Control Router Architecture With Swing-Reduced Interconnects},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {21},
  number       = {8},
  pages        = {1432--1446},
  year         = {2013},
  url          = {https://doi.org/10.1109/TVLSI.2012.2211904},
  doi          = {10.1109/TVLSI.2012.2211904},
  timestamp    = {Fri, 03 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PostmanKEPC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChenPKSCP13,
  author       = {Chia{-}Hsin Owen Chen and
                  Sunghyun Park and
                  Tushar Krishna and
                  Suvinay Subramanian and
                  Anantha P. Chandrakasan and
                  Li{-}Shiuan Peh},
  editor       = {Enrico Macii},
  title        = {{SMART:} a single-cycle reconfigurable NoC for SoC applications},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {338--343},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.080},
  doi          = {10.7873/DATE.2013.080},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ChenPKSCP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ParkQPC13,
  author       = {Sunghyun Park and
                  Masood Qazi and
                  Li{-}Shiuan Peh and
                  Anantha P. Chandrakasan},
  editor       = {Enrico Macii},
  title        = {40.4fJ/bit/mm low-swing on-chip signaling with self-resetting logic
                  repeaters embedded within a mesh NoC in 45nm {SOI} {CMOS}},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {1637--1642},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.332},
  doi          = {10.7873/DATE.2013.332},
  timestamp    = {Fri, 14 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ParkQPC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/KrishnaCKP13,
  author       = {Tushar Krishna and
                  Chia{-}Hsin Owen Chen and
                  Woo{-}Cheol Kwon and
                  Li{-}Shiuan Peh},
  title        = {Breaking the on-chip latency barrier using {SMART}},
  booktitle    = {19th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2013, Shenzhen, China, February 23-27, 2013},
  pages        = {378--389},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/HPCA.2013.6522334},
  doi          = {10.1109/HPCA.2013.6522334},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/KrishnaCKP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itsc/BasakHZALTRYPB13,
  author       = {Kakali Basak and
                  Seth N. Hetu and
                  Zhemin Li and
                  Carlos Lima Azevedo and
                  Harish Loganathan and
                  Tomer Toledo and
                  Runmin Xu and
                  Yan Xu and
                  Li{-}Shiuan Peh and
                  Moshe E. Ben{-}Akiva},
  title        = {Modeling reaction time within a traffic simulation model},
  booktitle    = {16th International {IEEE} Conference on Intelligent Transportation
                  Systems, {ITSC} 2013, The Hague, The Netherlands, October 6-9, 2013},
  pages        = {302--309},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ITSC.2013.6728249},
  doi          = {10.1109/ITSC.2013.6728249},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itsc/BasakHZALTRYPB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/LiPZI12,
  author       = {Bin Li and
                  Li{-}Shiuan Peh and
                  Li Zhao and
                  Ravi R. Iyer},
  title        = {Dynamic QoS management for chip multiprocessors},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {9},
  number       = {3},
  pages        = {17:1--17:29},
  year         = {2012},
  url          = {https://doi.org/10.1145/2355585.2355590},
  doi          = {10.1145/2355585.2355590},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/LiPZI12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmc/KoukoumidisMP12,
  author       = {Emmanouil Koukoumidis and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh},
  title        = {Leveraging Smartphone Cameras for Collaborative Road Advisories},
  journal      = {{IEEE} Trans. Mob. Comput.},
  volume       = {11},
  number       = {5},
  pages        = {707--723},
  year         = {2012},
  url          = {https://doi.org/10.1109/TMC.2011.275},
  doi          = {10.1109/TMC.2011.275},
  timestamp    = {Sun, 28 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmc/KoukoumidisMP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KahngLPS12,
  author       = {Andrew B. Kahng and
                  Bin Li and
                  Li{-}Shiuan Peh and
                  Kambiz Samadi},
  title        = {{ORION} 2.0: {A} Power-Area Simulator for Interconnection Networks},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {1},
  pages        = {191--196},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2010.2091686},
  doi          = {10.1109/TVLSI.2010.2091686},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KahngLPS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ParkKCDCP12,
  author       = {Sunghyun Park and
                  Tushar Krishna and
                  Chia{-}Hsin Owen Chen and
                  Bhavya K. Daya and
                  Anantha P. Chandrakasan and
                  Li{-}Shiuan Peh},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Approaching the theoretical limits of a mesh NoC with a 16-node chip
                  prototype in 45nm {SOI}},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {398--405},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228431},
  doi          = {10.1145/2228360.2228431},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ParkKCDCP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GaoSALP12,
  author       = {Jason H. Gao and
                  Anirudh Sivaraman and
                  Niket Agarwal and
                  HaoQi Li and
                  Li{-}Shiuan Peh},
  title        = {{DIPLOMA:} Consistent and coherent shared memory over mobile phones},
  booktitle    = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012,
                  Montreal, QC, Canada, September 30 - Oct. 3, 2012},
  pages        = {371--378},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICCD.2012.6378666},
  doi          = {10.1109/ICCD.2012.6378666},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GaoSALP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/KurianSCMMWAPKSA12,
  author       = {George Kurian and
                  Chen Sun and
                  Chia{-}Hsin Owen Chen and
                  Jason E. Miller and
                  J{\"{u}}rgen Michel and
                  Lan Wei and
                  Dimitri A. Antoniadis and
                  Li{-}Shiuan Peh and
                  Lionel C. Kimerling and
                  Vladimir Stojanovic and
                  Anant Agarwal},
  title        = {Cross-layer Energy and Performance Evaluation of a Nanophotonic Manycore
                  Processor System Using Real Application Workloads},
  booktitle    = {26th {IEEE} International Parallel and Distributed Processing Symposium,
                  {IPDPS} 2012, Shanghai, China, May 21-25, 2012},
  pages        = {1117--1130},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/IPDPS.2012.103},
  doi          = {10.1109/IPDPS.2012.103},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/KurianSCMMWAPKSA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/WangPKTC12,
  author       = {Huayong Wang and
                  Li{-}Shiuan Peh and
                  Emmanouil Koukoumidis and
                  Shao Tao and
                  Mun Choon Chan},
  title        = {Meteor Shower: {A} Reliable Stream Processing System for Commodity
                  Data Centers},
  booktitle    = {26th {IEEE} International Parallel and Distributed Processing Symposium,
                  {IPDPS} 2012, Shanghai, China, May 21-25, 2012},
  pages        = {1180--1191},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/IPDPS.2012.108},
  doi          = {10.1109/IPDPS.2012.108},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/WangPKTC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itst/ChooCAP12,
  author       = {Fai Cheong Choo and
                  Mun Choon Chan and
                  Akkihebbal L. Ananda and
                  Li{-}Shiuan Peh},
  title        = {A distributed taxi advisory system},
  booktitle    = {12th International Conference on {ITS} Telecommunications, {ITST}
                  2012, Taipei, Taiwan, November 5-8, 2012},
  pages        = {199--204},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ITST.2012.6425165},
  doi          = {10.1109/ITST.2012.6425165},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/itst/ChooCAP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/SunCKWMAPS12,
  author       = {Chen Sun and
                  Chia{-}Hsin Owen Chen and
                  George Kurian and
                  Lan Wei and
                  Jason E. Miller and
                  Anant Agarwal and
                  Li{-}Shiuan Peh and
                  Vladimir Stojanovic},
  title        = {{DSENT} - {A} Tool Connecting Emerging Photonics with Electronics
                  for Opto-Electronic Networks-on-Chip Modeling},
  booktitle    = {2012 Sixth {IEEE/ACM} International Symposium on Networks-on-Chip
                  (NoCS), Copenhagen, Denmark, 9-11 May, 2012},
  pages        = {201--210},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/NOCS.2012.31},
  doi          = {10.1109/NOCS.2012.31},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/SunCKWMAPS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sensys/KannanSCAP12,
  author       = {Pravein Govindan Kannan and
                  Padmanabha Venkatagiri Seshadri and
                  Mun Choon Chan and
                  Akkihebbal L. Ananda and
                  Li{-}Shiuan Peh},
  editor       = {M. Rasit Eskicioglu and
                  Andrew Campbell and
                  Koen Langendoen},
  title        = {Low cost crowd counting using audio tones},
  booktitle    = {The 10th {ACM} Conference on Embedded Network Sensor Systems, SenSys
                  '12, Toronto, ON, Canada, November 6-9, 2012},
  pages        = {155--168},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2426656.2426673},
  doi          = {10.1145/2426656.2426673},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sensys/KannanSCAP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/LiZIPLELN11,
  author       = {Bin Li and
                  Li Zhao and
                  Ravi R. Iyer and
                  Li{-}Shiuan Peh and
                  Michael Leddige and
                  Michael Espig and
                  Seung Eun Lee and
                  Donald Newell},
  title        = {CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {71},
  number       = {5},
  pages        = {700--713},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.jpdc.2010.10.013},
  doi          = {10.1016/J.JPDC.2010.10.013},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jpdc/LiZIPLELN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RamanujamSLP11,
  author       = {Rohit Sunkam Ramanujam and
                  Vassos Soteriou and
                  Bill Lin and
                  Li{-}Shiuan Peh},
  title        = {Extending the Effective Throughput of NoCs With Distributed Shared-Buffer
                  Routers},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {4},
  pages        = {548--561},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2011.2110550},
  doi          = {10.1109/TCAD.2011.2110550},
  timestamp    = {Mon, 01 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RamanujamSLP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/AisoposDPB11,
  author       = {Konstantinos Aisopos and
                  Andrew DeOrio and
                  Li{-}Shiuan Peh and
                  Valeria Bertacco},
  editor       = {Lawrence Rauchwerger and
                  Vivek Sarkar},
  title        = {{ARIADNE:} Agnostic Reconfiguration in a Disconnected Network Environment},
  booktitle    = {2011 International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} 2011, Galveston, TX, USA, October 10-14, 2011},
  pages        = {298--309},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/PACT.2011.61},
  doi          = {10.1109/PACT.2011.61},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/AisoposDPB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DeOrioABP11,
  author       = {Andrew DeOrio and
                  Konstantinos Aisopos and
                  Valeria Bertacco and
                  Li{-}Shiuan Peh},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {{DRAIN:} distributed recovery architecture for inaccessible nodes
                  in multi-core chips},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {912--917},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024928},
  doi          = {10.1145/2024724.2024928},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DeOrioABP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AisoposCP11,
  author       = {Konstantinos Aisopos and
                  Chia{-}Hsin Owen Chen and
                  Li{-}Shiuan Peh},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {Enabling system-level modeling of variation-induced faults in networks-on-chips},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {930--935},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024931},
  doi          = {10.1145/2024724.2024931},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AisoposCP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenPKP11,
  author       = {Chia{-}Hsin Owen Chen and
                  Sunghyun Park and
                  Tushar Krishna and
                  Li{-}Shiuan Peh},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {A low-swing crossbar and link generator for low-power networks-on-chip},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {779--786},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105418},
  doi          = {10.1109/ICCAD.2011.6105418},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenPKP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/AisoposP11,
  author       = {Konstantinos Aisopos and
                  Li{-}Shiuan Peh},
  editor       = {Carlo Galuzzi and
                  Luigi Carro and
                  Andreas Moshovos and
                  Milos Prvulovic},
  title        = {A systematic methodology to develop resilient cache coherence protocols},
  booktitle    = {44rd Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2011, Porto Alegre, Brazil, December 3-7, 2011},
  pages        = {47--58},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2155620.2155627},
  doi          = {10.1145/2155620.2155627},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/AisoposP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KrishnaPBR11,
  author       = {Tushar Krishna and
                  Li{-}Shiuan Peh and
                  Bradford M. Beckmann and
                  Steven K. Reinhardt},
  editor       = {Carlo Galuzzi and
                  Luigi Carro and
                  Andreas Moshovos and
                  Milos Prvulovic},
  title        = {Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication},
  booktitle    = {44rd Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2011, Porto Alegre, Brazil, December 3-7, 2011},
  pages        = {71--82},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2155620.2155630},
  doi          = {10.1145/2155620.2155630},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KrishnaPBR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mobisys/KoukoumidisPM11,
  author       = {Emmanouil Koukoumidis and
                  Li{-}Shiuan Peh and
                  Margaret Martonosi},
  editor       = {Ashok K. Agrawala and
                  Mark D. Corner and
                  David Wetherall},
  title        = {SignalGuru: leveraging mobile phones for collaborative traffic signal
                  schedule advisory},
  booktitle    = {Proceedings of the 9th International Conference on Mobile Systems,
                  Applications, and Services (MobiSys 2011), Bethesda, MD, USA, June
                  28 - July 01, 2011},
  pages        = {127--140},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1999995.2000008},
  doi          = {10.1145/1999995.2000008},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mobisys/KoukoumidisPM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mobisys/KoukoumidisPM11a,
  author       = {Emmanouil Koukoumidis and
                  Li{-}Shiuan Peh and
                  Margaret Martonosi},
  editor       = {Ashok K. Agrawala and
                  Mark D. Corner and
                  David Wetherall},
  title        = {Demo: SignalGuru: leveraging mobile phones for collaborative traffic
                  signal schedule advisory},
  booktitle    = {Proceedings of the 9th International Conference on Mobile Systems,
                  Applications, and Services (MobiSys 2011), Bethesda, MD, USA, June
                  28 - July 01, 2011},
  pages        = {353--354},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1999995.2000031},
  doi          = {10.1145/1999995.2000031},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mobisys/KoukoumidisPM11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/percom/KoukoumidisPM11,
  author       = {Emmanouil Koukoumidis and
                  Li{-}Shiuan Peh and
                  Margaret Martonosi},
  title        = {RegReS: Adaptively maintaining a target density of regional services
                  in opportunistic vehicular networks},
  booktitle    = {Ninth Annual {IEEE} International Conference on Pervasive Computing
                  and Communications, PerCom 2011, 21-25 March 2011, Seattle, WA, USA,
                  Proceedings},
  pages        = {120--127},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/PERCOM.2011.5767576},
  doi          = {10.1109/PERCOM.2011.5767576},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/percom/KoukoumidisPM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/HariMBHKKKMP10,
  author       = {Pradip Hari and
                  John B. P. McCabe and
                  Jonathan Banafato and
                  Marcus Henry and
                  Kevin Ko and
                  Emmanouil Koukoumidis and
                  Ulrich Kremer and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh},
  editor       = {Valentina Salapura and
                  Michael Gschwind and
                  Jens Knoop},
  title        = {Adaptive spatiotemporal node selection in dynamic networks},
  booktitle    = {19th International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010},
  pages        = {227--236},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854273.1854304},
  doi          = {10.1145/1854273.1854304},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/HariMBHKKKMP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KrishnaPEPC10,
  author       = {Tushar Krishna and
                  Jacob Postman and
                  Christopher Edmonds and
                  Li{-}Shiuan Peh and
                  Patrick Chiang},
  title        = {{SWIFT:} {A} SWing-reduced interconnect for a Token-based Network-on-Chip
                  in 90nm {CMOS}},
  booktitle    = {28th International Conference on Computer Design, {ICCD} 2010, 3-6
                  October 2010, Amsterdam, The Netherlands, Proceedings},
  pages        = {439--446},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCD.2010.5647666},
  doi          = {10.1109/ICCD.2010.5647666},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KrishnaPEPC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/RamanujamSLP10,
  author       = {Rohit Sunkam Ramanujam and
                  Vassos Soteriou and
                  Bill Lin and
                  Li{-}Shiuan Peh},
  title        = {Design of a High-Throughput Distributed Shared-Buffer NoC Router},
  booktitle    = {{NOCS} 2010, Fourth {ACM/IEEE} International Symposium on Networks-on-Chip,
                  Grenoble, France, May 3-6, 2010},
  pages        = {69--78},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/NOCS.2010.17},
  doi          = {10.1109/NOCS.2010.17},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/RamanujamSLP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/ChenAKKPS10,
  author       = {Chia{-}Hsin Owen Chen and
                  Niket Agarwal and
                  Tushar Krishna and
                  Kyung{-}Hoae Koo and
                  Li{-}Shiuan Peh and
                  Krishna Saraswat},
  title        = {Physical vs. Virtual Express Topologies with Low-Swing Links for Future
                  Many-Core NoCs},
  booktitle    = {{NOCS} 2010, Fourth {ACM/IEEE} International Symposium on Networks-on-Chip,
                  Grenoble, France, May 3-6, 2010},
  pages        = {173--180},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/NOCS.2010.26},
  doi          = {10.1109/NOCS.2010.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/ChenAKKPS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:series/synthesis/2009Jerger,
  author       = {Natalie D. Enright Jerger and
                  Li{-}Shiuan Peh},
  title        = {On-Chip Networks},
  series       = {Synthesis Lectures on Computer Architecture},
  publisher    = {Morgan {\&} Claypool Publishers},
  year         = {2009},
  url          = {https://doi.org/10.2200/S00209ED1V01Y200907CAC008},
  doi          = {10.2200/S00209ED1V01Y200907CAC008},
  isbn         = {978-3-031-01725-4},
  timestamp    = {Mon, 23 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/series/synthesis/2009Jerger.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/SoteriouRLP09,
  author       = {Vassos Soteriou and
                  Rohit Sunkam Ramanujam and
                  Bill Lin and
                  Li{-}Shiuan Peh},
  title        = {A High-Throughput Distributed Shared-Buffer NoC Router},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {8},
  number       = {1},
  pages        = {21--24},
  year         = {2009},
  url          = {https://doi.org/10.1109/L-CA.2009.5},
  doi          = {10.1109/L-CA.2009.5},
  timestamp    = {Mon, 01 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/SoteriouRLP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/KrishnaKPPCE09,
  author       = {Tushar Krishna and
                  Amit Kumar and
                  Li{-}Shiuan Peh and
                  Jacob Postman and
                  Patrick Chiang and
                  Mattan Erez},
  title        = {Express Virtual Channels with Capacitively Driven Global Links},
  journal      = {{IEEE} Micro},
  volume       = {29},
  number       = {4},
  pages        = {48--61},
  year         = {2009},
  url          = {https://doi.org/10.1109/MM.2009.64},
  doi          = {10.1109/MM.2009.64},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/micro/KrishnaKPPCE09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/MarculescuOPJH09,
  author       = {Radu Marculescu and
                  {\"{U}}mit Y. Ogras and
                  Li{-}Shiuan Peh and
                  Natalie D. Enright Jerger and
                  Yatin Vasant Hoskote},
  title        = {Outstanding Research Problems in NoC Design: System, Microarchitecture,
                  and Circuit Perspectives},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {1},
  pages        = {3--21},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2008.2010691},
  doi          = {10.1109/TCAD.2008.2010691},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/MarculescuOPJH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KolodnyP09,
  author       = {Avinoam Kolodny and
                  Li{-}Shiuan Peh},
  title        = {Special Section on International Symposium on Networks-on-Chip {(NOCS)}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {3},
  pages        = {317--318},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2009.2012524},
  doi          = {10.1109/TVLSI.2009.2012524},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KolodnyP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KahngLPS09,
  author       = {Andrew B. Kahng and
                  Bin Li and
                  Li{-}Shiuan Peh and
                  Kambiz Samadi},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {{ORION} 2.0: {A} fast and accurate NoC power and area model for early-stage
                  design space exploration},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {423--428},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090700},
  doi          = {10.1109/DATE.2009.5090700},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KahngLPS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/AgarwalPJ09,
  author       = {Niket Agarwal and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {In-Network Snoop Ordering {(INSO):} Snoopy coherence on unordered
                  interconnects},
  booktitle    = {15th International Conference on High-Performance Computer Architecture
                  {(HPCA-15} 2009), 14-18 February 2009, Raleigh, North Carolina, {USA}},
  pages        = {67--78},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/HPCA.2009.4798238},
  doi          = {10.1109/HPCA.2009.4798238},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/AgarwalPJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/AgarwalKPJ09,
  author       = {Niket Agarwal and
                  Tushar Krishna and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {{GARNET:} {A} detailed on-chip network model inside a full-system
                  simulator},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts,
                  USA, Proceedings},
  pages        = {33--42},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISPASS.2009.4919636},
  doi          = {10.1109/ISPASS.2009.4919636},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispass/AgarwalKPJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/AgarwalPJ09,
  author       = {Niket Agarwal and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  editor       = {David H. Albonesi and
                  Margaret Martonosi and
                  David I. August and
                  Jos{\'{e}} F. Mart{\'{\i}}nez},
  title        = {In-network coherence filtering: snoopy coherence without broadcasts},
  booktitle    = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}},
  pages        = {232--243},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1669112.1669143},
  doi          = {10.1145/1669112.1669143},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/AgarwalPJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:series/icas/PehKV09,
  author       = {Li{-}Shiuan Peh and
                  Stephen W. Keckler and
                  Sriram R. Vangal},
  editor       = {Stephen W. Keckler and
                  Kunle Olukotun and
                  H. Peter Hofstee},
  title        = {On-Chip Networks for Multicore Systems},
  booktitle    = {Multicore Processors and Systems},
  series       = {Integrated Circuits and Systems},
  pages        = {35--71},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-1-4419-0263-4\_2},
  doi          = {10.1007/978-1-4419-0263-4\_2},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/series/icas/PehKV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/YehPBDAH08,
  author       = {David Yeh and
                  Li{-}Shiuan Peh and
                  Shekhar Borkar and
                  John A. Darringer and
                  Anant Agarwal and
                  Wen{-}mei W. Hwu},
  title        = {Thousand-Core Chips [Roundtable]},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {25},
  number       = {3},
  pages        = {272--278},
  year         = {2008},
  url          = {https://doi.org/10.1109/MDT.2008.85},
  doi          = {10.1109/MDT.2008.85},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/YehPBDAH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/HoskoteMP08,
  author       = {Yatin Vasant Hoskote and
                  Radu Marculescu and
                  Li{-}Shiuan Peh},
  title        = {Guest Editors' Introduction: Tackling Key Problems in NoCs},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {25},
  number       = {5},
  pages        = {400--401},
  year         = {2008},
  url          = {https://doi.org/10.1109/MDT.2008.141},
  doi          = {10.1109/MDT.2008.141},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/HoskoteMP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/KumarPKJ08,
  author       = {Amit Kumar and
                  Li{-}Shiuan Peh and
                  Partha Kundu and
                  Niraj K. Jha},
  title        = {Toward Ideal On-Chip Communication Using Express Virtual Channels},
  journal      = {{IEEE} Micro},
  volume       = {28},
  number       = {1},
  pages        = {80--90},
  year         = {2008},
  url          = {https://doi.org/10.1109/MM.2008.18},
  doi          = {10.1109/MM.2008.18},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/KumarPKJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KumarSPJ08,
  author       = {Amit Kumar and
                  Li Shang and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {System-Level Dynamic Thermal Management for High-Performance Microprocessors},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {1},
  pages        = {96--108},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2007.907062},
  doi          = {10.1109/TCAD.2007.907062},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KumarSPJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/EisleyPS08,
  author       = {Noel Eisley and
                  Li{-}Shiuan Peh and
                  Li Shang},
  editor       = {Andreas Moshovos and
                  David Tarditi and
                  Kunle Olukotun},
  title        = {Leveraging on-chip networks for data cache migration in chip multiprocessors},
  booktitle    = {17th International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} 2008, Toronto, Ontario, Canada, October 25-29,
                  2008},
  pages        = {197--207},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1454115.1454144},
  doi          = {10.1145/1454115.1454144},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/EisleyPS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/AisoposCP08,
  author       = {Konstantinos Aisopos and
                  Chien{-}Chun Chou and
                  Li{-}Shiuan Peh},
  editor       = {Catherine H. Gebotys and
                  Grant Martin},
  title        = {Extending open core protocol to support system-level cache coherence},
  booktitle    = {Proceedings of the 6th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2008, Atlanta, GA, USA,
                  October 19-24, 2008},
  pages        = {167--172},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1450135.1450173},
  doi          = {10.1145/1450135.1450173},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/AisoposCP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/KrishnaKCEP08,
  author       = {Tushar Krishna and
                  Amit Kumar and
                  Patrick Chiang and
                  Mattan Erez and
                  Li{-}Shiuan Peh},
  title        = {NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication},
  booktitle    = {16th Annual {IEEE} Symposium on High Performance Interconnects {(HOTI}
                  2008), 26-28 August 2008, Stanford, CA, {USA}},
  pages        = {11--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/HOTI.2008.22},
  doi          = {10.1109/HOTI.2008.22},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/KrishnaKCEP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/KumarAPJ08,
  author       = {Amit Kumar and
                  Niket Agarwal and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {A system-level perspective for efficient NoC design},
  booktitle    = {22nd {IEEE} International Symposium on Parallel and Distributed Processing,
                  {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/IPDPS.2008.4536409},
  doi          = {10.1109/IPDPS.2008.4536409},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/KumarAPJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/JergerPL08,
  author       = {Natalie D. Enright Jerger and
                  Li{-}Shiuan Peh and
                  Mikko H. Lipasti},
  title        = {Virtual Circuit Tree Multicasting: {A} Case for On-Chip Hardware Multicast
                  Support},
  booktitle    = {35th International Symposium on Computer Architecture {(ISCA} 2008),
                  June 21-25, 2008, Beijing, China},
  pages        = {229--240},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISCA.2008.12},
  doi          = {10.1109/ISCA.2008.12},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/JergerPL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenWP08,
  author       = {Xuning Chen and
                  Gu{-}Yeon Wei and
                  Li{-}Shiuan Peh},
  editor       = {Vijaykrishnan Narayanan and
                  C. P. Ravikumar and
                  J{\"{o}}rg Henkel and
                  Ali Keshavarzi and
                  Vojin G. Oklobdzija and
                  Barry M. Pangrle},
  title        = {Design of low-power short-distance opto-electronic transceiver front-ends
                  with scalable supply voltages and frequencies},
  booktitle    = {Proceedings of the 2008 International Symposium on Low Power Electronics
                  and Design, 2008, Bangalore, India, August 11-13, 2008},
  pages        = {277--282},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1393921.1393994},
  doi          = {10.1145/1393921.1393994},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenWP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/JergerPL08,
  author       = {Natalie D. Enright Jerger and
                  Li{-}Shiuan Peh and
                  Mikko H. Lipasti},
  title        = {Virtual tree coherence: Leveraging regions and in-network multicast
                  trees for scalable cache coherence},
  booktitle    = {41st Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-41} 2008), November 8-12, 2008, Lake Como, Italy},
  pages        = {35--46},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/MICRO.2008.4771777},
  doi          = {10.1109/MICRO.2008.4771777},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/JergerPL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KumarPJ08,
  author       = {Amit Kumar and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {Token flow control},
  booktitle    = {41st Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-41} 2008), November 8-12, 2008, Lake Como, Italy},
  pages        = {342--353},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/MICRO.2008.4771803},
  doi          = {10.1109/MICRO.2008.4771803},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KumarPJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/LiPP08,
  author       = {Bin Li and
                  Li{-}Shiuan Peh and
                  Priyadarsan Patra},
  title        = {Impact of Process and Temperature Variations on Network-on-Chip Design
                  Exploration},
  booktitle    = {Second International Symposium on Networks-on-Chips, {NOCS} 2008,
                  5-6 April 2008, Newcastle University, {UK.} Proceedings},
  pages        = {117--126},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.ieeecomputersociety.org/10.1109/NOCS.2008.19},
  doi          = {10.1109/NOCS.2008.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/LiPP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/JergerPL08,
  author       = {Natalie D. Enright Jerger and
                  Li{-}Shiuan Peh and
                  Mikko H. Lipasti},
  title        = {Circuit-Switched Coherence},
  booktitle    = {Second International Symposium on Networks-on-Chips, {NOCS} 2008,
                  5-6 April 2008, Newcastle University, {UK.} Proceedings},
  pages        = {193--202},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.ieeecomputersociety.org/10.1109/NOCS.2008.22},
  doi          = {10.1109/NOCS.2008.22},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/JergerPL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/JergerLP07,
  author       = {Natalie D. Enright Jerger and
                  Mikko H. Lipasti and
                  Li{-}Shiuan Peh},
  title        = {Circuit-Switched Coherence},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {6},
  number       = {1},
  pages        = {5--8},
  year         = {2007},
  url          = {https://doi.org/10.1109/L-CA.2007.2},
  doi          = {10.1109/L-CA.2007.2},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/JergerLP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/KunduP07,
  author       = {Partha Kundu and
                  Li{-}Shiuan Peh},
  title        = {Guest Editors' Introduction: On-Chip Interconnects for Multicores},
  journal      = {{IEEE} Micro},
  volume       = {27},
  number       = {5},
  pages        = {3--5},
  year         = {2007},
  url          = {http://doi.ieeecomputersociety.org/10.1109/MM.2007.85},
  doi          = {10.1109/MM.2007.85},
  timestamp    = {Thu, 08 Nov 2007 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/micro/KunduP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/OwensDHJKP07,
  author       = {John D. Owens and
                  William J. Dally and
                  Ron Ho and
                  Doddaballapur Narasimha{-}Murthy Jayasimha and
                  Stephen W. Keckler and
                  Li{-}Shiuan Peh},
  title        = {Research Challenges for On-Chip Interconnection Networks},
  journal      = {{IEEE} Micro},
  volume       = {27},
  number       = {5},
  pages        = {96--108},
  year         = {2007},
  url          = {http://doi.ieeecomputersociety.org/10.1109/MM.2007.91},
  doi          = {10.1109/MM.2007.91},
  timestamp    = {Sun, 03 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/OwensDHJKP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigmobile/WangMP07,
  author       = {Yong Wang and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh},
  title        = {Predicting link quality using supervised learning in wireless sensor
                  networks},
  journal      = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.},
  volume       = {11},
  number       = {3},
  pages        = {71--83},
  year         = {2007},
  url          = {https://doi.org/10.1145/1317425.1317434},
  doi          = {10.1145/1317425.1317434},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigmobile/WangMP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/SoteriouEP07,
  author       = {Vassos Soteriou and
                  Noel Eisley and
                  Li{-}Shiuan Peh},
  title        = {Software-directed power-aware interconnection networks},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {4},
  number       = {1},
  pages        = {5},
  year         = {2007},
  url          = {https://doi.org/10.1145/1216544.1216548},
  doi          = {10.1145/1216544.1216548},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/SoteriouEP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/SoteriouP07,
  author       = {Vassos Soteriou and
                  Li{-}Shiuan Peh},
  title        = {Exploring the Design Space of Self-Regulating Power-Aware On/Off Interconnection
                  Networks},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {18},
  number       = {3},
  pages        = {393--408},
  year         = {2007},
  url          = {https://doi.org/10.1109/TPDS.2007.43},
  doi          = {10.1109/TPDS.2007.43},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/SoteriouP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LuoJP07,
  author       = {Jiong Luo and
                  Niraj K. Jha and
                  Li{-}Shiuan Peh},
  title        = {Simultaneous Dynamic Voltage Scaling of Processors and Communication
                  Links in Real-Time Distributed Embedded Systems},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {15},
  number       = {4},
  pages        = {427--437},
  year         = {2007},
  url          = {https://doi.org/10.1109/TVLSI.2007.893660},
  doi          = {10.1109/TVLSI.2007.893660},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LuoJP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/SoteriouEWLP07,
  author       = {Vassos Soteriou and
                  Noel Eisley and
                  Hangsheng Wang and
                  Bin Li and
                  Li{-}Shiuan Peh},
  title        = {Polaris: {A} System-Level Roadmapping Toolchain for On-Chip Interconnection
                  Networks},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {15},
  number       = {8},
  pages        = {855--868},
  year         = {2007},
  url          = {https://doi.org/10.1109/TVLSI.2007.900725},
  doi          = {10.1109/TVLSI.2007.900725},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/SoteriouEWLP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KumarKSPJ07,
  author       = {Amit Kumar and
                  Partha Kundu and
                  Arvind P. Singh and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator
                  in 65nm {CMOS}},
  booktitle    = {25th International Conference on Computer Design, {ICCD} 2007, 7-10
                  October 2007, Lake Tahoe, CA, USA, Proceedings},
  pages        = {63--70},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCD.2007.4601881},
  doi          = {10.1109/ICCD.2007.4601881},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KumarKSPJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/KumarPKJ07,
  author       = {Amit Kumar and
                  Li{-}Shiuan Peh and
                  Partha Kundu and
                  Niraj K. Jha},
  editor       = {Dean M. Tullsen and
                  Brad Calder},
  title        = {Express virtual channels: towards the ideal interconnection fabric},
  booktitle    = {34th International Symposium on Computer Architecture {(ISCA} 2007),
                  June 9-13, 2007, San Diego, California, {USA}},
  pages        = {150--161},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1250662.1250681},
  doi          = {10.1145/1250662.1250681},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/KumarPKJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/EisleyPS06,
  author       = {Noel Eisley and
                  Li{-}Shiuan Peh and
                  Li Shang},
  title        = {In-network cache coherence},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {5},
  number       = {1},
  pages        = {34--37},
  year         = {2006},
  url          = {https://doi.org/10.1109/L-CA.2006.9},
  doi          = {10.1109/L-CA.2006.9},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/EisleyPS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/ShangPKJ06,
  author       = {Li Shang and
                  Li{-}Shiuan Peh and
                  Amit Kumar and
                  Niraj K. Jha},
  title        = {Temperature-Aware On-Chip Networks},
  journal      = {{IEEE} Micro},
  volume       = {26},
  number       = {1},
  pages        = {130--139},
  year         = {2006},
  url          = {https://doi.org/10.1109/MM.2006.23},
  doi          = {10.1109/MM.2006.23},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/ShangPKJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ShangPJ06,
  author       = {Li Shang and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {PowerHerd: a distributed scheme for dynamically satisfying peak-power
                  constraints in interconnection networks},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {1},
  pages        = {92--110},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2005.852438},
  doi          = {10.1109/TCAD.2005.852438},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ShangPJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/EisleySP06,
  author       = {Noel Eisley and
                  Vassos Soteriou and
                  Li{-}Shiuan Peh},
  editor       = {Seongsoo Hong and
                  Wayne H. Wolf and
                  Kriszti{\'{a}}n Flautner and
                  Taewhan Kim},
  title        = {High-level power analysis for multi-core chips},
  booktitle    = {Proceedings of the 2006 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {389--400},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176760.1176807},
  doi          = {10.1145/1176760.1176807},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/EisleySP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KumarSPJ06,
  author       = {Amit Kumar and
                  Li Shang and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  editor       = {Ellen Sentovich},
  title        = {HybDTM: a coordinated hardware-software approach for dynamic thermal
                  management},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {548--553},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147052},
  doi          = {10.1145/1146909.1147052},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KumarSPJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SoteriouEWLP06,
  author       = {Vassos Soteriou and
                  Noel Eisley and
                  Hangsheng Wang and
                  Bin Li and
                  Li{-}Shiuan Peh},
  title        = {Polaris: {A} System-Level Roadmap for On-Chip Interconnection Networks},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {134--141},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380806},
  doi          = {10.1109/ICCD.2006.4380806},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SoteriouEWLP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mascots/SoteriouWP06,
  author       = {Vassos Soteriou and
                  Hangsheng Wang and
                  Li{-}Shiuan Peh},
  title        = {A Statistical Traffic Model for On-Chip Interconnection Networks},
  booktitle    = {14th International Symposium on Modeling, Analysis, and Simulation
                  of Computer and Telecommunication Systems {(MASCOTS} 2006), 11-14
                  September 2006, Monterey, California, {USA}},
  pages        = {104--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/MASCOTS.2006.9},
  doi          = {10.1109/MASCOTS.2006.9},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mascots/SoteriouWP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mascots/WangMP06,
  author       = {Yong Wang and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh},
  title        = {Situation-Aware Caching Strategies in Highly Varying Mobile Networks},
  booktitle    = {14th International Symposium on Modeling, Analysis, and Simulation
                  of Computer and Telecommunication Systems {(MASCOTS} 2006), 11-14
                  September 2006, Monterey, California, {USA}},
  pages        = {265--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/MASCOTS.2006.45},
  doi          = {10.1109/MASCOTS.2006.45},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mascots/WangMP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/EisleyPS06,
  author       = {Noel Eisley and
                  Li{-}Shiuan Peh and
                  Li Shang},
  title        = {In-Network Cache Coherence},
  booktitle    = {39th Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-39} 2006), 9-13 December 2006, Orlando, Florida, {USA}},
  pages        = {321--332},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/MICRO.2006.27},
  doi          = {10.1109/MICRO.2006.27},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/EisleyPS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mobihoc/WangMP06,
  author       = {Yong Wang and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh},
  editor       = {Marco Conti and
                  Jon Crowcroft and
                  Andrea Passarella},
  title        = {A supervised learning approach for routing optimizations in wireless
                  sensor networks},
  booktitle    = {Proceedings of the 2nd International Workshop on Multi-Hop Ad Hoc
                  Networks: From Theory to Reality, REALMAN@MobiHoc 2006, Florence,
                  Italy, May 26, 2006},
  pages        = {79--86},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1132983.1132997},
  doi          = {10.1145/1132983.1132997},
  timestamp    = {Fri, 04 Feb 2022 12:06:51 +0100},
  biburl       = {https://dblp.org/rec/conf/mobihoc/WangMP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/secon/WangMP06,
  author       = {Yong Wang and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh},
  title        = {Supervised Learning in Sensor Networks: New Approaches with Routing,
                  Reliability Optimizations},
  booktitle    = {Proceedings of the Third Annual {IEEE} Communications Society on Sensor
                  and Ad Hoc Communications and Networks, {SECON} 2006, September 25-28,
                  2006, Reston, VA, {USA}},
  pages        = {256--265},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/SAHCN.2006.288430},
  doi          = {10.1109/SAHCN.2006.288430},
  timestamp    = {Fri, 30 Apr 2021 18:04:33 +0200},
  biburl       = {https://dblp.org/rec/conf/secon/WangMP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sigcomm/WangWMP06,
  author       = {Yong Wang and
                  Chieh{-}Yih Wan and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh},
  title        = {Transport layer approaches for improving idle energy in challenged
                  sensor networks},
  booktitle    = {Proceedings of the 2006 {SIGCOMM} workshop on Challenged networks,
                  CHANTS@SIGCOMM 2006, Pisa, Italy, September 11-15, 2006},
  pages        = {253--260},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1162654.1162663},
  doi          = {10.1145/1162654.1162663},
  timestamp    = {Tue, 06 Nov 2018 11:07:11 +0100},
  biburl       = {https://dblp.org/rec/conf/sigcomm/WangWMP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijpp/AugustMPPVW05,
  author       = {David I. August and
                  Sharad Malik and
                  Li{-}Shiuan Peh and
                  Vijay S. Pai and
                  Manish Vachharajani and
                  Paul Willmann},
  title        = {Achieving Structural and Composable Modeling of Complex Systems},
  journal      = {Int. J. Parallel Program.},
  volume       = {33},
  number       = {2-3},
  pages        = {81--101},
  year         = {2005},
  url          = {https://doi.org/10.1007/s10766-005-3569-3},
  doi          = {10.1007/S10766-005-3569-3},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijpp/AugustMPPVW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/WuJMPC05,
  author       = {Qiang Wu and
                  Philo Juang and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh and
                  Douglas W. Clark},
  title        = {Formal Control Techniques for Power-Performance Management},
  journal      = {{IEEE} Micro},
  volume       = {25},
  number       = {5},
  pages        = {52--62},
  year         = {2005},
  url          = {https://doi.org/10.1109/MM.2005.87},
  doi          = {10.1109/MM.2005.87},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/WuJMPC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigarch/ChenJKCPRSPM05,
  author       = {Julia Chen and
                  Philo Juang and
                  Kevin Ko and
                  Gilberto Contreras and
                  David Penry and
                  Ram Rangan and
                  Adam Stoler and
                  Li{-}Shiuan Peh and
                  Margaret Martonosi},
  title        = {Hardware-modulated parallelism in chip multiprocessors},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {33},
  number       = {4},
  pages        = {54--63},
  year         = {2005},
  url          = {https://doi.org/10.1145/1105734.1105742},
  doi          = {10.1145/1105734.1105742},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigarch/ChenJKCPRSPM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/PehP05,
  author       = {Li{-}Shiuan Peh and
                  Timothy Mark Pinkston},
  title        = {Guest Editorial: Special Section on On-Chip Networks},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {16},
  number       = {2},
  pages        = {97--98},
  year         = {2005},
  url          = {https://doi.org/10.1109/TPDS.2005.19},
  doi          = {10.1109/TPDS.2005.19},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/PehP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/SoteriouEP05,
  author       = {Vassos Soteriou and
                  Noel Eisley and
                  Li{-}Shiuan Peh},
  editor       = {Thomas M. Conte and
                  Paolo Faraboschi and
                  William H. Mangione{-}Smith and
                  Walid A. Najjar},
  title        = {Software-directed power-aware interconnection networks},
  booktitle    = {Proceedings of the 2005 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2005, San Francisco, California,
                  USA, September 24-27, 2005},
  pages        = {274--285},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1086297.1086333},
  doi          = {10.1145/1086297.1086333},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/SoteriouEP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangPM05,
  author       = {Hangsheng Wang and
                  Li{-}Shiuan Peh and
                  Sharad Malik},
  title        = {A Technology-Aware and Energy-Oriented Topology Exploration for On-Chip
                  Networks},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {1238--1243},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.40},
  doi          = {10.1109/DATE.2005.40},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WangPM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ChenPWHP05,
  author       = {Xuning Chen and
                  Li{-}Shiuan Peh and
                  Gu{-}Yeon Wei and
                  Yue{-}Kai Huang and
                  Paul R. Prucnal},
  title        = {Exploring the Design Space of Power-Aware Opto-Electronic Networked
                  Systems},
  booktitle    = {11th International Conference on High-Performance Computer Architecture
                  {(HPCA-11} 2005), 12-16 February 2005, San Francisco, CA, {USA}},
  pages        = {120--131},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/HPCA.2005.15},
  doi          = {10.1109/HPCA.2005.15},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/ChenPWHP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JuangWPMC05,
  author       = {Philo Juang and
                  Qiang Wu and
                  Li{-}Shiuan Peh and
                  Margaret Martonosi and
                  Douglas W. Clark},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Coordinated, distributed, formal energy management of chip multiprocessors},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {127--130},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077637},
  doi          = {10.1145/1077603.1077637},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JuangWPMC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sensys/WangMP05,
  author       = {Yong Wang and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh},
  editor       = {Jason Redi and
                  Hari Balakrishnan and
                  Feng Zhao},
  title        = {A new scheme on link quality prediction and its applications to metric-based
                  routing},
  booktitle    = {Proceedings of the 3rd International Conference on Embedded Networked
                  Sensor Systems, SenSys 2005, San Diego, California, USA, November
                  2-4, 2005},
  pages        = {288--289},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1098918.1098958},
  doi          = {10.1145/1098918.1098958},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sensys/WangMP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigmobile/WangMP04,
  author       = {Yong Wang and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh},
  title        = {MARio: mobility-adaptive routing using route lifetime abstractions
                  in mobile ad hoc networks},
  journal      = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.},
  volume       = {8},
  number       = {4},
  pages        = {77--81},
  year         = {2004},
  url          = {https://doi.org/10.1145/1052871.1052881},
  doi          = {10.1145/1052871.1052881},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigmobile/WangMP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/EisleyP04,
  author       = {Noel Eisley and
                  Li{-}Shiuan Peh},
  editor       = {Mary Jane Irwin and
                  Wei Zhao and
                  Luciano Lavagno and
                  Scott A. Mahlke},
  title        = {High-level power analysis for on-chip networks},
  booktitle    = {Proceedings of the 2004 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2004, Washington DC, USA,
                  September 22 - 25, 2004},
  pages        = {104--115},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1023833.1023849},
  doi          = {10.1145/1023833.1023849},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/EisleyP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SoteriouP04,
  author       = {Vassos Soteriou and
                  Li{-}Shiuan Peh},
  title        = {Design-Space Exploration of Power-Aware On/Off Interconnection Networks},
  booktitle    = {22nd {IEEE} International Conference on Computer Design: {VLSI} in
                  Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San
                  Jose, CA, USA, Proceedings},
  pages        = {510--517},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCD.2004.1347970},
  doi          = {10.1109/ICCD.2004.1347970},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SoteriouP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/AugustMPP04,
  author       = {David I. August and
                  Sharad Malik and
                  Li{-}Shiuan Peh and
                  Vijay S. Pai},
  title        = {Achieving Structural and Composable Modeling of Complex Systems},
  booktitle    = {18th International Parallel and Distributed Processing Symposium {(IPDPS}
                  2004), {CD-ROM} / Abstracts Proceedings, 26-30 April 2004, Santa Fe,
                  New Mexico, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/IPDPS.2004.1303216},
  doi          = {10.1109/IPDPS.2004.1303216},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ipps/AugustMPP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/ShangPKJ04,
  author       = {Li Shang and
                  Li{-}Shiuan Peh and
                  Amit Kumar and
                  Niraj K. Jha},
  title        = {Thermal Modeling, Characterization and Management of On-Chip Networks},
  booktitle    = {37th Annual International Symposium on Microarchitecture {(MICRO-37}
                  2004), 4-8 December 2004, Portland, OR, {USA}},
  pages        = {67--78},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/MICRO.2004.35},
  doi          = {10.1109/MICRO.2004.35},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/ShangPKJ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/WangPM03,
  author       = {Hangsheng Wang and
                  Li{-}Shiuan Peh and
                  Sharad Malik},
  title        = {A Power Model for Routers: Modeling Alpha 21364 and InfiniBand Routers},
  journal      = {{IEEE} Micro},
  volume       = {23},
  number       = {1},
  pages        = {26--35},
  year         = {2003},
  url          = {https://doi.org/10.1109/MM.2003.1179895},
  doi          = {10.1109/MM.2003.1179895},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/WangPM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LuoPJ03,
  author       = {Jiong Luo and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {Simultaneous Dynamic Voltage Scaling of Processors and Communication
                  Links in Real-Time Distributed Embedded Systems},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {11150--11151},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DATE.2003.1253776},
  doi          = {10.1109/DATE.2003.1253776},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LuoPJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/SoteriouP03,
  author       = {Vassos Soteriou and
                  Li{-}Shiuan Peh},
  title        = {Dynamic power management for power optimization of interconnection
                  networks using on/off links},
  booktitle    = {Proceedings of the 11th Annual {IEEE} Symposium on High Performance
                  Interconnects, {HOTIC} 2003, August 20-22, 2003, Stanford, CA, {USA}},
  pages        = {15--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/CONECT.2003.1231472},
  doi          = {10.1109/CONECT.2003.1231472},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/SoteriouP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ShangPJ03,
  author       = {Li Shang and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {Dynamic Voltage Scaling with Links for Power Optimization of Interconnection
                  Networks},
  booktitle    = {Proceedings of the Ninth International Symposium on High-Performance
                  Computer Architecture (HPCA'03), Anaheim, California, USA, February
                  8-12, 2003},
  pages        = {91--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/HPCA.2003.1183527},
  doi          = {10.1109/HPCA.2003.1183527},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/ShangPJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/ShangPJ03,
  author       = {Li Shang and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  editor       = {Utpal Banerjee and
                  Kyle A. Gallivan and
                  Antonio Gonz{\'{a}}lez},
  title        = {PowerHerd: dynamic satisfaction of peak power constraints in interconnection
                  networks},
  booktitle    = {Proceedings of the 17th Annual International Conference on Supercomputing,
                  {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003},
  pages        = {98--108},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/782814.782830},
  doi          = {10.1145/782814.782830},
  timestamp    = {Tue, 06 Nov 2018 11:07:02 +0100},
  biburl       = {https://dblp.org/rec/conf/ics/ShangPJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenP03,
  author       = {Xuning Chen and
                  Li{-}Shiuan Peh},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Leakage power modeling and optimization in interconnection networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {90--95},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871531},
  doi          = {10.1145/871506.871531},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/WangPM03,
  author       = {Hangsheng Wang and
                  Li{-}Shiuan Peh and
                  Sharad Malik},
  title        = {Power-driven Design of Router Microarchitectures in On-chip Networks},
  booktitle    = {Proceedings of the 36th Annual International Symposium on Microarchitecture,
                  San Diego, CA, USA, December 3-5, 2003},
  pages        = {105--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/MICRO.2003.1253187},
  doi          = {10.1109/MICRO.2003.1253187},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/WangPM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/ShangPJ02,
  author       = {Li Shang and
                  Li{-}Shiuan Peh and
                  Niraj K. Jha},
  title        = {Power-efficient Interconnection Networks: Dynamic Voltage Scaling
                  with Links},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {1},
  year         = {2002},
  url          = {https://doi.org/10.1109/L-CA.2002.10},
  doi          = {10.1109/L-CA.2002.10},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/ShangPJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/JuangOWMPR02,
  author       = {Philo Juang and
                  Hidekazu Oki and
                  Yong Wang and
                  Margaret Martonosi and
                  Li{-}Shiuan Peh and
                  Daniel Rubenstein},
  editor       = {Kourosh Gharachorloo and
                  David A. Wood},
  title        = {Energy-efficient computing for wildlife tracking: design tradeoffs
                  and early experiences with ZebraNet},
  booktitle    = {Proceedings of the 10th International Conference on Architectural
                  Support for Programming Languages and Operating Systems (ASPLOS-X),
                  San Jose, California, USA, October 5-9, 2002},
  pages        = {96--107},
  publisher    = {{ACM} Press},
  year         = {2002},
  url          = {https://doi.org/10.1145/605397.605408},
  doi          = {10.1145/605397.605408},
  timestamp    = {Wed, 07 Jul 2021 13:23:08 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/JuangOWMPR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/emsoft/QinRVWZAKMP02,
  author       = {Wei Qin and
                  Subramanian Rajagopalan and
                  Manish Vachharajani and
                  Hangsheng Wang and
                  Xinping Zhu and
                  David I. August and
                  Kurt Keutzer and
                  Sharad Malik and
                  Li{-}Shiuan Peh},
  editor       = {Alberto L. Sangiovanni{-}Vincentelli and
                  Joseph Sifakis},
  title        = {Design Tools for Application Specific Embedded Processors},
  booktitle    = {Embedded Software, Second International Conference, {EMSOFT} 2002,
                  Grenoble, France, October 7-9, 2002, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2491},
  pages        = {319--333},
  publisher    = {Springer},
  year         = {2002},
  url          = {https://doi.org/10.1007/3-540-45828-X\_24},
  doi          = {10.1007/3-540-45828-X\_24},
  timestamp    = {Tue, 14 May 2019 10:00:53 +0200},
  biburl       = {https://dblp.org/rec/conf/emsoft/QinRVWZAKMP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/WangPM02,
  author       = {Hangsheng Wang and
                  Li{-}Shiuan Peh and
                  Sharad Malik},
  title        = {A Power Model for Routers: Modeling Alpha 21364 and InfiniBand Routers},
  booktitle    = {10th Annual {IEEE} Symposium on High Performance Interconnects {(HOTIC}
                  2002), August 21 - 23, 2002, Stanford, CA, {USA}},
  pages        = {21--27},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/CONECT.2002.1039253},
  doi          = {10.1109/CONECT.2002.1039253},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hoti/WangPM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/WangZPM02,
  author       = {Hangsheng Wang and
                  Xinping Zhu and
                  Li{-}Shiuan Peh and
                  Sharad Malik},
  editor       = {Erik R. Altman and
                  Kemal Ebcioglu and
                  Scott A. Mahlke and
                  B. Ramakrishna Rau and
                  Sanjay J. Patel},
  title        = {Orion: a power-performance simulator for interconnection networks},
  booktitle    = {Proceedings of the 35th Annual International Symposium on Microarchitecture,
                  Istanbul, Turkey, November 18-22, 2002},
  pages        = {294--305},
  publisher    = {{ACM/IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/MICRO.2002.1176258},
  doi          = {10.1109/MICRO.2002.1176258},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/WangZPM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Peh01,
  author       = {Li{-}Shiuan Peh},
  title        = {Flow control and micro-architectural mechanisms for extending the
                  performance of interconnection networks},
  school       = {Stanford University, {USA}},
  year         = {2001},
  url          = {https://searchworks.stanford.edu/view/4761372},
  timestamp    = {Wed, 31 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Peh01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/PehD01,
  author       = {Li{-}Shiuan Peh and
                  William J. Dally},
  title        = {A Delay Model for Router Microarchitectures},
  journal      = {{IEEE} Micro},
  volume       = {21},
  number       = {1},
  pages        = {26--34},
  year         = {2001},
  url          = {https://doi.org/10.1109/40.903059},
  doi          = {10.1109/40.903059},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/PehD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/PehD01,
  author       = {Li{-}Shiuan Peh and
                  William J. Dally},
  title        = {A Delay Model and Speculative Architecture for Pipelined Routers},
  booktitle    = {Proceedings of the Seventh International Symposium on High-Performance
                  Computer Architecture (HPCA'01), Nuevo Leone, Mexico, January 20-24,
                  2001},
  pages        = {255--266},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/HPCA.2001.903268},
  doi          = {10.1109/HPCA.2001.903268},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/PehD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/PehD00,
  author       = {Li{-}Shiuan Peh and
                  William J. Dally},
  title        = {Flit-Reservation Flow Control},
  booktitle    = {Proceedings of the Sixth International Symposium on High-Performance
                  Computer Architecture, Toulouse, France, January 8-12, 2000},
  pages        = {73--84},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/HPCA.2000.824340},
  doi          = {10.1109/HPCA.2000.824340},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/PehD00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/acl-vlc/Peh97,
  author       = {Li{-}Shiuan Peh},
  editor       = {Joe Zhou and
                  Kenneth Church},
  title        = {Domain-Specific Semantic Class Disambiguation Using WordNet},
  booktitle    = {Fifth Workshop on Very Large Corpora, {VLC} 1997, Beijing, China and
                  Hong Kong, August 18 and August 20, 1997},
  year         = {1997},
  url          = {https://aclanthology.org/W97-0108/},
  timestamp    = {Fri, 06 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/acl-vlc/Peh97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/cmp-lg-9607020,
  author       = {Li{-}Shiuan Peh and
                  Christopher Ting Hian Ann},
  title        = {A Divide-and-Conquer Strategy for Parsing},
  journal      = {CoRR},
  volume       = {cmp-lg/9607020},
  year         = {1996},
  url          = {http://arxiv.org/abs/cmp-lg/9607020},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/cmp-lg-9607020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics