BibTeX records: Sahand Salamat

download as .bib file

@inproceedings{DBLP:conf/isqed/ZhangSKMAR23,
  author       = {Tinaqi Zhang and
                  Sahand Salamat and
                  Behnam Khaleghi and
                  Justin Morris and
                  Baris Aksanli and
                  Tajana Simunic Rosing},
  title        = {{HD2FPGA:} Automated Framework for Accelerating Hyperdimensional Computing
                  on FPGAs},
  booktitle    = {24th International Symposium on Quality Electronic Design, {ISQED}
                  2023, San Francisco, CA, USA, April 5-7, 2023},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISQED57927.2023.10129332},
  doi          = {10.1109/ISQED57927.2023.10129332},
  timestamp    = {Thu, 01 Jun 2023 22:29:52 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhangSKMAR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/GuptaKSMRYTKIAR22,
  author       = {Saransh Gupta and
                  Behnam Khaleghi and
                  Sahand Salamat and
                  Justin Morris and
                  Ranganathan Ramkumar and
                  Jeffrey Yu and
                  Aniket Tiwari and
                  Jaeyoung Kang and
                  Mohsen Imani and
                  Baris Aksanli and
                  Tajana Simunic Rosing},
  title        = {Store-n-Learn: Classification and Clustering with Hyperdimensional
                  Computing across Flash Hierarchy},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {21},
  number       = {3},
  pages        = {22:1--22:25},
  year         = {2022},
  url          = {https://doi.org/10.1145/3503541},
  doi          = {10.1145/3503541},
  timestamp    = {Thu, 25 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/GuptaKSMRYTKIAR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/SalamatZKR22,
  author       = {Sahand Salamat and
                  Hui Zhang and
                  Yang{-}Seok Ki and
                  Tajana Rosing},
  title        = {{NASCENT2:} Generic Near-Storage Sort Accelerator for Data Analytics
                  on SmartSSD},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {15},
  number       = {2},
  pages        = {16:1--16:29},
  year         = {2022},
  url          = {https://doi.org/10.1145/3472769},
  doi          = {10.1145/3472769},
  timestamp    = {Wed, 06 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/SalamatZKR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Salamat21,
  author       = {Sahand Salamat},
  title        = {Fast and Energy Efficient Big Data Processing on FPGAs},
  school       = {University of California, San Diego, {USA}},
  year         = {2021},
  url          = {https://www.escholarship.org/uc/item/1wv8x2d2},
  timestamp    = {Wed, 12 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Salamat21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SalamatSKR21,
  author       = {Sahand Salamat and
                  Sumiran Shubhi and
                  Behnam Khaleghi and
                  Tajana Rosing},
  title        = {Residue-Net: Multiplication-free Neural Network by In-situ No-loss
                  Migration to Residue Number Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {222--228},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431541},
  doi          = {10.1145/3394885.3431541},
  timestamp    = {Mon, 03 May 2021 16:42:27 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SalamatSKR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GuoIKSMAKR21,
  author       = {Yunhui Guo and
                  Mohsen Imani and
                  Jaeyoung Kang and
                  Sahand Salamat and
                  Justin Morris and
                  Baris Aksanli and
                  Yeseong Kim and
                  Tajana Rosing},
  title        = {HyperRec: Efficient Recommender Systems with Hyperdimensional Computing},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {384--389},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431553},
  doi          = {10.1145/3394885.3431553},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GuoIKSMAKR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/Salamat0KIMR21,
  author       = {Sahand Salamat and
                  Jaeyoung Kang and
                  Yeseong Kim and
                  Mohsen Imani and
                  Niema Moshiri and
                  Tajana Rosing},
  title        = {{FPGA} Acceleration of Protein Back-Translation and Alignment},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {822--827},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474103},
  doi          = {10.23919/DATE51398.2021.9474103},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/Salamat0KIMR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/SalamatAKLKR21,
  author       = {Sahand Salamat and
                  Armin Haj Aboutalebi and
                  Behnam Khaleghi and
                  Joo Hwan Lee and
                  Yang{-}Seok Ki and
                  Tajana Rosing},
  editor       = {Lesley Shannon and
                  Michael Adler},
  title        = {{NASCENT:} Near-Storage Acceleration of Database Sort on SmartSSD},
  booktitle    = {{FPGA} '21: The 2021 {ACM/SIGDA} International Symposium on Field
                  Programmable Gate Arrays, Virtual Event, USA, February 28 - March
                  2, 2021},
  pages        = {262--272},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3431920.3439298},
  doi          = {10.1145/3431920.3439298},
  timestamp    = {Wed, 24 Feb 2021 15:58:34 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/SalamatAKLKR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ImaniZBRSKKR21,
  author       = {Mohsen Imani and
                  Zhuowen Zou and
                  Samuel Bosch and
                  Sanjay Anantha Rao and
                  Sahand Salamat and
                  Venkatesh Kumar and
                  Yeseong Kim and
                  Tajana Rosing},
  title        = {Revisiting HyperDimensional Learning for {FPGA} and Low-Power Architectures},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021},
  pages        = {221--234},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/HPCA51647.2021.00028},
  doi          = {10.1109/HPCA51647.2021.00028},
  timestamp    = {Tue, 27 Apr 2021 14:46:03 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/ImaniZBRSKKR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/SalamatIR20,
  author       = {Sahand Salamat and
                  Mohsen Imani and
                  Tajana Rosing},
  title        = {Accelerating Hyperdimensional Computing on FPGAs by Exploiting Computational
                  Reuse},
  journal      = {{IEEE} Trans. Computers},
  volume       = {69},
  number       = {8},
  pages        = {1159--1171},
  year         = {2020},
  url          = {https://doi.org/10.1109/TC.2020.2992662},
  doi          = {10.1109/TC.2020.2992662},
  timestamp    = {Wed, 26 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/SalamatIR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ImaniBDRSRR20,
  author       = {Mohsen Imani and
                  Samuel Bosch and
                  Sohum Datta and
                  Sharadhi Ramakrishna and
                  Sahand Salamat and
                  Jan M. Rabaey and
                  Tajana Rosing},
  title        = {QuantHD: {A} Quantization Framework for Hyperdimensional Computing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {10},
  pages        = {2268--2278},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2019.2954472},
  doi          = {10.1109/TCAD.2019.2954472},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ImaniBDRSRR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icfpt/KhaleghiSR20,
  author       = {Behnam Khaleghi and
                  Sahand Salamat and
                  Tajana Simunic Rosing},
  title        = {Revisiting {FPGA} Routing under Varying Operating Conditions},
  booktitle    = {International Conference on Field-Programmable Technology, {(IC)FPT}
                  2020, Maui, HI, USA, December 9-11, 2020},
  pages        = {94--102},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICFPT51103.2020.00022},
  doi          = {10.1109/ICFPT51103.2020.00022},
  timestamp    = {Tue, 11 May 2021 10:41:35 +0200},
  biburl       = {https://dblp.org/rec/conf/icfpt/KhaleghiSR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KhaleghiSTAKR20,
  author       = {Behnam Khaleghi and
                  Sahand Salamat and
                  Anthony Thomas and
                  Fatemeh Asgarinejad and
                  Yeseong Kim and
                  Tajana Rosing},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {SHEAR\emph{er}: highly-efficient hyperdimensional computing by software-hardware
                  enabled multifold approximation},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {241--246},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406587},
  doi          = {10.1145/3370748.3406587},
  timestamp    = {Tue, 05 Jul 2022 15:18:16 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KhaleghiSTAKR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2002-02394,
  author       = {Sahand Salamat and
                  Tajana Rosing},
  title        = {{FPGA} Acceleration of Sequence Alignment: {A} Survey},
  journal      = {CoRR},
  volume       = {abs/2002.02394},
  year         = {2020},
  url          = {https://arxiv.org/abs/2002.02394},
  eprinttype    = {arXiv},
  eprint       = {2002.02394},
  timestamp    = {Mon, 10 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2002-02394.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2007-10330,
  author       = {Behnam Khaleghi and
                  Sahand Salamat and
                  Anthony Thomas and
                  Fatemeh Asgarinejad and
                  Yeseong Kim and
                  Tajana Rosing},
  title        = {SHEARer: Highly-Efficient Hyperdimensional Computing by Software-Hardware
                  Enabled Multifold Approximation},
  journal      = {CoRR},
  volume       = {abs/2007.10330},
  year         = {2020},
  url          = {https://arxiv.org/abs/2007.10330},
  eprinttype    = {arXiv},
  eprint       = {2007.10330},
  timestamp    = {Tue, 28 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2007-10330.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AhmadiSA19,
  author       = {Mehrnaz Ahmadi and
                  Sahand Salamat and
                  Bijan Alizadeh},
  title        = {A Dynamic Timing Error Avoidance Technique Using Prediction Logic
                  in High-Performance Designs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {3},
  pages        = {734--737},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2018.2881173},
  doi          = {10.1109/TVLSI.2018.2881173},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AhmadiSA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ImaniSGHR19,
  author       = {Mohsen Imani and
                  Sahand Salamat and
                  Saransh Gupta and
                  Jiani Huang and
                  Tajana Rosing},
  editor       = {Toshiyuki Shibuya},
  title        = {{FACH:} FPGA-based acceleration of hyperdimensional computing by reducing
                  computational complexity},
  booktitle    = {Proceedings of the 24th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019},
  pages        = {493--498},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3287624.3287667},
  doi          = {10.1145/3287624.3287667},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ImaniSGHR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/ImaniSKSKR19,
  author       = {Mohsen Imani and
                  Sahand Salamat and
                  Behnam Khaleghi and
                  Mohammad Samragh and
                  Farinaz Koushanfar and
                  Tajana Rosing},
  title        = {SparseHD: Algorithm-Hardware Co-optimization for Efficient High-Dimensional
                  Computing},
  booktitle    = {27th {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2019, San Diego, CA, USA, April 28 - May
                  1, 2019},
  pages        = {190--198},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/FCCM.2019.00034},
  doi          = {10.1109/FCCM.2019.00034},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fccm/ImaniSKSKR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/SalamatIKR19,
  author       = {Sahand Salamat and
                  Mohsen Imani and
                  Behnam Khaleghi and
                  Tajana Rosing},
  editor       = {Kia Bazargan and
                  Stephen Neuendorffer},
  title        = {{F5-HD:} Fast Flexible FPGA-based Framework for Refreshing Hyperdimensional
                  Computing},
  booktitle    = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019},
  pages        = {53--62},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3289602.3293913},
  doi          = {10.1145/3289602.3293913},
  timestamp    = {Tue, 05 Mar 2019 07:04:43 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/SalamatIKR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SalamatKIR19,
  author       = {Sahand Salamat and
                  Behnam Khaleghi and
                  Mohsen Imani and
                  Tajana Rosing},
  editor       = {David Z. Pan},
  title        = {Workload-Aware Opportunistic Energy Efficiency in Multi-FPGA Platforms},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942115},
  doi          = {10.1109/ICCAD45719.2019.8942115},
  timestamp    = {Wed, 19 Feb 2020 16:38:01 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SalamatKIR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KhaleghiSIR19,
  author       = {Behnam Khaleghi and
                  Sahand Salamat and
                  Mohsen Imani and
                  Tajana Rosing},
  title        = {{FPGA} Energy Efficiency by Leveraging Thermal Margin},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {376--384},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00059},
  doi          = {10.1109/ICCD46524.2019.00059},
  timestamp    = {Tue, 18 Feb 2020 15:29:20 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KhaleghiSIR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1908-06519,
  author       = {Sahand Salamat and
                  Behnam Khaleghi and
                  Mohsen Imani and
                  Tajana Rosing},
  title        = {Workload-Aware Opportunistic Energy Efficiency in Multi-FPGA Platforms},
  journal      = {CoRR},
  volume       = {abs/1908.06519},
  year         = {2019},
  url          = {http://arxiv.org/abs/1908.06519},
  eprinttype    = {arXiv},
  eprint       = {1908.06519},
  timestamp    = {Mon, 26 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1908-06519.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1911-07187,
  author       = {Behnam Khaleghi and
                  Sahand Salamat and
                  Mohsen Imani and
                  Tajana Rosing},
  title        = {{FPGA} Energy Efficiency by Leveraging Thermal Margin},
  journal      = {CoRR},
  volume       = {abs/1911.07187},
  year         = {2019},
  url          = {http://arxiv.org/abs/1911.07187},
  eprinttype    = {arXiv},
  eprint       = {1911.07187},
  timestamp    = {Mon, 02 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1911-07187.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icrc/SalamatAA18,
  author       = {Sahand Salamat and
                  Mohammad Reza Azarbad and
                  Bijan Alizadeh},
  title        = {High-Level Synthesis of Non-Rectangular Multi-Dimensional Nested Loops
                  Using Reshaping and Vectorization},
  booktitle    = {2018 {IEEE} International Conference on Rebooting Computing, {ICRC}
                  2018, McLean, VA, USA, November 7-9, 2018},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICRC.2018.8638593},
  doi          = {10.1109/ICRC.2018.8638593},
  timestamp    = {Wed, 16 Oct 2019 14:14:51 +0200},
  biburl       = {https://dblp.org/rec/conf/icrc/SalamatAA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icrc/SalamatIGR18,
  author       = {Sahand Salamat and
                  Mohsen Imani and
                  Saransh Gupta and
                  Tajana Rosing},
  title        = {RNSnet: In-Memory Neural Network Acceleration Using Residue Number
                  System},
  booktitle    = {2018 {IEEE} International Conference on Rebooting Computing, {ICRC}
                  2018, McLean, VA, USA, November 7-9, 2018},
  pages        = {1--12},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICRC.2018.8638592},
  doi          = {10.1109/ICRC.2018.8638592},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icrc/SalamatIGR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SalamatAAF17,
  author       = {Sahand Salamat and
                  Mehrnaz Ahmadi and
                  Bijan Alizadeh and
                  Masahiro Fujita},
  title        = {Systematic approximate logic optimization using don't care conditions},
  booktitle    = {18th International Symposium on Quality Electronic Design, {ISQED}
                  2017, Santa Clara, CA, USA, March 14-15, 2017},
  pages        = {419--425},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISQED.2017.7918352},
  doi          = {10.1109/ISQED.2017.7918352},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SalamatAAF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics