Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Alberto L. Sangiovanni-Vincentelli
@article{DBLP:journals/access/TheileBTPCS24, author = {Mirco Theile and Daniele Bernardini and Raphael Trumpp and Cristina Piazza and Marco Caccamo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Learning to Generate All Feasible Actions}, journal = {{IEEE} Access}, volume = {12}, pages = {40668--40681}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3376739}, doi = {10.1109/ACCESS.2024.3376739}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TheileBTPCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/HeWHHWSL24, author = {Xiangkun He and Jingda Wu and Zhiyu Huang and Zhongxu Hu and Jun Wang and Alberto L. Sangiovanni{-}Vincentelli and Chen Lv}, title = {Fear-Neuro-Inspired Reinforcement Learning for Safe Autonomous Driving}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {46}, number = {1}, pages = {267--279}, year = {2024}, url = {https://doi.org/10.1109/TPAMI.2023.3322426}, doi = {10.1109/TPAMI.2023.3322426}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pami/HeWHHWSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KirovNSP24, author = {Dmitrii Kirov and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Roberto Passerone}, title = {Efficient Encodings for Scalable Exploration of Cyber-Physical System Architectures}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {1}, pages = {30--43}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3306717}, doi = {10.1109/TCAD.2023.3306717}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/KirovNSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-12856, author = {Mirco Theile and Hongpeng Cao and Marco Caccamo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Equivariant Ensembles and Regularization for Reinforcement Learning in Map-based Path Planning}, journal = {CoRR}, volume = {abs/2403.12856}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.12856}, doi = {10.48550/ARXIV.2403.12856}, eprinttype = {arXiv}, eprint = {2403.12856}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-12856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ml/FremontKDGYSS23, author = {Daniel J. Fremont and Edward Kim and Tommaso Dreossi and Shromona Ghosh and Xiangyu Yue and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Scenic: a language for scenario specification and data generation}, journal = {Mach. Learn.}, volume = {112}, number = {10}, pages = {3805--3849}, year = {2023}, url = {https://doi.org/10.1007/s10994-021-06120-5}, doi = {10.1007/S10994-021-06120-5}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ml/FremontKDGYSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/VinKRFKDGYSS23, author = {Eric Vin and Shun Kashiwa and Matthew Rhea and Daniel J. Fremont and Edward Kim and Tommaso Dreossi and Shromona Ghosh and Xiangyu Yue and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {Constantin Enea and Akash Lal}, title = {3D Environment Modeling for Falsification and Beyond with Scenic 3.0}, booktitle = {Computer Aided Verification - 35th International Conference, {CAV} 2023, Paris, France, July 17-22, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13964}, pages = {253--265}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-37706-8\_13}, doi = {10.1007/978-3-031-37706-8\_13}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cav/VinKRFKDGYSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsweek/YuIPCVFMS0S23, author = {Sheng{-}Jung Yu and Inigo Incer and Valmik Prabhu and Anwesha Chattoraj and Eric Vin and Daniel J. Fremont and Ankur Mehta and Alberto L. Sangiovanni{-}Vincentelli and Shankar Sastry and Sanjit A. Seshia}, title = {Symbiotic {CPS} Design-Space Exploration through Iterated Optimization}, booktitle = {Proceedings of Cyber-Physical Systems and Internet of Things Week 2023, CPS-IoT Week 2023 Workshops, San Antonio, TX, USA, May 9-12, 2023}, pages = {92--99}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3576914.3587525}, doi = {10.1145/3576914.3587525}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cpsweek/YuIPCVFMS0S23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LiuSY23, author = {Min Liu and Alberto L. Sangiovanni{-}Vincentelli and Xiangyu Yue}, title = {Beating Backdoor Attack at Its Own Game}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {4597--4606}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00426}, doi = {10.1109/ICCV51070.2023.00426}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LiuSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse-formalise/MallozziINS23, author = {Piergiuseppe Mallozzi and Inigo Incer and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Contract-Based Specification Refinement and Repair for Mission Planning}, booktitle = {11th {IEEE/ACM} International Conference on Formal Methods in Software Engineering, FormaliSE 2023, Melbourne, Australia, May 14-15, 2023}, pages = {29--38}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/FormaliSE58978.2023.00011}, doi = {10.1109/FORMALISE58978.2023.00011}, timestamp = {Mon, 10 Jul 2023 15:19:34 +0200}, biburl = {https://dblp.org/rec/conf/icse-formalise/MallozziINS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/Sangiovanni-Vincentelli23, author = {Alberto L. Sangiovanni{-}Vincentelli and Zheng Liang and Zhe Zhou and Jiaxi Zhang}, editor = {David G. Chinnery and Iris Hui{-}Ru Jiang}, title = {Automated Design of Chiplets}, booktitle = {Proceedings of the 2023 International Symposium on Physical Design, {ISPD} 2023, Virtual Event, USA, March 26-29, 2023}, pages = {1--8}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3569052.3578917}, doi = {10.1145/3569052.3578917}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/Sangiovanni-Vincentelli23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/SangiovanniVincentelli23, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Quo Vadis {IC} System Design?}, booktitle = {9th International Workshop on Advances in Sensors and Interfaces, {IWASI} 2023, Monopoli, Bari, Italy, June 8-9, 2023}, pages = {234}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IWASI58316.2023.10164350}, doi = {10.1109/IWASI58316.2023.10164350}, timestamp = {Mon, 10 Jul 2023 15:19:35 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/SangiovanniVincentelli23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/YuIS23, author = {Sheng{-}Jung Yu and Inigo Incer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Reinhard von Hanxleden and Stephen A. Edwards and Jens Brandt and Qi Zhu}, title = {Contract Replaceability for Ensuring Independent Design using Assume-Guarantee Contracts}, booktitle = {21st {ACM-IEEE} International Symposium on Formal Methods and Models for System Design, {MEMOCODE} 2023, Hamburg, Germany, September 21-22, 2023}, pages = {111--121}, publisher = {{ACM} / {IEEE}}, year = {2023}, url = {https://ieeexplore.ieee.org/document/10316205}, timestamp = {Fri, 15 Dec 2023 12:01:04 +0100}, biburl = {https://dblp.org/rec/conf/memocode/YuIS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/YuIS23a, author = {Sheng{-}Jung Yu and Inigo Incer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Reinhard von Hanxleden and Stephen A. Edwards and Jens Brandt and Qi Zhu}, title = {Constraint-Behavior Contracts: {A} Formalism for Specifying Physical Systems}, booktitle = {21st {ACM-IEEE} International Symposium on Formal Methods and Models for System Design, {MEMOCODE} 2023, Hamburg, Germany, September 21-22, 2023}, pages = {180--190}, publisher = {{ACM} / {IEEE}}, year = {2023}, url = {https://ieeexplore.ieee.org/document/10316201}, timestamp = {Sat, 02 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/YuIS23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-11461, author = {Mirco Theile and Daniele Bernardini and Raphael Trumpp and Cristina Piazza and Marco Caccamo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Learning to Generate All Feasible Actions}, journal = {CoRR}, volume = {abs/2301.11461}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.11461}, doi = {10.48550/ARXIV.2301.11461}, eprinttype = {arXiv}, eprint = {2301.11461}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-11461.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-13980, author = {Piergiuseppe Mallozzi and Hussein Sibai and Inigo Incer and Sanjit A. Seshia and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Grammar for the Representation of Unmanned Aerial Vehicles with 3D Topologies}, journal = {CoRR}, volume = {abs/2302.13980}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.13980}, doi = {10.48550/ARXIV.2302.13980}, eprinttype = {arXiv}, eprint = {2302.13980}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-13980.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-17751, author = {Inigo Incer and Apurva Badithela and Josefine Graebener and Piergiuseppe Mallozzi and Ayush Pandey and Sheng{-}Jung Yu and Albert Benveniste and Beno{\^{\i}}t Caillaud and Richard M. Murray and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Pacti: Scaling Assume-Guarantee Reasoning for System Analysis and Design}, journal = {CoRR}, volume = {abs/2303.17751}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.17751}, doi = {10.48550/ARXIV.2303.17751}, eprinttype = {arXiv}, eprint = {2303.17751}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-17751.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-06380, author = {Yu{-}Wen Lin and Tsz Ling Elaine Tang and Alberto L. Sangiovanni{-}Vincentelli and Stefano Schiavon and Costas J. Spanos}, title = {From Electronic Design Automation to Building Design Automation: Challenges and Opportunities}, journal = {CoRR}, volume = {abs/2305.06380}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.06380}, doi = {10.48550/ARXIV.2305.06380}, eprinttype = {arXiv}, eprint = {2305.06380}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-06380.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17596, author = {Inigo Incer and Albert Benveniste and Richard M. Murray and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Context-Aided Variable Elimination for Requirement Engineering}, journal = {CoRR}, volume = {abs/2305.17596}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17596}, doi = {10.48550/ARXIV.2305.17596}, eprinttype = {arXiv}, eprint = {2305.17596}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17596.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-03325, author = {Eric Vin and Shun Kashiwa and Matthew Rhea and Daniel J. Fremont and Edward Kim and Tommaso Dreossi and Shromona Ghosh and Xiangyu Yue and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {3D Environment Modeling for Falsification and Beyond with Scenic 3.0}, journal = {CoRR}, volume = {abs/2307.03325}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.03325}, doi = {10.48550/ARXIV.2307.03325}, eprinttype = {arXiv}, eprint = {2307.03325}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-03325.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-15539, author = {Min Liu and Alberto L. Sangiovanni{-}Vincentelli and Xiangyu Yue}, title = {Backdoor Defense with Non-Adversarial Backdoor}, journal = {CoRR}, volume = {abs/2307.15539}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.15539}, doi = {10.48550/ARXIV.2307.15539}, eprinttype = {arXiv}, eprint = {2307.15539}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-15539.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-01672, author = {Shixin Chen and Shanyi Li and Zhen Zhuang and Su Zheng and Zheng Liang and Tsung{-}Yi Ho and Bei Yu and Alberto L. Sangiovanni{-}Vincentelli}, title = {Floorplet: Performance-aware Floorplan Framework for Chiplet Integration}, journal = {CoRR}, volume = {abs/2308.01672}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.01672}, doi = {10.48550/ARXIV.2308.01672}, eprinttype = {arXiv}, eprint = {2308.01672}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-01672.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-03157, author = {Mirco Theile and Harald Bayerlein and Marco Caccamo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Learning to Recharge: {UAV} Coverage Path Planning through Deep Reinforcement Learning}, journal = {CoRR}, volume = {abs/2309.03157}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.03157}, doi = {10.48550/ARXIV.2309.03157}, eprinttype = {arXiv}, eprint = {2309.03157}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-03157.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-08875, author = {Inigo Incer and Albert Benveniste and Alberto L. Sangiovanni{-}Vincentelli}, title = {Some Algebraic Aspects of Assume-Guarantee Reasoning}, journal = {CoRR}, volume = {abs/2309.08875}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.08875}, doi = {10.48550/ARXIV.2309.08875}, eprinttype = {arXiv}, eprint = {2309.08875}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-08875.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/IovineCSBPS22, author = {Alessio Iovine and Miguel Jim{\'{e}}nez Carrizosa and Elena De Santis and Maria Domenica Di Benedetto and Pierdomenico Pepe and Alberto L. Sangiovanni{-}Vincentelli}, title = {Voltage Regulation and Current Sharing in {DC} Microgrids With Different Information Scenarios}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {30}, number = {5}, pages = {1905--1919}, year = {2022}, url = {https://doi.org/10.1109/TCST.2021.3126063}, doi = {10.1109/TCST.2021.3126063}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/IovineCSBPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/ZhaoCYLXKYDSK22, author = {Sicheng Zhao and Xuanbai Chen and Xiangyu Yue and Chuang Lin and Pengfei Xu and Ravi Krishna and Jufeng Yang and Guiguang Ding and Alberto L. Sangiovanni{-}Vincentelli and Kurt Keutzer}, title = {Emotional Semantics-Preserved and Feature-Aligned CycleGAN for Visual Emotion Adaptation}, journal = {{IEEE} Trans. Cybern.}, volume = {52}, number = {10}, pages = {10000--10013}, year = {2022}, url = {https://doi.org/10.1109/TCYB.2021.3062750}, doi = {10.1109/TCYB.2021.3062750}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/ZhaoCYLXKYDSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/ZhaoYZLZWKGSSK22, author = {Sicheng Zhao and Xiangyu Yue and Shanghang Zhang and Bo Li and Han Zhao and Bichen Wu and Ravi Krishna and Joseph E. Gonzalez and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Kurt Keutzer}, title = {A Review of Single-Source Deep Unsupervised Visual Domain Adaptation}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {33}, number = {2}, pages = {473--493}, year = {2022}, url = {https://doi.org/10.1109/TNNLS.2020.3028503}, doi = {10.1109/TNNLS.2020.3028503}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/ZhaoYZLZWKGSSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/AzadKWLSASS22, author = {Abdus Salam Azad and Edward Kim and Qiancheng Wu and Kimin Lee and Ion Stoica and Pieter Abbeel and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Programmatic Modeling and Generation of Real-Time Strategic Soccer Environments for Reinforcement Learning}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {6028--6036}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i6.20549}, doi = {10.1609/AAAI.V36I6.20549}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/AzadKWLSASS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/DasTSYTSS22, author = {Hari Prasanna Das and Ryan Tran and Japjot Singh and Xiangyu Yue and Geoffrey H. Tison and Alberto L. Sangiovanni{-}Vincentelli and Costas J. Spanos}, title = {Conditional Synthetic Data Generation for Robust Machine Learning Applications with Limited Pandemic Data}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {11792--11800}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i11.21435}, doi = {10.1609/AAAI.V36I11.21435}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/DasTSYTSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/IncerBSS22, author = {Inigo Incer and Albert Benveniste and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {Jean{-}Fran{\c{c}}ois Raskin and Krishnendu Chatterjee and Laurent Doyen and Rupak Majumdar}, title = {From Interface Automata to Hypercontracts}, booktitle = {Principles of Systems Design - Essays Dedicated to Thomas A. Henzinger on the Occasion of His 60th Birthday}, series = {Lecture Notes in Computer Science}, volume = {13660}, pages = {477--493}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-22337-2\_23}, doi = {10.1007/978-3-031-22337-2\_23}, timestamp = {Fri, 06 Jan 2023 08:06:45 +0100}, biburl = {https://dblp.org/rec/conf/birthday/IncerBSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GuarreraJLZ0S22, author = {Matteo Guarrera and Baihong Jin and Tung{-}Wei Lin and Maria A. Zuluaga and Yuxin Chen and Alberto L. Sangiovanni{-}Vincentelli}, title = {Class-wise Thresholding for Robust Out-of-Distribution Detection}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {2836--2845}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00321}, doi = {10.1109/CVPRW56347.2022.00321}, timestamp = {Mon, 29 Aug 2022 11:44:03 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/GuarreraJLZ0S22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccps/KimSJFSS22, author = {Edward Kim and Jay Shenoy and Sebastian Junges and Daniel J. Fremont and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Querying Labelled Data with Scenario Programs for Sim-to-Real Validation}, booktitle = {13th {ACM/IEEE} International Conference on Cyber-Physical Systems, {ICCPS} 2022, Milano, Italy, May 4-6, 2022}, pages = {34--45}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCPS54341.2022.00010}, doi = {10.1109/ICCPS54341.2022.00010}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccps/KimSJFSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccps/KimSJFSS22a, author = {Edward Kim and Jay Shenoy and Sebastian Junges and Daniel J. Fremont and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Demo: Querying Labelled Data with Scenario Programs for Sim-to-Real Validation}, booktitle = {13th {ACM/IEEE} International Conference on Cyber-Physical Systems, {ICCPS} 2022, Milano, Italy, May 4-6, 2022}, pages = {322--323}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCPS54341.2022.00052}, doi = {10.1109/ICCPS54341.2022.00052}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccps/KimSJFSS22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nfm/IncerBSS22, author = {Inigo Incer and Albert Benveniste and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {Jyotirmoy V. Deshmukh and Klaus Havelund and Ivan Perez}, title = {Hypercontracts}, booktitle = {{NASA} Formal Methods - 14th International Symposium, {NFM} 2022, Pasadena, CA, USA, May 24-27, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13260}, pages = {674--692}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06773-0\_36}, doi = {10.1007/978-3-031-06773-0\_36}, timestamp = {Mon, 23 May 2022 16:36:23 +0200}, biburl = {https://dblp.org/rec/conf/nfm/IncerBSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-11908, author = {Piergiuseppe Mallozzi and Inigo Incer and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Contract-Based Specification Refinement and Repair for Mission Planning}, journal = {CoRR}, volume = {abs/2211.11908}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.11908}, doi = {10.48550/ARXIV.2211.11908}, eprinttype = {arXiv}, eprint = {2211.11908}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-11908.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aamas/BraudIDKES21, author = {Thomas Braud and Jordan Ivanchev and Corvin Deboeser and Alois C. Knoll and David Eckhoff and Alberto L. Sangiovanni{-}Vincentelli}, title = {{AVDM:} {A} hierarchical command-and-control system architecture for cooperative autonomous vehicles in highways scenario using microscopic simulations}, journal = {Auton. Agents Multi Agent Syst.}, volume = {35}, number = {1}, pages = {16}, year = {2021}, url = {https://doi.org/10.1007/s10458-021-09499-6}, doi = {10.1007/S10458-021-09499-6}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aamas/BraudIDKES21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/IvanchevDBKES21, author = {Jordan Ivanchev and Corvin Deboeser and Thomas Braud and Alois C. Knoll and David Eckhoff and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Hierarchical State-Machine-Based Framework for Platoon Manoeuvre Descriptions}, journal = {{IEEE} Access}, volume = {9}, pages = {128393--128406}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3106455}, doi = {10.1109/ACCESS.2021.3106455}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/IvanchevDBKES21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/SrinivasanAJSSP21, author = {Seshadhri Srinivasan and Pandarasamy Arjunan and Baihong Jin and Alberto L. Sangiovanni{-}Vincentelli and Zuraimi Sultan and Kameshwar Poolla}, title = {Explainable {AI} for Chiller Fault-Detection Systems: Gaining Human Trust}, journal = {Computer}, volume = {54}, number = {10}, pages = {60--68}, year = {2021}, url = {https://doi.org/10.1109/MC.2021.3071551}, doi = {10.1109/MC.2021.3071551}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/SrinivasanAJSSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/se/HoferSSR21, author = {Florian Hofer and Martin A. Sehr and Alberto L. Sangiovanni{-}Vincentelli and Barbara Russo}, title = {Industrial control via application containers: Maintaining determinism in {IAAS}}, journal = {Syst. Eng.}, volume = {24}, number = {5}, pages = {352--368}, year = {2021}, url = {https://doi.org/10.1002/sys.21590}, doi = {10.1002/SYS.21590}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/se/HoferSSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/MoinTASR21, author = {Ali Moin and Arno Thielens and {\'{A}}lvaro Araujo and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, title = {Adaptive Body Area Networks Using Kinematics and Biosignals}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {25}, number = {3}, pages = {623--633}, year = {2021}, url = {https://doi.org/10.1109/JBHI.2020.3003924}, doi = {10.1109/JBHI.2020.3003924}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/MoinTASR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YueZZ0DKS21, author = {Xiangyu Yue and Zangwei Zheng and Shanghang Zhang and Yang Gao and Trevor Darrell and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Prototypical Cross-Domain Self-Supervised Learning for Few-Shot Unsupervised Domain Adaptation}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {13834--13844}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Yue\_Prototypical\_Cross-Domain\_Self-Supervised\_Learning\_for\_Few-Shot\_Unsupervised\_Domain\_Adaptation\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01362}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YueZZ0DKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FremontSS21, author = {Daniel J. Fremont and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Safety in Autonomous Driving: Can Tools Offer Guarantees?}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1311--1314}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586292}, doi = {10.1109/DAC18074.2021.9586292}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FremontSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/PangVZIS21, author = {Bo Pang and Ashank Verma and Jingchao Zhou and Inigo Incer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Linh Thi Xuan Phan and David Broman}, title = {The cyber-physical immune system: work-in-progress}, booktitle = {{EMSOFT} '21: Proceedings of the 2021 International Conference on Embedded Software, Virtual Event, October 8 - 15, 2021}, pages = {43--44}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3477244.3477621}, doi = {10.1145/3477244.3477621}, timestamp = {Thu, 11 Nov 2021 14:49:47 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/PangVZIS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mir/ZhengYKS21, author = {Zangwei Zheng and Xiangyu Yue and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Wen{-}Huang Cheng and Mohan S. Kankanhalli and Meng Wang and Wei{-}Ta Chu and Jiaying Liu and Marcel Worring}, title = {Scene-aware Learning Network for Radar Object Detection}, booktitle = {{ICMR} '21: International Conference on Multimedia Retrieval, Taipei, Taiwan, August 21-24, 2021}, pages = {573--579}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3460426.3463655}, doi = {10.1145/3460426.3463655}, timestamp = {Fri, 03 Sep 2021 13:17:02 +0200}, biburl = {https://dblp.org/rec/conf/mir/ZhengYKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16765, author = {Xiangyu Yue and Zangwei Zheng and Shanghang Zhang and Yang Gao and Trevor Darrell and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Prototypical Cross-domain Self-supervised Learning for Few-shot Unsupervised Domain Adaptation}, journal = {CoRR}, volume = {abs/2103.16765}, year = {2021}, url = {https://arxiv.org/abs/2103.16765}, eprinttype = {arXiv}, eprint = {2103.16765}, timestamp = {Thu, 08 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16765.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-05305, author = {Corvin Deboeser and Jordan Ivanchev and Thomas Braud and Alois C. Knoll and David Eckhoff and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Hierarchical State-Machine-Based Framework for Platoon Manoeuvre Descriptions}, journal = {CoRR}, volume = {abs/2104.05305}, year = {2021}, url = {https://arxiv.org/abs/2104.05305}, eprinttype = {arXiv}, eprint = {2104.05305}, timestamp = {Mon, 19 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-05305.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-02449, author = {Inigo Incer and Albert Benveniste and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Hypercontracts}, journal = {CoRR}, volume = {abs/2106.02449}, year = {2021}, url = {https://arxiv.org/abs/2106.02449}, eprinttype = {arXiv}, eprint = {2106.02449}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-02449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-01469, author = {Zangwei Zheng and Xiangyu Yue and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Scene-aware Learning Network for Radar Object Detection}, journal = {CoRR}, volume = {abs/2107.01469}, year = {2021}, url = {https://arxiv.org/abs/2107.01469}, eprinttype = {arXiv}, eprint = {2107.01469}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-01469.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-06486, author = {Hari Prasanna Das and Ryan Tran and Japjot Singh and Xiangyu Yue and Geoff Tison and Alberto L. Sangiovanni{-}Vincentelli and Costas J. Spanos}, title = {Conditional Synthetic Data Generation for Robust Machine Learning Applications with Limited Pandemic Data}, journal = {CoRR}, volume = {abs/2109.06486}, year = {2021}, url = {https://arxiv.org/abs/2109.06486}, eprinttype = {arXiv}, eprint = {2109.06486}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-06486.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-12391, author = {Xiangyu Yue and Zangwei Zheng and Colorado Reed and Hari Prasanna Das and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Multi-source Few-shot Domain Adaptation}, journal = {CoRR}, volume = {abs/2109.12391}, year = {2021}, url = {https://arxiv.org/abs/2109.12391}, eprinttype = {arXiv}, eprint = {2109.12391}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-12391.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-15292, author = {Matteo Guarrera and Baihong Jin and Tung{-}Wei Lin and Maria A. Zuluaga and Yuxin Chen and Alberto L. Sangiovanni{-}Vincentelli}, title = {Class-wise Thresholding for Detecting Out-of-Distribution Data}, journal = {CoRR}, volume = {abs/2110.15292}, year = {2021}, url = {https://arxiv.org/abs/2110.15292}, eprinttype = {arXiv}, eprint = {2110.15292}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-15292.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-00206, author = {Edward Kim and Jay Shenoy and Sebastian Junges and Daniel J. Fremont and Alberto L. Sangiovanni{-}Vincentelli and Sanjit Seshia}, title = {Querying Labelled Data with Scenario Programs for Sim-to-Real Validation}, journal = {CoRR}, volume = {abs/2112.00206}, year = {2021}, url = {https://arxiv.org/abs/2112.00206}, eprinttype = {arXiv}, eprint = {2112.00206}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-00206.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NuzzoBMKPS20, author = {Pierluigi Nuzzo and Nikunj Bajaj and Michael Masin and Dmitrii Kirov and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimized Selection of Reliable and Cost-Effective Safety-Critical System Architectures}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2109--2123}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2963255}, doi = {10.1109/TCAD.2019.2963255}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/NuzzoBMKPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/WeberJLSLSS20, author = {Matthew Weber and Baihong Jin and Gil Lederman and Yasser Shoukry and Edward A. Lee and Sanjit Seshia and Alberto L. Sangiovanni{-}Vincentelli}, title = {Gordian: Formal Reasoning-based Outlier Detection for Secure Localization}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {4}, number = {4}, pages = {43:1--43:27}, year = {2020}, url = {https://doi.org/10.1145/3386568}, doi = {10.1145/3386568}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcps/WeberJLSLSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/HoferSRS20, author = {Florian Hofer and Martin A. Sehr and Barbara Russo and Alberto L. Sangiovanni{-}Vincentelli}, title = {{ODRE} Workshop: Probabilistic Dynamic Hard Real-Time Scheduling in {HPC}}, booktitle = {23rd {IEEE} International Symposium on Real-Time Distributed Computing, {ISORC} 2020, Nashville, TN, USA, May 19-21, 2020}, pages = {207--212}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISORC49007.2020.00043}, doi = {10.1109/ISORC49007.2020.00043}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/HoferSRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/corr/abs-2009-10886, author = {{\'{I}}{\~{n}}igo X. {\'{I}}ncer Romeo and Leonardo Mangeruca and Tiziano Villa and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jean{-}Fran{\c{c}}ois Raskin and Davide Bresolin}, title = {The Quotient in Preorder Theories}, booktitle = {Proceedings 11th International Symposium on Games, Automata, Logics, and Formal Verification, GandALF 2020, Brussels, Belgium, September 21-22, 2020}, series = {{EPTCS}}, volume = {326}, pages = {216--233}, year = {2020}, url = {https://doi.org/10.4204/EPTCS.326.14}, doi = {10.4204/EPTCS.326.14}, timestamp = {Thu, 10 Dec 2020 15:19:59 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-10886.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01890, author = {Florian Hofer and Martin A. Sehr and Alberto L. Sangiovanni{-}Vincentelli and Barbara Russo}, title = {Industrial Control via Application Containers: Maintaining determinism in {IAAS}}, journal = {CoRR}, volume = {abs/2005.01890}, year = {2020}, url = {https://arxiv.org/abs/2005.01890}, eprinttype = {arXiv}, eprint = {2005.01890}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01890.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-03167, author = {Baihong Jin and Yingshui Tan and Yuxin Chen and Kameshwar Poolla and Alberto L. Sangiovanni{-}Vincentelli}, title = {Are Ensemble Classifiers Powerful Enough for the Detection and Diagnosis of Intermediate-Severity Faults?}, journal = {CoRR}, volume = {abs/2007.03167}, year = {2020}, url = {https://arxiv.org/abs/2007.03167}, eprinttype = {arXiv}, eprint = {2007.03167}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-03167.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-06063, author = {Yingshui Tan and Baihong Jin and Xiangyu Yue and Yuxin Chen and Alberto L. Sangiovanni{-}Vincentelli}, title = {Exploiting Uncertainties from Ensemble Learners to Improve Decision-Making in Healthcare {AI}}, journal = {CoRR}, volume = {abs/2007.06063}, year = {2020}, url = {https://arxiv.org/abs/2007.06063}, eprinttype = {arXiv}, eprint = {2007.06063}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-06063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-08710, author = {Baihong Jin and Yingshui Tan and Albert Liu and Xiangyu Yue and Yuxin Chen and Alberto L. Sangiovanni{-}Vincentelli}, title = {Using Ensemble Classifiers to Detect Incipient Anomalies}, journal = {CoRR}, volume = {abs/2008.08710}, year = {2020}, url = {https://arxiv.org/abs/2008.08710}, eprinttype = {arXiv}, eprint = {2008.08710}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-08710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-08713, author = {Yingshui Tan and Baihong Jin and Qiushi Cui and Xiangyu Yue and Alberto L. Sangiovanni{-}Vincentelli}, title = {Generalizing Fault Detection Against Domain Shifts Using Stratification-Aware Cross-Validation}, journal = {CoRR}, volume = {abs/2008.08713}, year = {2020}, url = {https://arxiv.org/abs/2008.08713}, eprinttype = {arXiv}, eprint = {2008.08713}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-08713.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-00155, author = {Sicheng Zhao and Xiangyu Yue and Shanghang Zhang and Bo Li and Han Zhao and Bichen Wu and Ravi Krishna and Joseph E. Gonzalez and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Kurt Keutzer}, title = {A Review of Single-Source Deep Unsupervised Visual Domain Adaptation}, journal = {CoRR}, volume = {abs/2009.00155}, year = {2020}, url = {https://arxiv.org/abs/2009.00155}, eprinttype = {arXiv}, eprint = {2009.00155}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-00155.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-06580, author = {Daniel J. Fremont and Edward Kim and Tommaso Dreossi and Shromona Ghosh and Xiangyu Yue and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Scenic: {A} Language for Scenario Specification and Data Generation}, journal = {CoRR}, volume = {abs/2010.06580}, year = {2020}, url = {https://arxiv.org/abs/2010.06580}, eprinttype = {arXiv}, eprint = {2010.06580}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-06580.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-12470, author = {Sicheng Zhao and Xuanbai Chen and Xiangyu Yue and Chuang Lin and Pengfei Xu and Ravi Krishna and Jufeng Yang and Guiguang Ding and Alberto L. Sangiovanni{-}Vincentelli and Kurt Keutzer}, title = {Emotional Semantics-Preserved and Feature-Aligned CycleGAN for Visual Emotion Adaptation}, journal = {CoRR}, volume = {abs/2011.12470}, year = {2020}, url = {https://arxiv.org/abs/2011.12470}, eprinttype = {arXiv}, eprint = {2011.12470}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-12470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-14551, author = {Jay Shenoy and Edward Kim and Xiangyu Yue and Taesung Park and Daniel J. Fremont and Alberto L. Sangiovanni{-}Vincentelli and Sanjit Seshia}, title = {A Customizable Dynamic Scenario Modeling and Data Generation Platform for Autonomous Driving}, journal = {CoRR}, volume = {abs/2011.14551}, year = {2020}, url = {https://arxiv.org/abs/2011.14551}, eprinttype = {arXiv}, eprint = {2011.14551}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-14551.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scp/IannopolloTS19, author = {Antonio Iannopollo and Stavros Tripakis and Alberto L. Sangiovanni{-}Vincentelli}, title = {Constrained synthesis from component libraries}, journal = {Sci. Comput. Program.}, volume = {171}, pages = {21--41}, year = {2019}, url = {https://doi.org/10.1016/j.scico.2018.10.003}, doi = {10.1016/J.SCICO.2018.10.003}, timestamp = {Wed, 13 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scp/IannopolloTS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/NuzzoLSXL19, author = {Pierluigi Nuzzo and Jiwei Li and Alberto L. Sangiovanni{-}Vincentelli and Yugeng Xi and Dewei Li}, title = {Stochastic Assume-Guarantee Contracts for Cyber-Physical System Design}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {1}, pages = {2:1--2:26}, year = {2019}, url = {https://doi.org/10.1145/3243216}, doi = {10.1145/3243216}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/NuzzoLSXL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/PasseroneRS19, author = {Roberto Passerone and {\'{I}}{\~{n}}igo {\'{I}}ncer Romeo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Coherent Extension, Composition, and Merging Operators in Contract Models for System Design}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5s}, pages = {86:1--86:23}, year = {2019}, url = {https://doi.org/10.1145/3358216}, doi = {10.1145/3358216}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/PasseroneRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LvHSLMC19, author = {Chen Lv and Xiaosong Hu and Alberto L. Sangiovanni{-}Vincentelli and Yutong Li and Clara Marina Martinez and Dongpu Cao}, title = {Driving-Style-Based Codesign Optimization of an Automated Electric Vehicle: {A} Cyber-Physical System Approach}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {4}, pages = {2965--2975}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2850031}, doi = {10.1109/TIE.2018.2850031}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LvHSLMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LiJXWSZ19, author = {Pan Li and Baihong Jin and Ruoxuan Xiong and Dai Wang and Alberto L. Sangiovanni{-}Vincentelli and Baosen Zhang}, title = {A tractable ellipsoidal approximation for voltage regulation problems}, booktitle = {2019 American Control Conference, {ACC} 2019, Philadelphia, PA, USA, July 10-12, 2019}, pages = {1301--1306}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ACC.2019.8814798}, doi = {10.23919/ACC.2019.8814798}, timestamp = {Sun, 08 Aug 2021 01:40:57 +0200}, biburl = {https://dblp.org/rec/conf/amcc/LiJXWSZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LinRISDAH19, author = {Richard Lin and Rohit Ramesh and Antonio Iannopollo and Alberto L. Sangiovanni{-}Vincentelli and Prabal Dutta and Elad Alon and Bj{\"{o}}rn Hartmann}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Beyond Schematic Capture: Meaningful Abstractions for Better Electronics Design Tools}, booktitle = {Proceedings of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, pages = {283}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290605.3300513}, doi = {10.1145/3290605.3300513}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LinRISDAH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/HoferSIUSR19, author = {Florian Hofer and Martin A. Sehr and Antonio Iannopollo and Ines Ugalde and Alberto L. Sangiovanni{-}Vincentelli and Barbara Russo}, title = {Industrial Control via Application Containers: Migrating from Bare-Metal to {IAAS}}, booktitle = {2019 {IEEE} International Conference on Cloud Computing Technology and Science (CloudCom), Sydney, Australia, December 11-13, 2019}, pages = {62--69}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CloudCom.2019.00021}, doi = {10.1109/CLOUDCOM.2019.00021}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cloudcom/HoferSIUSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cyphy/LohstrohRGDCLS19, author = {Marten Lohstroh and {\'{I}}{\~{n}}igo {\'{I}}ncer Romeo and Andr{\'{e}}s Goens and Patricia Derler and Jer{\'{o}}nimo Castrill{\'{o}}n and Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Roger D. Chamberlain and Martin Edin Grimheden and Walid Taha}, title = {Reactors: {A} Deterministic Model for Composable Reactive Systems}, booktitle = {Cyber Physical Systems. Model-Based Design - 9th International Workshop, CyPhy 2019, and 15th International Workshop, {WESE} 2019, New York City, NY, USA, October 17-18, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11971}, pages = {59--85}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-41131-2\_4}, doi = {10.1007/978-3-030-41131-2\_4}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cyphy/LohstrohRGDCLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/GhoshBSST19, author = {Shromona Ghosh and Somil Bansal and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Claire J. Tomlin}, editor = {Necmiye Ozay and Pavithra Prabhakar}, title = {A new simulation metric to determine safe environments and controllers for systems with unknown dynamics}, booktitle = {Proceedings of the 22nd {ACM} International Conference on Hybrid Systems: Computation and Control, {HSCC} 2019, Montreal, QC, Canada, April 16-18, 2019}, pages = {185--196}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3302504.3311795}, doi = {10.1145/3302504.3311795}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hybrid/GhoshBSST19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/YueZZSKG19, author = {Xiangyu Yue and Yang Zhang and Sicheng Zhao and Alberto L. Sangiovanni{-}Vincentelli and Kurt Keutzer and Boqing Gong}, title = {Domain Randomization and Pyramid Consistency: Simulation-to-Real Generalization Without Accessing Target Domain Data}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {2100--2110}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00219}, doi = {10.1109/ICCV.2019.00219}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/YueZZSKG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/TanJNCYTS19, author = {Yingshui Tan and Baihong Jin and Alexander J. Nettekoven and Yuxin Chen and Yisong Yue and Ufuk Topcu and Alberto L. Sangiovanni{-}Vincentelli}, editor = {M. Arif Wani and Taghi M. Khoshgoftaar and Dingding Wang and Huanjing Wang and Naeem Seliya}, title = {An Encoder-Decoder Based Approach for Anomaly Detection with Application in Additive Manufacturing}, booktitle = {18th {IEEE} International Conference On Machine Learning And Applications, {ICMLA} 2019, Boca Raton, FL, USA, December 16-19, 2019}, pages = {1008--1015}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLA.2019.00171}, doi = {10.1109/ICMLA.2019.00171}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmla/TanJNCYTS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphm/Jin0LPS19, author = {Baihong Jin and Yuxin Chen and Dan Li and Kameshwar Poolla and Alberto L. Sangiovanni{-}Vincentelli}, title = {A One-Class Support Vector Machine Calibration Method for Time Series Change Point Detection}, booktitle = {2019 {IEEE} International Conference on Prognostics and Health Management, {ICPHM} 2019, San Francisco, CA, USA, June 17-20, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICPHM.2019.8819385}, doi = {10.1109/ICPHM.2019.8819385}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icphm/Jin0LPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphm/JinLSNPS19, author = {Baihong Jin and Dan Li and Seshadhri Srinivasan and See{-}Kiong Ng and Kameshwar Poolla and Alberto L. Sangiovanni{-}Vincentelli}, title = {Detecting and Diagnosing Incipient Building Faults Using Uncertainty Information from Deep Neural Networks}, booktitle = {2019 {IEEE} International Conference on Prognostics and Health Management, {ICPHM} 2019, San Francisco, CA, USA, June 17-20, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICPHM.2019.8819438}, doi = {10.1109/ICPHM.2019.8819438}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icphm/JinLSNPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/Vincentelli19, author = {Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ismail Bustany and William Swartz}, title = {My 50-Year Journey from Punched Cards to Swarm Systems}, booktitle = {Proceedings of the 2019 International Symposium on Physical Design, {ISPD} 2019, San Francisco, CA, USA, April 14-17, 2019}, pages = {123--125}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299902.3311071}, doi = {10.1145/3299902.3311071}, timestamp = {Thu, 18 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/Vincentelli19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/CascanIESK19, author = {Edgar Tamayo Cascan and Jordan Ivanchev and David Eckhoff and Alberto L. Sangiovanni{-}Vincentelli and Alois C. Knoll}, title = {Multi-Objective Calibration of Microscopic Traffic Simulation for Highway Traffic Safety}, booktitle = {2019 {IEEE} Intelligent Transportation Systems Conference, {ITSC} 2019, Auckland, New Zealand, October 27-30, 2019}, pages = {4548--4555}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITSC.2019.8917044}, doi = {10.1109/ITSC.2019.8917044}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/CascanIESK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/Sangiovanni-Vincentelli19, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Comparing Innovation ecosystems}, booktitle = {{IEEE} 8th International Workshop on Advances in Sensors and Interfaces, {IWASI} 2019, Otranto, Italy, June 13-14, 2019}, pages = {7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IWASI.2019.8791384}, doi = {10.1109/IWASI.2019.8791384}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/Sangiovanni-Vincentelli19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/FremontDGYSS19, author = {Daniel J. Fremont and Tommaso Dreossi and Shromona Ghosh and Xiangyu Yue and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {Kathryn S. McKinley and Kathleen Fisher}, title = {Scenic: a language for scenario specification and scene generation}, booktitle = {Proceedings of the 40th {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {63--78}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3314221.3314633}, doi = {10.1145/3314221.3314633}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/FremontDGYSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cpsweek/2019destion, editor = {Alberto L. Sangiovanni{-}Vincentelli and Janos Sztipanovits and Qi Zhu}, title = {Proceedings of the Workshop on Design Automation for {CPS} and IoT, DESTION@CPSIoTWeek 2019, Montreal, QC, Canada, April 15, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://dl.acm.org/citation.cfm?id=3313151}, isbn = {978-1-4503-6699-1}, timestamp = {Fri, 05 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cpsweek/2019destion.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-06361, author = {Baihong Jin and Yuxin Chen and Dan Li and Kameshwar Poolla and Alberto L. Sangiovanni{-}Vincentelli}, title = {A One-Class Support Vector Machine Calibration Method for Time Series Change Point Detection}, journal = {CoRR}, volume = {abs/1902.06361}, year = {2019}, url = {http://arxiv.org/abs/1902.06361}, eprinttype = {arXiv}, eprint = {1902.06361}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-06361.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-06366, author = {Baihong Jin and Dan Li and Seshadhri Srinivasan and See{-}Kiong Ng and Kameshwar Poolla and Alberto L. Sangiovanni{-}Vincentelli}, title = {Detecting and Diagnosing Incipient Building Faults Using Uncertainty Information from Deep Neural Networks}, journal = {CoRR}, volume = {abs/1902.06366}, year = {2019}, url = {http://arxiv.org/abs/1902.06366}, eprinttype = {arXiv}, eprint = {1902.06366}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-06366.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-10320, author = {Shromona Ghosh and Somil Bansal and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Claire J. Tomlin}, title = {A New Simulation Metric to Determine Safe Environments and Controllers for Systems with Unknown Dynamics}, journal = {CoRR}, volume = {abs/1902.10320}, year = {2019}, url = {http://arxiv.org/abs/1902.10320}, eprinttype = {arXiv}, eprint = {1902.10320}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-10320.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-03763, author = {Pan Li and Baihong Jin and Ruoxuan Xiong and Dai Wang and Alberto L. Sangiovanni{-}Vincentelli and Baosen Zhang}, title = {A tractable ellipsoidal approximation for voltage regulation problems}, journal = {CoRR}, volume = {abs/1903.03763}, year = {2019}, url = {http://arxiv.org/abs/1903.03763}, eprinttype = {arXiv}, eprint = {1903.03763}, timestamp = {Sun, 06 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-03763.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-10033, author = {Tommaso Dreossi and Shromona Ghosh and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {A Formalization of Robustness for Deep Neural Networks}, journal = {CoRR}, volume = {abs/1903.10033}, year = {2019}, url = {http://arxiv.org/abs/1903.10033}, eprinttype = {arXiv}, eprint = {1903.10033}, timestamp = {Mon, 01 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-10033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-11778, author = {Baihong Jin and Yingshui Tan and Alexander J. Nettekoven and Yuxin Chen and Ufuk Topcu and Yisong Yue and Alberto L. Sangiovanni{-}Vincentelli}, title = {An Encoder-Decoder Based Approach for Anomaly Detection with Application in Additive Manufacturing}, journal = {CoRR}, volume = {abs/1907.11778}, year = {2019}, url = {http://arxiv.org/abs/1907.11778}, eprinttype = {arXiv}, eprint = {1907.11778}, timestamp = {Thu, 01 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-11778.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-04465, author = {Florian Hofer and Martin A. Sehr and Antonio Iannopollo and Ines Ugalde and Alberto L. Sangiovanni{-}Vincentelli and Barbara Russo}, title = {Industrial Control via Application Containers: Migrating from Bare-Metal to {IAAS}}, journal = {CoRR}, volume = {abs/1908.04465}, year = {2019}, url = {http://arxiv.org/abs/1908.04465}, eprinttype = {arXiv}, eprint = {1908.04465}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-04465.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-00889, author = {Xiangyu Yue and Yang Zhang and Sicheng Zhao and Alberto L. Sangiovanni{-}Vincentelli and Kurt Keutzer and Boqing Gong}, title = {Domain Randomization and Pyramid Consistency: Simulation-to-Real Generalization without Accessing Target Domain Data}, journal = {CoRR}, volume = {abs/1909.00889}, year = {2019}, url = {http://arxiv.org/abs/1909.00889}, eprinttype = {arXiv}, eprint = {1909.00889}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-00889.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-04202, author = {Baihong Jin and Yingshui Tan and Yuxin Chen and Alberto L. Sangiovanni{-}Vincentelli}, title = {Augmenting Monte Carlo Dropout Classification Models with Unsupervised Learning Tasks for Detecting and Diagnosing Out-of-Distribution Faults}, journal = {CoRR}, volume = {abs/1909.04202}, year = {2019}, url = {http://arxiv.org/abs/1909.04202}, eprinttype = {arXiv}, eprint = {1909.04202}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-04202.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-02531, author = {Florian Hofer and Martin A. Sehr and Alberto L. Sangiovanni{-}Vincentelli and Barbara Russo}, title = {Probabilistic Dynamic Hard Real-Time Scheduling in {HPC}}, journal = {CoRR}, volume = {abs/1912.02531}, year = {2019}, url = {http://arxiv.org/abs/1912.02531}, eprinttype = {arXiv}, eprint = {1912.02531}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-02531.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fteda/BenvenisteCNPRR18, author = {Albert Benveniste and Beno{\^{\i}}t Caillaud and Dejan Nickovic and Roberto Passerone and Jean{-}Baptiste Raclet and Philipp Reinkemeier and Alberto L. Sangiovanni{-}Vincentelli and Werner Damm and Thomas A. Henzinger and Kim G. Larsen}, title = {Contracts for System Design}, journal = {Found. Trends Electron. Des. Autom.}, volume = {12}, number = {2-3}, pages = {124--400}, year = {2018}, url = {https://doi.org/10.1561/1000000053}, doi = {10.1561/1000000053}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fteda/BenvenisteCNPRR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ZhuSHL18, author = {Qi Zhu and Alberto L. Sangiovanni{-}Vincentelli and Shiyan Hu and Xin Li}, title = {Design Automation for Cyber-Physical Systems [Scanning the Issue]}, journal = {Proc. {IEEE}}, volume = {106}, number = {9}, pages = {1479--1483}, year = {2018}, url = {https://doi.org/10.1109/JPROC.2018.2865229}, doi = {10.1109/JPROC.2018.2865229}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ZhuSHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ZhuS18, author = {Qi Zhu and Alberto L. Sangiovanni{-}Vincentelli}, title = {Codesign Methodologies and Tools for Cyber-Physical Systems}, journal = {Proc. {IEEE}}, volume = {106}, number = {9}, pages = {1484--1500}, year = {2018}, url = {https://doi.org/10.1109/JPROC.2018.2864271}, doi = {10.1109/JPROC.2018.2864271}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ZhuS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ShoukryNSSPT18, author = {Yasser Shoukry and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and George J. Pappas and Paulo Tabuada}, title = {{SMC:} Satisfiability Modulo Convex Programming}, journal = {Proc. {IEEE}}, volume = {106}, number = {9}, pages = {1655--1679}, year = {2018}, url = {https://doi.org/10.1109/JPROC.2018.2849003}, doi = {10.1109/JPROC.2018.2849003}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ShoukryNSSPT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/JiaJJZKZKLGANSS18, author = {Ruoxi Jia and Baihong Jin and Ming Jin and Yuxun Zhou and Ioannis C. Konstantakopoulos and Han Zou and Joyce Kim and Dan Li and Weixi Gu and Reza Arghandeh and Pierluigi Nuzzo and Stefano Schiavon and Alberto L. Sangiovanni{-}Vincentelli and Costas J. Spanos}, title = {Design Automation for Smart Building Systems}, journal = {Proc. {IEEE}}, volume = {106}, number = {9}, pages = {1680--1699}, year = {2018}, url = {https://doi.org/10.1109/JPROC.2018.2856932}, doi = {10.1109/JPROC.2018.2856932}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/JiaJJZKZKLGANSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sosym/NatalePCSS18, author = {Marco Di Natale and David Perillo and Francesco Chirico and Andrea Sindico and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Model-based approach for the synthesis of software to firmware adapters for use with automatically generated components}, journal = {Softw. Syst. Model.}, volume = {17}, number = {1}, pages = {11--33}, year = {2018}, url = {https://doi.org/10.1007/s10270-016-0534-0}, doi = {10.1007/S10270-016-0534-0}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sosym/NatalePCSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/ShoukryCWNSSHT18, author = {Yasser Shoukry and Michelle Chong and Masashi Wakaiki and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Jo{\~{a}}o Pedro Hespanha and Paulo Tabuada}, title = {SMT-Based Observer Design for Cyber-Physical Systems under Sensor Attacks}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {2}, number = {1}, pages = {5:1--5:27}, year = {2018}, url = {https://doi.org/10.1145/3078621}, doi = {10.1145/3078621}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcps/ShoukryCWNSSHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/VenutoAMSRSS18, author = {Daniela De Venuto and Valerio F. Annese and Giovanni Mezzina and Floriano Scioscia and Michele Ruta and Eugenio Di Sciascio and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Mobile Health System for Neurocognitive Impairment Evaluation Based on {P300} Detection}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {2}, number = {4}, pages = {31:1--31:21}, year = {2018}, url = {https://doi.org/10.1145/3140236}, doi = {10.1145/3140236}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcps/VenutoAMSRSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adhs/Vazquez-Chanlatte18, author = {Marcell Vazquez{-}Chanlatte and Shromona Ghosh and Vasumathi Raman and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {Alessandro Abate and Antoine Girard and Maurice Heemels}, title = {Generating Dominant Strategies for Continuous Two-Player Zero-Sum Games}, booktitle = {6th {IFAC} Conference on Analysis and Design of Hybrid Systems, {ADHS} 2018, Oxford, UK, July 11-13, 2018}, series = {IFAC-PapersOnLine}, volume = {51}, number = {16}, pages = {7--12}, publisher = {Elsevier}, year = {2018}, url = {https://doi.org/10.1016/j.ifacol.2018.08.002}, doi = {10.1016/J.IFACOL.2018.08.002}, timestamp = {Mon, 08 Oct 2018 13:49:16 +0200}, biburl = {https://dblp.org/rec/conf/adhs/Vazquez-Chanlatte18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/NuzzoS18, author = {Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Marten Lohstroh and Patricia Derler and Marjan Sirjani}, title = {Hierarchical System Design with Vertical Contracts}, booktitle = {Principles of Modeling - Essays Dedicated to Edward A. Lee on the Occasion of His 60th Birthday}, series = {Lecture Notes in Computer Science}, volume = {10760}, pages = {360--382}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-95246-8\_22}, doi = {10.1007/978-3-319-95246-8\_22}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/birthday/NuzzoS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KirovNPS18, author = {Dmitrii Kirov and Pierluigi Nuzzo and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimized selection of wireless network topologies and components via efficient pruning of feasible paths}, booktitle = {Proceedings of the 55th Annual Design Automation Conference, {DAC} 2018, San Francisco, CA, USA, June 24-29, 2018}, pages = {179:1--179:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195970.3196086}, doi = {10.1145/3195970.3196086}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KirovNPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NuzzoLFS18, author = {Pierluigi Nuzzo and Michele Lora and Yishai A. Feldman and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{CHASE:} Contract-based requirement engineering for cyber-physical system design}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {839--844}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342122}, doi = {10.23919/DATE.2018.8342122}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NuzzoLFS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IannopolloTS18, author = {Antonio Iannopollo and Stavros Tripakis and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Specification decomposition for synthesis from libraries of {LTL} Assume/Guarantee contracts}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1574--1579}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342266}, doi = {10.23919/DATE.2018.8342266}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IannopolloTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/DreossiGYKSS18, author = {Tommaso Dreossi and Shromona Ghosh and Xiangyu Yue and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {J{\'{e}}r{\^{o}}me Lang}, title = {Counterexample-Guided Data Augmentation}, booktitle = {Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, {IJCAI} 2018, July 13-19, 2018, Stockholm, Sweden}, pages = {2071--2078}, publisher = {ijcai.org}, year = {2018}, url = {https://doi.org/10.24963/ijcai.2018/286}, doi = {10.24963/IJCAI.2018/286}, timestamp = {Tue, 20 Aug 2019 16:19:08 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/DreossiGYKSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/RomeoSLK18, author = {{\'{I}}{\~{n}}igo {\'{I}}ncer Romeo and Alberto L. Sangiovanni{-}Vincentelli and Chung{-}Wei Lin and Eunsuk Kang}, title = {Quotient for Assume-Guarantee Contracts}, booktitle = {16th {ACM/IEEE} International Conference on Formal Methods and Models for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18, 2018}, pages = {67--77}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/MEMCOD.2018.8556872}, doi = {10.1109/MEMCOD.2018.8556872}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/RomeoSLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mir/YueWSKS18, author = {Xiangyu Yue and Bichen Wu and Sanjit A. Seshia and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Kiyoharu Aizawa and Michael S. Lew and Shin'ichi Satoh}, title = {A LiDAR Point Cloud Generator: from a Virtual World to Autonomous Driving}, booktitle = {Proceedings of the 2018 {ACM} on International Conference on Multimedia Retrieval, {ICMR} 2018, Yokohama, Japan, June 11-14, 2018}, pages = {458--464}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3206025.3206080}, doi = {10.1145/3206025.3206080}, timestamp = {Thu, 29 Jul 2021 12:11:15 +0200}, biburl = {https://dblp.org/rec/conf/mir/YueWSKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rv/Vazquez-Chanlatte18, author = {Marcell Vazquez{-}Chanlatte and Shromona Ghosh and Jyotirmoy V. Deshmukh and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {Christian Colombo and Martin Leucker}, title = {Time-Series Learning Using Monotonic Logical Properties}, booktitle = {Runtime Verification - 18th International Conference, {RV} 2018, Limassol, Cyprus, November 10-13, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11237}, pages = {389--405}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03769-7\_22}, doi = {10.1007/978-3-030-03769-7\_22}, timestamp = {Sat, 12 Jun 2021 11:01:04 +0200}, biburl = {https://dblp.org/rec/conf/rv/Vazquez-Chanlatte18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-04929, author = {Somil Bansal and Shromona Ghosh and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Claire J. Tomlin}, title = {Context-Specific Validation of Data-Driven Models}, journal = {CoRR}, volume = {abs/1802.04929}, year = {2018}, url = {http://arxiv.org/abs/1802.04929}, eprinttype = {arXiv}, eprint = {1802.04929}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-04929.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-08924, author = {Marcell Vazquez{-}Chanlatte and Shromona Ghosh and Jyotirmoy V. Deshmukh and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Time Series Learning using Monotonic Logical Properties}, journal = {CoRR}, volume = {abs/1802.08924}, year = {2018}, url = {http://arxiv.org/abs/1802.08924}, eprinttype = {arXiv}, eprint = {1802.08924}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-08924.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-00103, author = {Xiangyu Yue and Bichen Wu and Sanjit A. Seshia and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {A LiDAR Point Cloud Generator: from a Virtual World to Autonomous Driving}, journal = {CoRR}, volume = {abs/1804.00103}, year = {2018}, url = {http://arxiv.org/abs/1804.00103}, eprinttype = {arXiv}, eprint = {1804.00103}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-00103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-06962, author = {Tommaso Dreossi and Shromona Ghosh and Xiangyu Yue and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Counterexample-Guided Data Augmentation}, journal = {CoRR}, volume = {abs/1805.06962}, year = {2018}, url = {http://arxiv.org/abs/1805.06962}, eprinttype = {arXiv}, eprint = {1805.06962}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-06962.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-09310, author = {Daniel J. Fremont and Xiangyu Yue and Tommaso Dreossi and Shromona Ghosh and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Scenic: Language-Based Scene Generation}, journal = {CoRR}, volume = {abs/1809.09310}, year = {2018}, url = {http://arxiv.org/abs/1809.09310}, eprinttype = {arXiv}, eprint = {1809.09310}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-09310.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-03923, author = {{\'{I}}{\~{n}}igo {\'{I}}ncer Romeo and Marten Lohstroh and Antonio Iannopollo and Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Metric for Linear Temporal Logic}, journal = {CoRR}, volume = {abs/1812.03923}, year = {2018}, url = {http://arxiv.org/abs/1812.03923}, eprinttype = {arXiv}, eprint = {1812.03923}, timestamp = {Tue, 01 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-03923.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/se/PintoS17, author = {Alessandro Pinto and Alberto L. Sangiovanni{-}Vincentelli}, title = {{CSL4P:} {A} Contract Specification Language for Platforms}, journal = {Syst. Eng.}, volume = {20}, number = {3}, pages = {220--234}, year = {2017}, url = {https://doi.org/10.1002/sys.21386}, doi = {10.1002/SYS.21386}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/se/PintoS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ShoukryNPSST17, author = {Yasser Shoukry and Pierluigi Nuzzo and Alberto Puggelli and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Paulo Tabuada}, title = {Secure State Estimation for Cyber-Physical Systems Under Sensor Attacks: {A} Satisfiability Modulo Theory Approach}, journal = {{IEEE} Trans. Autom. Control.}, volume = {62}, number = {10}, pages = {4917--4932}, year = {2017}, url = {https://doi.org/10.1109/TAC.2017.2676679}, doi = {10.1109/TAC.2017.2676679}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ShoukryNPSST17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/AliotoSS17, author = {Massimo Alioto and Edgar S{\'{a}}nchez{-}Sinencio and Alberto L. Sangiovanni{-}Vincentelli}, title = {Guest Editorial Special Issue on Circuits and Systems for the Internet of Things - From Sensing to Sensemaking}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {9}, pages = {2221--2225}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2730678}, doi = {10.1109/TCSI.2017.2730678}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/AliotoSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vtm/ErgenS17, author = {Sinem Coleri Ergen and Alberto L. Sangiovanni{-}Vincentelli}, title = {Intravehicular Energy-Harvesting Wireless Networks: Reducing Costs and Emissions}, journal = {{IEEE} Veh. Technol. Mag.}, volume = {12}, number = {4}, pages = {77--85}, year = {2017}, url = {https://doi.org/10.1109/MVT.2017.2736858}, doi = {10.1109/MVT.2017.2736858}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vtm/ErgenS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/JinMNS17, author = {Baihong Jin and Mehdi Maasoumy and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Online computation of polytopic flexibility models for demand shifting applications}, booktitle = {13th {IEEE} Conference on Automation Science and Engineering, {CASE} 2017, Xi'an, China, August 20-23, 2017}, pages = {900--905}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/COASE.2017.8256217}, doi = {10.1109/COASE.2017.8256217}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/JinMNS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ShoukryNBSSSPT17, author = {Yasser Shoukry and Pierluigi Nuzzo and Ayca Balkan and Indranil Saha and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and George J. Pappas and Paulo Tabuada}, title = {Linear temporal logic motion planning for teams of underactuated robots using satisfiability modulo convex programming}, booktitle = {56th {IEEE} Annual Conference on Decision and Control, {CDC} 2017, Melbourne, Australia, December 12-15, 2017}, pages = {1132--1137}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CDC.2017.8263808}, doi = {10.1109/CDC.2017.8263808}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/ShoukryNBSSSPT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MoinNSR17, author = {Ali Moin and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, title = {Optimized Design of a Human Intranet Network}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {30:1--30:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062296}, doi = {10.1145/3061639.3062296}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MoinNSR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KirovNPS17, author = {Dmitrii Kirov and Pierluigi Nuzzo and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, title = {ArchEx: An Extensible Framework for the Exploration of Cyber-Physical System Architectures}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {31:1--31:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062204}, doi = {10.1145/3061639.3062204}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KirovNPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/ShoukryNSSPT17, author = {Yasser Shoukry and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and George J. Pappas and Paulo Tabuada}, editor = {Goran Frehse and Sayan Mitra}, title = {{SMC:} Satisfiability Modulo Convex Optimization}, booktitle = {Proceedings of the 20th International Conference on Hybrid Systems: Computation and Control, {HSCC} 2017, Pittsburgh, PA, USA, April 18-20, 2017}, pages = {19--28}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3049797.3049819}, doi = {10.1145/3049797.3049819}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/ShoukryNSSPT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/Sangiovanni-Vincentelli17, author = {Alberto L. Sangiovanni{-}Vincentelli and Benedetto Vigna}, title = {Autonomous vehicles: {A} playground for sensors}, booktitle = {7th {IEEE} International Workshop on Advances in Sensors and Interfaces, {IWASI} 2017, Vieste, Italy, June 15-16, 2017}, pages = {2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IWASI.2017.7974198}, doi = {10.1109/IWASI.2017.7974198}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/Sangiovanni-Vincentelli17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/LiNSXL17, author = {Jiwei Li and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Yugeng Xi and Dewei Li}, editor = {Jean{-}Pierre Talpin and Patricia Derler and Klaus Schneider}, title = {Stochastic contracts for cyber-physical system design under probabilistic requirements}, booktitle = {Proceedings of the 15th {ACM-IEEE} International Conference on Formal Methods and Models for System Design, {MEMOCODE} 2017, Vienna, Austria, September 29 - October 02, 2017}, pages = {5--14}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3127041.3127045}, doi = {10.1145/3127041.3127045}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/LiNSXL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scf/RameshLISHD17, author = {Rohit Ramesh and Richard Lin and Antonio Iannopollo and Alberto L. Sangiovanni{-}Vincentelli and Bj{\"{o}}rn Hartmann and Prabal Dutta}, editor = {Stefanie Mueller and Stelian Coros and Nicholas Fang}, title = {Turning \emph{coders} into \emph{makers}: the promise of embedded design generation}, booktitle = {Proceedings of the 1st Annual {ACM} Symposium on Computational Fabrication, {SCF} 2017, Cambridge, MA, USA, June 12-13, 2017}, pages = {4:1--4:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3083157.3083159}, doi = {10.1145/3083157.3083159}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scf/RameshLISHD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/RamanDMMSS17, author = {Vasumathi Raman and Alexandre Donz{\'{e}} and Mehdi Maasoumy and Richard M. Murray and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Model Predictive Control for Signal Temporal Logic Specification}, journal = {CoRR}, volume = {abs/1703.09563}, year = {2017}, url = {http://arxiv.org/abs/1703.09563}, eprinttype = {arXiv}, eprint = {1703.09563}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/RamanDMMSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiNSXL17, author = {Jiwei Li and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Yugeng Xi and Dewei Li}, title = {Stochastic Assume-Guarantee Contracts for Cyber-Physical System Design Under Probabilistic Requirements}, journal = {CoRR}, volume = {abs/1705.09316}, year = {2017}, url = {http://arxiv.org/abs/1705.09316}, eprinttype = {arXiv}, eprint = {1705.09316}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/LiNSXL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Vazquez-Chanlatte17, author = {Marcell Vazquez{-}Chanlatte and Shromona Ghosh and Vasumathi Raman and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Tunable Reactive Synthesis for Lipschitz-Bounded Systems with Temporal Logic Specifications}, journal = {CoRR}, volume = {abs/1707.03529}, year = {2017}, url = {http://arxiv.org/abs/1707.03529}, eprinttype = {arXiv}, eprint = {1707.03529}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Vazquez-Chanlatte17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-03309, author = {Tommaso Dreossi and Shromona Ghosh and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Systematic Testing of Convolutional Neural Networks for Autonomous Driving}, journal = {CoRR}, volume = {abs/1708.03309}, year = {2017}, url = {http://arxiv.org/abs/1708.03309}, eprinttype = {arXiv}, eprint = {1708.03309}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-03309.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/VenutoARSS16, author = {Daniela De Venuto and Valerio F. Annese and Michele Ruta and Eugenio Di Sciascio and Alberto L. Sangiovanni{-}Vincentelli}, title = {Designing a Cyber-Physical System for Fall Prevention by Cortico-Muscular Coupling Detection}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {66--76}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2480707}, doi = {10.1109/MDAT.2015.2480707}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/VenutoARSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fteda/MaasoumyS16, author = {Mehdi Maasoumy and Alberto L. Sangiovanni{-}Vincentelli}, title = {Smart Connected Buildings Design Automation: Foundations and Trends}, journal = {Found. Trends Electron. Des. Autom.}, volume = {10}, number = {1-2}, pages = {1--143}, year = {2016}, url = {https://doi.org/10.1561/1000000043}, doi = {10.1561/1000000043}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fteda/MaasoumyS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ShoukryNSSSPT16, author = {Yasser Shoukry and Pierluigi Nuzzo and Indranil Saha and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and George J. Pappas and Paulo Tabuada}, title = {Scalable lazy SMT-based motion planning}, booktitle = {55th {IEEE} Conference on Decision and Control, {CDC} 2016, Las Vegas, NV, USA, December 12-14, 2016}, pages = {6683--6688}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CDC.2016.7799298}, doi = {10.1109/CDC.2016.7799298}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/ShoukryNSSSPT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/facs2/IannopolloTS16, author = {Antonio Iannopollo and Stavros Tripakis and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Olga Kouchnarenko and Ramtin Khosravi}, title = {Constrained Synthesis from Component Libraries}, booktitle = {Formal Aspects of Component Software - 13th International Conference, {FACS} 2016, Besan{\c{c}}on, France, October 19-21, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10231}, pages = {92--110}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-57666-4\_7}, doi = {10.1007/978-3-319-57666-4\_7}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/facs2/IannopolloTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/GhoshSNRDSSS16, author = {Shromona Ghosh and Dorsa Sadigh and Pierluigi Nuzzo and Vasumathi Raman and Alexandre Donz{\'{e}} and Alberto L. Sangiovanni{-}Vincentelli and S. Shankar Sastry and Sanjit A. Seshia}, editor = {Alessandro Abate and Georgios Fainekos}, title = {Diagnosis and Repair for Synthesis from Signal Temporal Logic Specifications}, booktitle = {Proceedings of the 19th International Conference on Hybrid Systems: Computation and Control, {HSCC} 2016, Vienna, Austria, April 12-14, 2016}, pages = {31--40}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2883817.2883847}, doi = {10.1145/2883817.2883847}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/GhoshSNRDSSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccps/ShoukryCWNSSHT16, author = {Yasser Shoukry and Michelle Chong and Masashi Wakaiki and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Jo{\~{a}}o Pedro Hespanha and Paulo Tabuada}, title = {SMT-Based Observer Design for Cyber-Physical Systems under Sensor Attacks}, booktitle = {7th {ACM/IEEE} International Conference on Cyber-Physical Systems, {ICCPS} 2016, Vienna, Austria, April 11-14, 2016}, pages = {29:1--29:10}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCPS.2016.7479119}, doi = {10.1109/ICCPS.2016.7479119}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccps/ShoukryCWNSSHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VenutoAS16, author = {Daniela De Venuto and Valerio F. Annese and Alberto L. Sangiovanni{-}Vincentelli}, title = {The ultimate IoT application: {A} cyber-physical system for ambient assisted living}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {2042--2045}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7538979}, doi = {10.1109/ISCAS.2016.7538979}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VenutoAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GhoshSNRDSSS16, author = {Shromona Ghosh and Dorsa Sadigh and Pierluigi Nuzzo and Vasumathi Raman and Alexandre Donz{\'{e}} and Alberto L. Sangiovanni{-}Vincentelli and S. Shankar Sastry and Sanjit A. Seshia}, title = {Diagnosis and Repair for Synthesis from Signal Temporal Logic Specifications}, journal = {CoRR}, volume = {abs/1602.01883}, year = {2016}, url = {http://arxiv.org/abs/1602.01883}, eprinttype = {arXiv}, eprint = {1602.01883}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/GhoshSNRDSSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/LinZS15, author = {Chung{-}Wei Lin and Qi Zhu and Alberto L. Sangiovanni{-}Vincentelli}, title = {Security-Aware Modeling and Efficient Mapping for CAN-Based Real-Time Distributed Automotive Systems}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {7}, number = {1}, pages = {11--14}, year = {2015}, url = {https://doi.org/10.1109/LES.2014.2354011}, doi = {10.1109/LES.2014.2354011}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/LinZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/BraytonCSV15, author = {Robert K. Brayton and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli and Tiziano Villa}, title = {Design Automation of Electronic Systems: Past Accomplishments and Challenges Ahead [Scanning the Issue]}, journal = {Proc. {IEEE}}, volume = {103}, number = {11}, pages = {1952--1957}, year = {2015}, url = {https://doi.org/10.1109/JPROC.2015.2487798}, doi = {10.1109/JPROC.2015.2487798}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/BraytonCSV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/NuzzoSBGV15, author = {Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Davide Bresolin and Luca Geretti and Tiziano Villa}, title = {A Platform-Based Design Methodology With Contracts and Related Tools for the Design of Cyber-Physical Systems}, journal = {Proc. {IEEE}}, volume = {103}, number = {11}, pages = {2104--2132}, year = {2015}, url = {https://doi.org/10.1109/JPROC.2015.2453253}, doi = {10.1109/JPROC.2015.2453253}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/NuzzoSBGV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/PuggelliMLS15, author = {Alberto Puggelli and Mohammad Mostafizur Rahman Mozumdar and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Routing-Aware Design of Indoor Wireless Sensor Networks Using an Interactive Tool}, journal = {{IEEE} Syst. J.}, volume = {9}, number = {3}, pages = {714--727}, year = {2015}, url = {https://doi.org/10.1109/JSYST.2013.2287460}, doi = {10.1109/JSYST.2013.2287460}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/PuggelliMLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LinRGDS15, author = {Chung{-}Wei Lin and Lei Rao and Paolo Giusto and Joseph D'Ambrosio and Alberto L. Sangiovanni{-}Vincentelli}, title = {Efficient Wire Routing and Wire Sizing for Weight Minimization of Automotive Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {11}, pages = {1730--1741}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2448680}, doi = {10.1109/TCAD.2015.2448680}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LinRGDS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/LinZZS15, author = {Chung{-}Wei Lin and Bowen Zheng and Qi Zhu and Alberto L. Sangiovanni{-}Vincentelli}, title = {Security-Aware Design Methodology and Optimization for Automotive Systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {21}, number = {1}, pages = {18:1--18:26}, year = {2015}, url = {https://doi.org/10.1145/2803174}, doi = {10.1145/2803174}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/LinZZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ShahsavariMSH15, author = {Behrooz Shahsavari and Mehdi Maasoumy and Alberto L. Sangiovanni{-}Vincentelli and Roberto Horowitz}, title = {Stochastic model predictive control design for load management system of aircraft electrical power distribution}, booktitle = {American Control Conference, {ACC} 2015, Chicago, IL, USA, July 1-3, 2015}, pages = {3649--3655}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ACC.2015.7171897}, doi = {10.1109/ACC.2015.7171897}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/ShahsavariMSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ShoukryPNSST15, author = {Yasser Shoukry and Alberto Puggelli and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Paulo Tabuada}, title = {Sound and complete state estimation for linear dynamical systems under sensor attacks using Satisfiability Modulo Theory solving}, booktitle = {American Control Conference, {ACC} 2015, Chicago, IL, USA, July 1-3, 2015}, pages = {3818--3823}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ACC.2015.7171925}, doi = {10.1109/ACC.2015.7171925}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/ShoukryPNSST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ShoukryNBSST15, author = {Yasser Shoukry and Pierluigi Nuzzo and Nicola Bezzo and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Paulo Tabuada}, title = {Secure state reconstruction in differentially flat systems under sensor attacks using satisfiability modulo theory solving}, booktitle = {54th {IEEE} Conference on Decision and Control, {CDC} 2015, Osaka, Japan, December 15-18, 2015}, pages = {3804--3809}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CDC.2015.7402810}, doi = {10.1109/CDC.2015.7402810}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/ShoukryNBSST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsweek/DammS15, author = {Werner Damm and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alberto L. Sangiovanni{-}Vincentelli}, title = {A conceptual model of system of systems}, booktitle = {Proceedings of the Second International Workshop on the Swarm at the Edge of the Cloud, SWEC@CPSWeek 2015, Seattle, Washington, USA, April 13-16, 2015}, pages = {19--27}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2756755.2756759}, doi = {10.1145/2756755.2756759}, timestamp = {Tue, 06 Nov 2018 16:59:05 +0100}, biburl = {https://dblp.org/rec/conf/cpsweek/DammS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BajajNMS15, author = {Nikunj Bajaj and Pierluigi Nuzzo and Michael Masin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Wolfgang Nebel and David Atienza}, title = {Optimized selection of reliable and cost-effective cyber-physical system architectures}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {561--566}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755880}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BajajNMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esweek/TorngrenBMPSS15, author = {Martin T{\"{o}}rngren and Saddek Bensalem and John A. McDermid and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli and Bernhard Sch{\"{a}}tz}, editor = {Martin T{\"{o}}rngren and Martin Edin Grimheden}, title = {Education and training challenges in the era of Cyber-Physical Systems: beyond traditional engineering}, booktitle = {Proceedings of the Workshop on Embedded and Cyber-Physical Systems Education, {WESE} 2015, Amsterdam, The Netherlands, October 4-9, 2015}, pages = {8:1--8:5}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2832920.2832928}, doi = {10.1145/2832920.2832928}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esweek/TorngrenBMPSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FinnNS15, author = {John B. Finn and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Diana Marculescu and Frank Liu}, title = {A Mixed Discrete-Continuous Optimization Scheme for Cyber-Physical System Architecture Exploration}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {216--223}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372573}, doi = {10.1109/ICCAD.2015.7372573}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/FinnNS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MaasoumyS15, author = {Mehdi Maasoumy and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Diana Marculescu and Frank Liu}, title = {Buildings to Grid Integration: {A} Dynamic Contract Approach}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {473--478}, publisher = {{IEEE}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2840885}, timestamp = {Sun, 01 Nov 2015 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MaasoumyS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Sangiovanni-Vincentelli15, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Let's get physical: Adding physical dimensions to cyber systems}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273478}, doi = {10.1109/ISLPED.2015.7273478}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/Sangiovanni-Vincentelli15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/YangTS15, author = {Yang Yang and Stavros Tripakis and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Dimitrios Soudris and Luigi Carro}, title = {Efficient distribution of Triggered Synchronous Block Diagrams on asynchronous platforms}, booktitle = {2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2015, Samos, Greece, July 19-23, 2015}, pages = {113--122}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SAMOS.2015.7363666}, doi = {10.1109/SAMOS.2015.7363666}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/YangTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/JinNMZS15, author = {Baihong Jin and Pierluigi Nuzzo and Mehdi Maasoumy and Yuxun Zhou and Alberto L. Sangiovanni{-}Vincentelli}, editor = {David E. Culler and Yuvraj Agarwal and Rahul Mangharam}, title = {A Contract-based Framework for Integrated Demand Response Management in Smart Grids}, booktitle = {Proceedings of the 2nd {ACM} International Conference on Embedded Systems for Energy-Efficient Built Environments, BuildSys 2015, Seoul, South Korea, November 4-5, 2015}, pages = {167--176}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2821650.2821658}, doi = {10.1145/2821650.2821658}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/JinNMZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cpsweek/2015swec, editor = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Proceedings of the Second International Workshop on the Swarm at the Edge of the Cloud, SWEC@CPSWeek 2015, Seattle, Washington, USA, April 13-16, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2756755}, doi = {10.1145/2756755}, isbn = {978-1-4503-3595-9}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cpsweek/2015swec.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ShoukryNBSST15, author = {Yasser Shoukry and Pierluigi Nuzzo and Nicola Bezzo and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Paulo Tabuada}, title = {A Satisfiability Modulo Theory Approach to Secure State Reconstruction in Differentially Flat Systems Under Sensor Attacks}, journal = {CoRR}, volume = {abs/1509.03262}, year = {2015}, url = {http://arxiv.org/abs/1509.03262}, eprinttype = {arXiv}, eprint = {1509.03262}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ShoukryNBSST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NuzzoXOFSMDS14, author = {Pierluigi Nuzzo and Huan Xu and Necmiye Ozay and John B. Finn and Alberto L. Sangiovanni{-}Vincentelli and Richard M. Murray and Alexandre Donz{\'{e}} and Sanjit A. Seshia}, title = {A Contract-Based Methodology for Aircraft Electric Power System Design}, journal = {{IEEE} Access}, volume = {2}, pages = {1--25}, year = {2014}, url = {https://doi.org/10.1109/ACCESS.2013.2295764}, doi = {10.1109/ACCESS.2013.2295764}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NuzzoXOFSMDS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/WangAAS14, author = {Guoqiang Wang and Randy Allen and Hugo A. Andrade and Alberto L. Sangiovanni{-}Vincentelli}, title = {Communication storage optimization for static dataflow with access patterns under periodic scheduling and throughput constraint}, journal = {Comput. Electr. Eng.}, volume = {40}, number = {6}, pages = {1858--1873}, year = {2014}, url = {https://doi.org/10.1016/j.compeleceng.2014.05.002}, doi = {10.1016/J.COMPELECENG.2014.05.002}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/WangAAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-VincentelliS14, author = {Alberto L. Sangiovanni{-}Vincentelli and Donatella Sciuto}, title = {Looking into the Crystal Ball: From Transistors to the Smart Earth}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {47--55}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2316209}, doi = {10.1109/MDAT.2014.2316209}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-VincentelliS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14, author = {Edward A. Lee and Bj{\"{o}}rn Hartmann and John Kubiatowicz and Tajana Simunic Rosing and John Wawrzynek and David Wessel and Jan M. Rabaey and Kris Pister and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and David T. Blaauw and Prabal Dutta and Kevin Fu and Carlos Guestrin and Ben Taskar and Roozbeh Jafari and Douglas L. Jones and Vijay Kumar and Rahul Mangharam and George J. Pappas and Richard M. Murray and Anthony Rowe}, title = {The Swarm at the Edge of the Cloud}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {8--20}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2314600}, doi = {10.1109/MDAT.2014.2314600}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/NataleZST14, author = {Marco Di Natale and Qi Zhu and Alberto L. Sangiovanni{-}Vincentelli and Stavros Tripakis}, title = {Optimized implementation of synchronous models on industrial {LTTA} systems}, journal = {J. Syst. Archit.}, volume = {60}, number = {4}, pages = {315--328}, year = {2014}, url = {https://doi.org/10.1016/j.sysarc.2014.01.003}, doi = {10.1016/J.SYSARC.2014.01.003}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/NataleZST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MozumdarSLS14, author = {Mohammad Mozumdar and Zhen Yu Song and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Model-Based Approach for Bridging Virtual and Physical Sensor Nodes in a Hybrid Simulation Framework}, journal = {Sensors}, volume = {14}, number = {6}, pages = {11070--11096}, year = {2014}, url = {https://doi.org/10.3390/s140611070}, doi = {10.3390/S140611070}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MozumdarSLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/SadighDPLSBSSS14, author = {Dorsa Sadigh and Katherine Rose Driggs{-}Campbell and Alberto Puggelli and Wenchao Li and Victor Shia and Ruzena Bajcsy and Alberto L. Sangiovanni{-}Vincentelli and S. Shankar Sastry and Sanjit A. Seshia}, title = {Data-Driven Probabilistic Modeling and Verification of Human Driver Behavior}, booktitle = {2014 {AAAI} Spring Symposia, Stanford University, Palo Alto, California, USA, March 24-26, 2014}, publisher = {{AAAI} Press}, year = {2014}, url = {http://www.aaai.org/ocs/index.php/SSS/SSS14/paper/view/7749}, timestamp = {Mon, 05 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaaiss/SadighDPLSBSSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/MaasoumyRSS14, author = {Mehdi Maasoumy and Meysam Razmara and Mahdi Shahbakhti and Alberto L. Sangiovanni{-}Vincentelli}, title = {Selecting building predictive control based on model uncertainty}, booktitle = {American Control Conference, {ACC} 2014, Portland, OR, USA, June 4-6, 2014}, pages = {404--411}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ACC.2014.6858875}, doi = {10.1109/ACC.2014.6858875}, timestamp = {Sun, 08 Aug 2021 01:40:57 +0200}, biburl = {https://dblp.org/rec/conf/amcc/MaasoumyRSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/MaasoumyRSC14, author = {Mehdi Maasoumy and Catherine Rosenberg and Alberto L. Sangiovanni{-}Vincentelli and Duncan S. Callaway}, title = {Model predictive control approach to online computation of demand-side flexibility of commercial buildings {HVAC} systems for Supply Following}, booktitle = {American Control Conference, {ACC} 2014, Portland, OR, USA, June 4-6, 2014}, pages = {1082--1089}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ACC.2014.6858874}, doi = {10.1109/ACC.2014.6858874}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/MaasoumyRSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/MaasoumySSP14, author = {Mehdi Maasoumy and Borhan Molazem Sanandaji and Alberto L. Sangiovanni{-}Vincentelli and Kameshwar Poolla}, title = {Model Predictive Control of regulation services from commercial buildings to the smart grid}, booktitle = {American Control Conference, {ACC} 2014, Portland, OR, USA, June 4-6, 2014}, pages = {2226--2233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ACC.2014.6859332}, doi = {10.1109/ACC.2014.6859332}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/MaasoumySSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/RamanDMMSS14, author = {Vasumathi Raman and Alexandre Donz{\'{e}} and Mehdi Maasoumy and Richard M. Murray and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Model predictive control with signal temporal logic specifications}, booktitle = {53rd {IEEE} Conference on Decision and Control, {CDC} 2014, Los Angeles, CA, USA, December 15-17, 2014}, pages = {81--87}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CDC.2014.7039363}, doi = {10.1109/CDC.2014.7039363}, timestamp = {Fri, 04 Mar 2022 13:29:15 +0100}, biburl = {https://dblp.org/rec/conf/cdc/RamanDMMSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/GuoZNPSL14, author = {Liangpeng Guo and Qi Zhu and Pierluigi Nuzzo and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli and Edward A. Lee}, editor = {Radu Marculescu and Gabriela Nicolescu}, title = {Metronomy: {A} function-architecture co-simulation framework for timing verification of cyber-physical systems}, booktitle = {2014 International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2014, Uttar Pradesh, India, October 12-17, 2014}, pages = {24:1--24:10}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2656075.2656093}, doi = {10.1145/2656075.2656093}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/GuoZNPSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinRGDS14, author = {Chung{-}Wei Lin and Lei Rao and Paolo Giusto and Joseph D'Ambrosio and Alberto L. Sangiovanni{-}Vincentelli}, title = {An Efficient Wire Routing and Wire Sizing Algorithm for Weight Minimization of Automotive Systems}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {19:1--19:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593088}, doi = {10.1145/2593069.2593088}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinRGDS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IannopolloNTS14, author = {Antonio Iannopollo and Pierluigi Nuzzo and Stavros Tripakis and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Library-based scalable refinement checking for contract-based design}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.167}, doi = {10.7873/DATE.2014.167}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/IannopolloNTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NuzzoFIS14, author = {Pierluigi Nuzzo and John B. Finn and Antonio Iannopollo and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Contract-based design of control protocols for safety-critical cyber-physical systems}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--4}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.072}, doi = {10.7873/DATE.2014.072}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NuzzoFIS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/PuggelliSS14, author = {Alberto Puggelli and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {Tulika Mitra and Jan Reineke}, title = {Robust strategy synthesis for probabilistic systems applied to risk-limiting renewable-energy pricing}, booktitle = {2014 International Conference on Embedded Software, {EMSOFT} 2014, New Delhi, India, October 12-17, 2014}, pages = {13:1--13:10}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2656045.2656069}, doi = {10.1145/2656045.2656069}, timestamp = {Wed, 04 Jan 2023 16:50:45 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/PuggelliSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etaps/NuzzoS14, author = {Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Saddek Bensalem and Yassine Lakhnech and Axel Legay}, title = {Let's Get Physical: Computer Science Meets Systems}, booktitle = {From Programs to Systems. The Systems perspective in Computing - {ETAPS} Workshop, {FPS} 2014, in Honor of Joseph Sifakis, Grenoble, France, April 6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8415}, pages = {193--208}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-642-54848-2\_13}, doi = {10.1007/978-3-642-54848-2\_13}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/etaps/NuzzoS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinZS14, author = {Chung{-}Wei Lin and Qi Zhu and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Yao{-}Wen Chang}, title = {Security-aware mapping for TDMA-based real-time distributed systems}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {24--31}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001325}, doi = {10.1109/ICCAD.2014.7001325}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/NuzzoITS14, author = {Pierluigi Nuzzo and Antonio Iannopollo and Stavros Tripakis and Alberto L. Sangiovanni{-}Vincentelli}, title = {Are interface theories equivalent to contract theories?}, booktitle = {Twelfth {ACM/IEEE} International Conference on Formal Methods and Models for Codesign, {MEMOCODE} 2014, Lausanne, Switzerland, October 19-21, 2014}, pages = {104--113}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MEMCOD.2014.6961848}, doi = {10.1109/MEMCOD.2014.6961848}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memocode/NuzzoITS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/models/NataleCSS14, author = {Marco Di Natale and Francesco Chirico and Andrea Sindico and Alberto L. Sangiovanni{-}Vincentelli}, editor = {J{\"{u}}rgen Dingel and Wolfram Schulte and Isidro Ramos and Silvia Abrah{\~{a}}o and Emilio Insfr{\'{a}}n}, title = {An {MDA} Approach for the Generation of Communication Adapters Integrating {SW} and {FW} Components from Simulink}, booktitle = {Model-Driven Engineering Languages and Systems - 17th International Conference, {MODELS} 2014, Valencia, Spain, September 28 - October 3, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8767}, pages = {353--369}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-11653-2\_22}, doi = {10.1007/978-3-319-11653-2\_22}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/models/NataleCSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/AksanliABCDDLMMNRRSSRV14, author = {Baris Aksanli and Alper Sinan Akyurek and Madhur Behl and Meghan Clark and Alexandre Donz{\'{e}} and Prabal Dutta and Patrick Lazik and Mehdi Maasoumy and Rahul Mangharam and Truong X. Nghiem and Vasumathi Raman and Anthony Rowe and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Tajana Simunic Rosing and Jagannathan Venkatesh}, editor = {Mani Srivastava}, title = {Distributed control of a swarm of buildings connected to a smart grid: demo abstract}, booktitle = {Proceedings of the 1st {ACM} Conference on Embedded Systems for Energy-Efficient Buildings, BuildSys 2014, Memphis, TN, USA, November 3-6, 2014}, pages = {172--173}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2674061.2675019}, doi = {10.1145/2674061.2675019}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/AksanliABCDDLMMNRRSSRV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/daglib/p/Sangiovanni-VincentelliZNM14, author = {Alberto L. Sangiovanni{-}Vincentelli and Haibo Zeng and Marco Di Natale and Peter Marwedel}, editor = {Alberto L. Sangiovanni{-}Vincentelli and Haibo Zeng and Marco Di Natale and Peter Marwedel}, title = {Introduction: Modeling, Analysis and Synthesis of Embedded Software and Systems}, booktitle = {Embedded Systems Development, From Functional Models to Implementations}, pages = {1--16}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-1-4614-3879-3\_1}, doi = {10.1007/978-1-4614-3879-3\_1}, timestamp = {Fri, 14 Apr 2023 11:09:08 +0200}, biburl = {https://dblp.org/rec/books/daglib/p/Sangiovanni-VincentelliZNM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0039015, editor = {Alberto L. Sangiovanni{-}Vincentelli and Haibo Zeng and Marco Di Natale and Peter Marwedel}, title = {Embedded Systems Development, From Functional Models to Implementations}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-1-4614-3879-3}, doi = {10.1007/978-1-4614-3879-3}, isbn = {978-1-4614-3878-6}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0039015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ShoukryNPSST14, author = {Yasser Shoukry and Pierluigi Nuzzo and Alberto Puggelli and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Paulo Tabuada}, title = {Secure State Estimation Under Sensor Attacks: {A} Satisfiability Modulo Theory Approach}, journal = {CoRR}, volume = {abs/1412.4324}, year = {2014}, url = {http://arxiv.org/abs/1412.4324}, eprinttype = {arXiv}, eprint = {1412.4324}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ShoukryNPSST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/BalluchiBBS13, author = {Andrea Balluchi and Luca Benvenuti and Maria Domenica Di Benedetto and Alberto L. Sangiovanni{-}Vincentelli}, title = {The design of dynamical observers for hybrid systems: Theory and application to an automotive control problem}, journal = {Autom.}, volume = {49}, number = {4}, pages = {915--925}, year = {2013}, url = {https://doi.org/10.1016/j.automatica.2013.01.037}, doi = {10.1016/J.AUTOMATICA.2013.01.037}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/BalluchiBBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/GhosalZGSREN13, author = {Arkadeb Ghosal and Haibo Zeng and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli and Ragunathan Rajkumar and Marco Ernst and Rolf Di Natale}, title = {Guest Editorial: Special Issue on System Level Design of Automotive Electronics/Software}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {5}, number = {3}, pages = {29}, year = {2013}, url = {https://doi.org/10.1109/LES.2013.2274794}, doi = {10.1109/LES.2013.2274794}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/GhosalZGSREN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/DavareDGPSSZ13, author = {Abhijit Davare and Douglas Densmore and Liangpeng Guo and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli and Alena Simalatsar and Qi Zhu}, title = {metroII: {A} design environment for cyber-physical systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {12}, number = {1s}, pages = {49:1--49:31}, year = {2013}, url = {https://doi.org/10.1145/2435227.2435245}, doi = {10.1145/2435227.2435245}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/DavareDGPSSZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosn/ParkEFS13, author = {Pan Gun Park and Sinem Coleri Ergen and Carlo Fischione and Alberto L. Sangiovanni{-}Vincentelli}, title = {Duty-cycle optimization for {IEEE} 802.15.4 wireless sensor networks}, journal = {{ACM} Trans. Sens. Networks}, volume = {10}, number = {1}, pages = {12:1--12:32}, year = {2013}, url = {https://doi.org/10.1145/2529979}, doi = {10.1145/2529979}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tosn/ParkEFS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/PuggelliLSS13, author = {Alberto Puggelli and Wenchao Li and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {Natasha Sharygina and Helmut Veith}, title = {Polynomial-Time Verification of {PCTL} Properties of MDPs with Convex Uncertainties}, booktitle = {Computer Aided Verification - 25th International Conference, {CAV} 2013, Saint Petersburg, Russia, July 13-19, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8044}, pages = {527--542}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39799-8\_35}, doi = {10.1007/978-3-642-39799-8\_35}, timestamp = {Wed, 07 Dec 2022 23:12:58 +0100}, biburl = {https://dblp.org/rec/conf/cav/PuggelliLSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/MaasoumyNIKST13, author = {Mehdi Maasoumy and Pierluigi Nuzzo and Forrest N. Iandola and Maryam Kamgarpour and Alberto L. Sangiovanni{-}Vincentelli and Claire J. Tomlin}, title = {Optimal load management system for Aircraft Electric Power distribution}, booktitle = {Proceedings of the 52nd {IEEE} Conference on Decision and Control, {CDC} 2013, Florence, Italy, December 10-13, 2013}, pages = {2939--2945}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CDC.2013.6760330}, doi = {10.1109/CDC.2013.6760330}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/MaasoumyNIKST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsna/KimGLS13, author = {Hokeun Kim and Liangpeng Guo and Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, title = {A tool integration approach for architectural exploration of aircraft electric power systems}, booktitle = {1st {IEEE} International Conference on Cyber-Physical Systems, Networks, and Applications, {CPSNA} 2013, Taipei, Taiwan, August 19-20, 2013}, pages = {38--43}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CPSNA.2013.6614244}, doi = {10.1109/CPSNA.2013.6614244}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cpsna/KimGLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csdm/FisherJLMSS13, author = {Amit Fisher and Clas A. Jacobson and Edward A. Lee and Richard M. Murray and Alberto L. Sangiovanni{-}Vincentelli and Eelco Scholte}, editor = {Marc Aiguier and Fr{\'{e}}d{\'{e}}ric Boulanger and Daniel Krob and Clotilde Marchal}, title = {Industrial Cyber-Physical Systems - iCyPhy}, booktitle = {Complex Systems Design {\&} Management, Proceedings of the Fourth International Conference on Complex Systems Design {\&} Management CSD{\&}M 2013, Paris, France, December 4-6, 2013}, pages = {21--37}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-02812-5\_2}, doi = {10.1007/978-3-319-02812-5\_2}, timestamp = {Wed, 24 May 2017 08:27:32 +0200}, biburl = {https://dblp.org/rec/conf/csdm/FisherJLMSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Casale-RossiSCCMDR13, author = {Marco Casale{-}Rossi and Alberto L. Sangiovanni{-}Vincentelli and Luca P. Carloni and Bernard Courtois and Hugo De Man and Antun Domic and Jan M. Rabaey}, editor = {Enrico Macii}, title = {Panel: the heritage of Mead {\&} Conway: what has remained the same, what was missed, what has changed, what lies ahead}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {171--175}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2485333}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/Casale-RossiSCCMDR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenutoS13, author = {Daniela De Venuto and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Enrico Macii}, title = {Dr. Frankenstein's dream made possible: implanted electronic devices}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1531--1536}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.311}, doi = {10.7873/DATE.2013.311}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenutoS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CrossleyPLYNJKNLSASA13, author = {John Crossley and Alberto Puggelli and Hanh{-}Phuc Le and B. Yang and R. Nancollas and Kwangmo Jung and Lingkai Kong and Nathan Narevsky and Yue Lu and Nicholas Sutardja and E. J. An and Alberto L. Sangiovanni{-}Vincentelli and Elad Alon}, editor = {J{\"{o}}rg Henkel}, title = {{BAG:} a designer-oriented integrated framework for the development of {AMS} circuit generators}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {74--81}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691100}, doi = {10.1109/ICCAD.2013.6691100}, timestamp = {Thu, 27 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CrossleyPLYNJKNLSASA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinZPS13, author = {Chung{-}Wei Lin and Qi Zhu and Calvin Phung and Alberto L. Sangiovanni{-}Vincentelli}, editor = {J{\"{o}}rg Henkel}, title = {Security-aware mapping for CAN-based real-time distributed automotive systems}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {115--121}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691106}, doi = {10.1109/ICCAD.2013.6691106}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinZPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccps/MaasoumyZLMS13, author = {Mehdi Maasoumy and Qi Zhu and Cheng Li and Forrest Meggers and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Chenyang Lu and P. R. Kumar and Radu Stoleru}, title = {Co-design of control algorithm and embedded platform for building {HVAC} systems}, booktitle = {{ACM/IEEE} 4th International Conference on Cyber-Physical Systems (with {CPS} Week 2013), {ICCPS} '13, Philadelphia, PA, USA, April 8-11, 2013}, pages = {61--70}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2502524.2502533}, doi = {10.1145/2502524.2502533}, timestamp = {Thu, 08 Apr 2021 08:40:46 +0200}, biburl = {https://dblp.org/rec/conf/iccps/MaasoumyZLMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/LinNZPS13, author = {Chung{-}Wei Lin and Marco Di Natale and Haibo Zeng and Linli Thi Xuan Phan and Alberto L. Sangiovanni{-}Vincentelli}, title = {Timing analysis of process graphs with finite communication buffers}, booktitle = {19th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2013, Philadelphia, PA, USA, April 9-11, 2013}, pages = {227--236}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RTAS.2013.6531095}, doi = {10.1109/RTAS.2013.6531095}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtas/LinNZPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/GuoSP13, author = {Liangpeng Guo and Alberto L. Sangiovanni{-}Vincentelli and Alessandro Pinto}, title = {A complexity metric for concurrent finite state machine based embedded software}, booktitle = {8th {IEEE} International Symposium on Industrial Embedded Systems, {SIES} 2013, Porto, Portugal, June 19-21, 2013}, pages = {189--195}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SIES.2013.6601491}, doi = {10.1109/SIES.2013.6601491}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sies/GuoSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/NuzzoFMS13, author = {Pierluigi Nuzzo and John B. Finn and Mohammad Mostafizur Rahman Mozumdar and Alberto L. Sangiovanni{-}Vincentelli}, title = {Platform-Based Design Methodology and Modeling for Aircraft Electric Power Systems}, journal = {CoRR}, volume = {abs/1311.6092}, year = {2013}, url = {http://arxiv.org/abs/1311.6092}, eprinttype = {arXiv}, eprint = {1311.6092}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/NuzzoFMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MaasoumyOCS13, author = {Mehdi Maasoumy and Jorge Ortiz and David E. Culler and Alberto L. Sangiovanni{-}Vincentelli}, title = {Flexibility of Commercial Building {HVAC} Fan as Ancillary Service for Smart Grid}, journal = {CoRR}, volume = {abs/1311.6094}, year = {2013}, url = {http://arxiv.org/abs/1311.6094}, eprinttype = {arXiv}, eprint = {1311.6094}, timestamp = {Fri, 07 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MaasoumyOCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-Vincentelli12, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {{EDA} meets biology! The bumpy road ahead [Perscetives]}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {49--50}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2194610}, doi = {10.1109/MDT.2012.2194610}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-Vincentelli12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MaasoumyS12, author = {Mehdi Maasoumy and Alberto L. Sangiovanni{-}Vincentelli}, title = {Total and Peak Energy Consumption Minimization of Building {HVAC} Systems Using Model Predictive Control}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {26--35}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2200871}, doi = {10.1109/MDT.2012.2200871}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MaasoumyS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YangZMS12, author = {Yang Yang and Qi Zhu and Mehdi Maasoumy and Alberto L. Sangiovanni{-}Vincentelli}, title = {Development of Building Automation and Control Systems}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {45--55}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2201130}, doi = {10.1109/MDT.2012.2201130}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YangZMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejcon/Sangiovanni-VincentelliDP12, author = {Alberto L. Sangiovanni{-}Vincentelli and Werner Damm and Roberto Passerone}, title = {Taming Dr. Frankenstein: Contract-Based Design for Cyber-Physical Systems}, journal = {Eur. J. Control}, volume = {18}, number = {3}, pages = {217--238}, year = {2012}, url = {https://doi.org/10.3166/ejc.18.217-238}, doi = {10.3166/EJC.18.217-238}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejcon/Sangiovanni-VincentelliDP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/DerlerLV12, author = {Patricia Derler and Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, title = {Modeling Cyber-Physical Systems}, journal = {Proc. {IEEE}}, volume = {100}, number = {1}, pages = {13--28}, year = {2012}, url = {https://doi.org/10.1109/JPROC.2011.2160929}, doi = {10.1109/JPROC.2011.2160929}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/DerlerLV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scp/GhosalIKHS12, author = {Arkadeb Ghosal and Daniel T. Iercan and Christoph M. Kirsch and Thomas A. Henzinger and Alberto L. Sangiovanni{-}Vincentelli}, title = {Separate compilation of hierarchical real-time programs into linear-bounded Embedded Machine code}, journal = {Sci. Comput. Program.}, volume = {77}, number = {2}, pages = {96--112}, year = {2012}, url = {https://doi.org/10.1016/j.scico.2010.06.004}, doi = {10.1016/J.SCICO.2010.06.004}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scp/GhosalIKHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/NuzzoNASCP12, author = {Pierluigi Nuzzo and Claudio Nani and Costantino Armiento and Alberto L. Sangiovanni{-}Vincentelli and Jan Craninckx and Geert Van der Plas}, title = {A 6-Bit 50-MS/s Threshold Configuring {SAR} {ADC} in 90-nm Digital {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {59-I}, number = {1}, pages = {80--92}, year = {2012}, url = {https://doi.org/10.1109/TCSI.2011.2161368}, doi = {10.1109/TCSI.2011.2161368}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/NuzzoNASCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ZhuZZNS12, author = {Qi Zhu and Haibo Zeng and Wei Zheng and Marco Di Natale and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimization of task allocation and priority assignment in hard real-time distributed systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {11}, number = {4}, pages = {85:1--85:30}, year = {2012}, url = {https://doi.org/10.1145/2362336.2362352}, doi = {10.1145/2362336.2362352}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/ZhuZZNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cybersecurity/LinS12, author = {Chung{-}Wei Lin and Alberto L. Sangiovanni{-}Vincentelli}, title = {Cyber-Security for the Controller Area Network {(CAN)} Communication Protocol}, booktitle = {2012 {ASE} International Conference on Cyber Security, Alexandria, VA, USA, December 14-16, 2012}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CyberSecurity.2012.7}, doi = {10.1109/CYBERSECURITY.2012.7}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cybersecurity/LinS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/PuggelliMSL12, author = {Alberto Puggelli and Mohammad Mostafizur Rahman Mozumdar and Alberto L. Sangiovanni{-}Vincentelli and Luciano Lavagno}, title = {A routing-algorithm-aware design tool for indoor wireless sensor networks}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2012, Maui, HI, USA, January 30 - February 2, 2012}, pages = {964--969}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCNC.2012.6167569}, doi = {10.1109/ICCNC.2012.6167569}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/PuggelliMSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/models/SindicoNS12, author = {Andrea Sindico and Marco Di Natale and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Robert B. France and J{\"{u}}rgen Kazmeier and Ruth Breu and Colin Atkinson}, title = {An Industrial System Engineering Process Integrating Model Driven Architecture and Model Based Design}, booktitle = {Model Driven Engineering Languages and Systems - 15th International Conference, {MODELS} 2012, Innsbruck, Austria, September 30-October 5, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7590}, pages = {810--826}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33666-9\_51}, doi = {10.1007/978-3-642-33666-9\_51}, timestamp = {Sun, 25 Jul 2021 11:43:55 +0200}, biburl = {https://dblp.org/rec/conf/models/SindicoNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ZengNGS11, author = {Haibo Zeng and Marco Di Natale and Arkadeb Ghosal and Alberto L. Sangiovanni{-}Vincentelli}, title = {Schedule Optimization of Time-Triggered Systems Communicating Over the FlexRay Static Segment}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {7}, number = {1}, pages = {1--17}, year = {2011}, url = {https://doi.org/10.1109/TII.2010.2089465}, doi = {10.1109/TII.2010.2089465}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ZengNGS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ParkFBJS11, author = {Pan Gun Park and Carlo Fischione and Alvise Bonivento and Karl Henrik Johansson and Alberto L. Sangiovanni{-}Vincentelli}, title = {Breath: An Adaptive Protocol for Industrial Control Applications Using Wireless Sensor Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {10}, number = {6}, pages = {821--838}, year = {2011}, url = {https://doi.org/10.1109/TMC.2010.223}, doi = {10.1109/TMC.2010.223}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ParkFBJS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PuggelliWKS11, author = {Alberto Puggelli and Tobias Welp and Andreas Kuehlmann and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Leon Stok and Nikil D. Dutt and Soha Hassoun}, title = {Are logic synthesis tools robust?}, booktitle = {Proceedings of the 48th Design Automation Conference, {DAC} 2011, San Diego, California, USA, June 5-10, 2011}, pages = {633--638}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2024724.2024869}, doi = {10.1145/2024724.2024869}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PuggelliWKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeS11, author = {Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, title = {Component-based design for the future}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1029}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763168}, doi = {10.1109/DATE.2011.5763168}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeeS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/NuzzoS11, author = {Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Robustness in analog systems: Design techniques, methodologies and tools}, booktitle = {Industrial Embedded Systems (SIES), 2011 6th {IEEE} International Symposium on, {SIES} 2011. Vasteras, Sweden, June 15-17, 2011}, pages = {194--203}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SIES.2011.5953662}, doi = {10.1109/SIES.2011.5953662}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sies/NuzzoS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejes/NuzzoSWBS10, author = {Pierluigi Nuzzo and Xuening Sun and Chang{-}Ching Wu and Fernando De Bernardinis and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Platform-Based Methodology for System-Level Mixed-Signal Design}, journal = {{EURASIP} J. Embed. Syst.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/261583}, doi = {10.1155/2010/261583}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejes/NuzzoSWBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/NataleS10, author = {Marco Di Natale and Alberto L. Sangiovanni{-}Vincentelli}, title = {Moving From Federated to Integrated Architectures in Automotive: The Role of Standards, Methods and Tools}, journal = {Proc. {IEEE}}, volume = {98}, number = {4}, pages = {603--620}, year = {2010}, url = {https://doi.org/10.1109/JPROC.2009.2039550}, doi = {10.1109/JPROC.2009.2039550}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/NataleS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ZhuYNSS10, author = {Qi Zhu and Yang Yang and Marco Di Natale and Eelco Scholte and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimizing the Software Architecture for Extensibility in Hard Real-time Distributed Systems}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {6}, number = {4}, pages = {621--636}, year = {2010}, url = {https://doi.org/10.1109/TII.2010.2053938}, doi = {10.1109/TII.2010.2053938}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ZhuYNSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/NataleGZS10, author = {Marco Di Natale and Liangpeng Guo and Haibo Zeng and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis of Multi-task Implementations of Simulink Models with Minimum Delays}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {6}, number = {4}, pages = {637--651}, year = {2010}, url = {https://doi.org/10.1109/TII.2010.2072511}, doi = {10.1109/TII.2010.2072511}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/NataleGZS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ZengNGS10, author = {Haibo Zeng and Marco Di Natale and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Using Statistical Methods to Compute the Probability Distribution of Message Response Time in Controller Area Network}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {6}, number = {4}, pages = {678--691}, year = {2010}, url = {https://doi.org/10.1109/TII.2010.2050143}, doi = {10.1109/TII.2010.2050143}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ZengNGS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/WangNS10, author = {Guoqiang Wang and Marco Di Natale and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimal synthesis of communication procedures in real-time synchronous reactive models}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {6}, number = {4}, pages = {729--743}, year = {2010}, url = {https://doi.org/10.1109/TII.2010.2064171}, doi = {10.1109/TII.2010.2064171}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/WangNS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GhosalGSDNWTKS10, author = {Arkadeb Ghosal and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli and Joseph D'Ambrosio and Ed Nuckolls and Harald Wilhelm and Jim Tung and Markus Kuhl and Peter van Staa}, editor = {Sachin S. Sapatnekar}, title = {Education panel: designing the always connected car of the future}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {617--618}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837426}, doi = {10.1145/1837274.1837426}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GhosalGSDNWTKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sangiovanni-Vincentelli10, author = {Alberto L. Sangiovanni{-}Vincentelli}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {All things are connected}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457248}, doi = {10.1109/DATE.2010.5457248}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/Sangiovanni-Vincentelli10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/NuzzoPSS10, author = {Pierluigi Nuzzo and Alberto Puggelli and Sanjit A. Seshia and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Roderick Bloem and Natasha Sharygina}, title = {CalCS: {SMT} solving for non-linear convex constraints}, booktitle = {Proceedings of 10th International Conference on Formal Methods in Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October 20-23}, pages = {71--79}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/document/5770935/}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fmcad/NuzzoPSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuSSR10, author = {Chang{-}Ching Wu and Xuening Sun and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, title = {A 2.2mW {CMOS} {LNA} for 6-8.5GHz {UWB} receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1631--1634}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537463}, doi = {10.1109/ISCAS.2010.5537463}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuSSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/YangPSZ10, author = {Yang Yang and Alessandro Pinto and Alberto L. Sangiovanni{-}Vincentelli and Qi Zhu}, title = {A Design Flow for Building Automation and Control Systems}, booktitle = {Proceedings of the 31st {IEEE} Real-Time Systems Symposium, {RTSS} 2010, San Diego, California, USA, November 30 - December 3, 2010}, pages = {105--116}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/RTSS.2010.26}, doi = {10.1109/RTSS.2010.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/YangPSZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/MozumdarLVS10, author = {Mohammad Mostafizur Rahman Mozumdar and Luciano Lavagno and Laura Vanzago and Alberto L. Sangiovanni{-}Vincentelli}, title = {{HILAC:} {A} framework for Hardware In the Loop simulation and multi-platform Automatic Code Generation of {WSN} Applications}, booktitle = {{IEEE} Fifth International Symposium on Industrial Embedded Systems, {SIES} 2010, University of Trento, Italy, July 7-9, 2010}, pages = {88--97}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SIES.2010.5551370}, doi = {10.1109/SIES.2010.5551370}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sies/MozumdarLVS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/cu/10/VillaBSCH10, author = {Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli and Yves Crama and Peter L. Hammer}, editor = {Yves Crama and Peter L. Hammer}, title = {Synthesis of Multilevel Boolean Networks}, booktitle = {Boolean Models and Methods in Mathematics, Computer Science, and Engineering}, pages = {675--722}, publisher = {Cambridge University Press}, year = {2010}, url = {https://doi.org/10.1017/cbo9780511780448.019}, doi = {10.1017/CBO9780511780448.019}, timestamp = {Mon, 16 Sep 2019 14:43:07 +0200}, biburl = {https://dblp.org/rec/books/cu/10/VillaBSCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/CheungCHDSW09, author = {Eric Cheung and Xi Chen and Harry Hsieh and Abhijit Davare and Alberto L. Sangiovanni{-}Vincentelli and Yosinori Watanabe}, title = {Runtime deadlock analysis for system level design}, journal = {Des. Autom. Embed. Syst.}, volume = {13}, number = {4}, pages = {287--310}, year = {2009}, url = {https://doi.org/10.1007/s10617-009-9046-2}, doi = {10.1007/S10617-009-9046-2}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/CheungCHDSW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PasseroneHGBCCGTDFMJPS09, author = {Roberto Passerone and Imene Ben Hafaiedh and Susanne Graf and Albert Benveniste and Daniela Cancila and Arnaud Cuccuru and Sebastien Gerard and Fran{\c{c}}ois Terrier and Werner Damm and Alberto Ferrari and Leonardo Mangeruca and Bernhard Josko and Thomas Peikenkamp and Alberto L. Sangiovanni{-}Vincentelli}, title = {Metamodels in Europe: Languages, Tools, and Applications}, journal = {{IEEE} Des. Test Comput.}, volume = {26}, number = {3}, pages = {38--53}, year = {2009}, url = {https://doi.org/10.1109/MDT.2009.64}, doi = {10.1109/MDT.2009.64}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PasseroneHGBCCGTDFMJPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-VincentelliSSYM09, author = {Alberto L. Sangiovanni{-}Vincentelli and Sandeep K. Shukla and Janos Sztipanovits and Guang Yang and Deepak Mathaikutty}, title = {Metamodeling: An Emerging Representation Paradigm for System-Level Design}, journal = {{IEEE} Des. Test Comput.}, volume = {26}, number = {3}, pages = {54--69}, year = {2009}, url = {https://doi.org/10.1109/MDT.2009.62}, doi = {10.1109/MDT.2009.62}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-VincentelliSSYM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PintoCS09, author = {Alessandro Pinto and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Methodology for Constraint-Driven Synthesis of On-Chip Communications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {3}, pages = {364--377}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2013273}, doi = {10.1109/TCAD.2009.2013273}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PintoCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/Sangiovanni-VincentelliN09, author = {Alberto L. Sangiovanni{-}Vincentelli and Marco Di Natale}, title = {Challenges and Solutions in the Development of Automotive Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {7}, pages = {937--940}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2024982}, doi = {10.1109/TCAD.2009.2024982}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/Sangiovanni-VincentelliN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ErgenSSTAAS09, author = {Sinem Coleri Ergen and Alberto L. Sangiovanni{-}Vincentelli and Xuening Sun and R. Tebano and S. Alalusi and G. Audisio and Marco Sabatini}, title = {The Tire as an Intelligent Sensor}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {7}, pages = {941--955}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2022879}, doi = {10.1109/TCAD.2009.2022879}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ErgenSSTAAS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/NataleWS09, author = {Marco Di Natale and Guoqiang Wang and Alberto L. Sangiovanni{-}Vincentelli}, title = {Improving the size of communication buffers in synchronous models with time constraints}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {5}, number = {3}, pages = {229--240}, year = {2009}, url = {https://doi.org/10.1109/TII.2009.2026745}, doi = {10.1109/TII.2009.2026745}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/NataleWS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ZengNGS09, author = {Haibo Zeng and Marco Di Natale and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Stochastic Analysis of Distributed Real-time Automotive Systems}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {5}, number = {4}, pages = {388--401}, year = {2009}, url = {https://doi.org/10.1109/TII.2009.2032067}, doi = {10.1109/TII.2009.2032067}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ZengNGS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/FischioneJSA09, author = {Carlo Fischione and Karl Henrik Johansson and Alberto L. Sangiovanni{-}Vincentelli and Benigno Zurita Ares}, title = {Minimum Energy coding in {CDMA} Wireless Sensor Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {8}, number = {2}, pages = {985--994}, year = {2009}, url = {https://doi.org/10.1109/TWC.2009.080239}, doi = {10.1109/TWC.2009.080239}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/FischioneJSA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SunNWS09, author = {Xuening Sun and Pierluigi Nuzzo and Chang{-}Ching Wu and Alberto L. Sangiovanni{-}Vincentelli}, title = {Contract-based system-level composition of analog circuits}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {605--610}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630066}, doi = {10.1145/1629911.1630066}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SunNWS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZengZNGGS09, author = {Haibo Zeng and Wei Zheng and Marco Di Natale and Arkadeb Ghosal and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Scheduling the FlexRay bus using optimization techniques}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {874--877}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630133}, doi = {10.1145/1629911.1630133}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZengZNGGS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DensmoreSDPS09, author = {Douglas Densmore and Alena Simalatsar and Abhijit Davare and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {{UMTS} MPSoC design evaluation using a system level design framework}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {478--483}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090712}, doi = {10.1109/DATE.2009.5090712}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DensmoreSDPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiNZGSS09, author = {Wenchao Li and Marco Di Natale and Wei Zheng and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Optimizations of an application-level protocol for enhanced dependability in FlexRay}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1076--1081}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090824}, doi = {10.1109/DATE.2009.5090824}, timestamp = {Mon, 05 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiNZGSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/GueyeES09, author = {Assane Gueye and Sinem Coleri Ergen and Alberto L. Sangiovanni{-}Vincentelli}, title = {Iterative Node Deployment in an Unknown Environment}, booktitle = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/GLOCOM.2009.5425858}, doi = {10.1109/GLOCOM.2009.5425858}, timestamp = {Sun, 25 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/GueyeES09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icess/WangNMS09, author = {Guoqiang Wang and Marco Di Natale and Pieter J. Mosterman and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Tianzhou Chen and Dimitrios N. Serpanos and Walid Taha}, title = {Automatic Code Generation for Synchronous Reactive Communication}, booktitle = {International Conference on Embedded Software and Systems, {ICESS} '09, Hangzhou, Zhejiang, P. R. China, May 25-27, 2009}, pages = {40--47}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICESS.2009.70}, doi = {10.1109/ICESS.2009.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icess/WangNMS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/FischioneSJS09, author = {Carlo Fischione and Alberto Speranzon and Karl Henrik Johansson and Alberto L. Sangiovanni{-}Vincentelli}, title = {Peer-to-peer estimation over wireless sensor networks via Lipschitz optimization}, booktitle = {Proceedings of the 8th International Conference on Information Processing in Sensor Networks, {IPSN} 2009, April 13-16, 2009, San Francisco, California, {USA}}, pages = {241--252}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/document/5211925/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/FischioneSJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/ZhuYSNS09, author = {Qi Zhu and Yang Yang and Eelco Scholte and Marco Di Natale and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimizing Extensibility in Hard Real-Time Distributed Systems}, booktitle = {15th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2009, San Francisco, CA, USA, 13-16 April 2009}, pages = {275--284}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/RTAS.2009.37}, doi = {10.1109/RTAS.2009.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/ZhuYSNS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/FischioneEPJS09, author = {Carlo Fischione and Sinem Coleri Ergen and Pan Gun Park and Karl Henrik Johansson and Alberto L. Sangiovanni{-}Vincentelli}, title = {Medium Access Control Analytical Modeling and Optimization in Unslotted {IEEE} 802.15.4 Wireless Sensor Networks}, booktitle = {Proceedings of the Sixth Annual {IEEE} Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, {SECON} 2009, June 22-26, 2009, Rome, Italy}, pages = {1--9}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SAHCN.2009.5168946}, doi = {10.1109/SAHCN.2009.5168946}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/secon/FischioneEPJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/ZengNGS09, author = {Haibo Zeng and Marco Di Natale and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Statistical analysis of Controller Area Network message response times}, booktitle = {{IEEE} Fourth International Symposium on Industrial Embedded Systems, {SIES} 2009, Ecole Polytechnique Federale de Lausanne, Switzerland, July 8-10, 2009}, pages = {1--10}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SIES.2009.5196185}, doi = {10.1109/SIES.2009.5196185}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sies/ZengNGS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/deds/YevtushenkoVBPS08, author = {Nina Yevtushenko and Tiziano Villa and Robert K. Brayton and Alexandre Petrenko and Alberto L. Sangiovanni{-}Vincentelli}, title = {Compositionally Progressive Solutions of Synchronous {FSM} Equations}, journal = {Discret. Event Dyn. Syst.}, volume = {18}, number = {1}, pages = {51--89}, year = {2008}, url = {https://doi.org/10.1007/s10626-007-0031-2}, doi = {10.1007/S10626-007-0031-2}, timestamp = {Tue, 15 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/deds/YevtushenkoVBPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-Vincentelli08, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Is a Unified Methodology for System-Level Design Possible?}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {4}, pages = {346--357}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.104}, doi = {10.1109/MDT.2008.104}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-Vincentelli08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PintoCS08, author = {Alessandro Pinto and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {{COSI:} {A} Framework for the Design of Interconnection Networks}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {5}, pages = {402--415}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.138}, doi = {10.1109/MDT.2008.138}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PintoCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BergamaschiBFKSW08, author = {Reinaldo A. Bergamaschi and Luca Benini and Kriszti{\'{a}}n Flautner and Wido Kruijtzer and Alberto L. Sangiovanni{-}Vincentelli and Kazutoshi Wakabayashi}, title = {The State of {ESL} Design [Roundtable]}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {6}, pages = {510--519}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.172}, doi = {10.1109/MDT.2008.172}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BergamaschiBFKSW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fuin/LiuKWDS08, author = {Cong Liu and Alex Kondratyev and Yosinori Watanabe and J{\"{o}}rg Desel and Alberto L. Sangiovanni{-}Vincentelli}, title = {Schedulability Analysis of Petri Nets Based on Structural Properties}, journal = {Fundam. Informaticae}, volume = {86}, number = {3}, pages = {325--341}, year = {2008}, url = {http://content.iospress.com/articles/fundamenta-informaticae/fi86-3-05}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fuin/LiuKWDS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/SperanzonFJS08, author = {Alberto Speranzon and Carlo Fischione and Karl Henrik Johansson and Alberto L. Sangiovanni{-}Vincentelli}, title = {A distributed minimum variance estimator for sensor networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {26}, number = {4}, pages = {609--621}, year = {2008}, url = {https://doi.org/10.1109/JSAC.2008.080504}, doi = {10.1109/JSAC.2008.080504}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/SperanzonFJS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/TripakisPBSCN08, author = {Stavros Tripakis and Claudio Pinello and Albert Benveniste and Alberto L. Sangiovanni{-}Vincentelli and Paul Caspi and Marco Di Natale}, title = {Implementing Synchronous Models on Loosely Time Triggered Architectures}, journal = {{IEEE} Trans. Computers}, volume = {57}, number = {10}, pages = {1300--1314}, year = {2008}, url = {https://doi.org/10.1109/TC.2008.81}, doi = {10.1109/TC.2008.81}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/TripakisPBSCN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PinelloCS08, author = {Claudio Pinello and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Fault-Tolerant Distributed Deployment of Embedded Control Software}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {5}, pages = {906--919}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.917971}, doi = {10.1109/TCAD.2008.917971}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PinelloCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YuanQVS08, author = {Lin Yuan and Gang Qu and Tiziano Villa and Alberto L. Sangiovanni{-}Vincentelli}, title = {An {FSM} Reengineering Approach to Sequential Circuit Synthesis by State Splitting}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {6}, pages = {1159--1164}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.923245}, doi = {10.1109/TCAD.2008.923245}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/YuanQVS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/BenvenisteCCCS08, author = {Albert Benveniste and Beno{\^{\i}}t Caillaud and Luca P. Carloni and Paul Caspi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Composing heterogeneous reactive systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {7}, number = {4}, pages = {43:1--43:36}, year = {2008}, url = {https://doi.org/10.1145/1376804.1376811}, doi = {10.1145/1376804.1376811}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/BenvenisteCCCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/PintoDFSS08, author = {Alessandro Pinto and Massimiliano D'Angelo and Carlo Fischione and Eelco Scholte and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis of Embedded Networks for Building Automation and Control}, booktitle = {American Control Conference, {ACC} 2008, Seattle, WA, USA, 11-13 June 2008}, pages = {920--925}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ACC.2008.4586610}, doi = {10.1109/ACC.2008.4586610}, timestamp = {Fri, 03 Dec 2021 13:02:23 +0100}, biburl = {https://dblp.org/rec/conf/amcc/PintoDFSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/PasseroneS08, author = {Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Pierpaolo Degano and Rocco De Nicola and Jos{\'{e}} Meseguer}, title = {Approximating Behaviors in Embedded System Design}, booktitle = {Concurrency, Graphs and Models, Essays Dedicated to Ugo Montanari on the Occasion of His 65th Birthday}, series = {Lecture Notes in Computer Science}, volume = {5065}, pages = {721--742}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-68679-8\_44}, doi = {10.1007/978-3-540-68679-8\_44}, timestamp = {Thu, 21 Sep 2023 09:08:34 +0200}, biburl = {https://dblp.org/rec/conf/birthday/PasseroneS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/IyengarBGGFS08, author = {Sameer Iyengar and Filippo Tempia Bonda and Raffaele Gravina and Antonio Guerrieri and Giancarlo Fortino and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Sethuraman Panchanathan and Sandeep Gupta}, title = {A framework for creating healthcare monitoring applications using wireless body sensor networks}, booktitle = {3rd International {ICST} Conference on Body Area Networks, {BODYNETS} 2008, Tempe, Arizona, USA, March 13-15, 2008}, pages = {8}, publisher = {{ICST}}, year = {2008}, url = {https://doi.org/10.4108/ICST.BODYNETS2008.2969}, doi = {10.4108/ICST.BODYNETS2008.2969}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bodynets/IyengarBGGFS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/MazziSBB08, author = {Emanuele Mazzi and Alberto L. Sangiovanni{-}Vincentelli and Andrea Balluchi and Antonio Bicchi}, title = {Hybrid system reduction}, booktitle = {Proceedings of the 47th {IEEE} Conference on Decision and Control, {CDC} 2008, December 9-11, 2008, Canc{\'{u}}n, Mexico}, pages = {227--232}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CDC.2008.4739350}, doi = {10.1109/CDC.2008.4739350}, timestamp = {Fri, 04 Mar 2022 13:27:23 +0100}, biburl = {https://dblp.org/rec/conf/cdc/MazziSBB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BenvenutiFMS08, author = {Luca Benvenuti and Alberto Ferrari and Emanuele Mazzi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Composing hybrid systems}, booktitle = {Proceedings of the 47th {IEEE} Conference on Decision and Control, {CDC} 2008, December 9-11, 2008, Canc{\'{u}}n, Mexico}, pages = {4693--4699}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CDC.2008.4739123}, doi = {10.1109/CDC.2008.4739123}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/BenvenutiFMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MeyerowitzSSL08, author = {Trevor Meyerowitz and Alberto L. Sangiovanni{-}Vincentelli and Mirko Sauermann and Dominik Langen}, editor = {Donatella Sciuto}, title = {Source-Level Timing Annotation and Simulation for a Heterogeneous Multiprocessor}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {276--279}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484897}, doi = {10.1109/DATE.2008.4484897}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MeyerowitzSSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ForestFASSN08, author = {T. Forest and Alberto Ferrari and G. Audisio and Marco Sabatini and Alberto L. Sangiovanni{-}Vincentelli and Marco Di Natale}, editor = {Donatella Sciuto}, title = {Physical Architectures of Automotive Systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {391--395}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484712}, doi = {10.1109/DATE.2008.4484712}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ForestFASSN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HeineckeDJMKSN08, author = {Harald Heinecke and Werner Damm and Bernhard Josko and Alexander Metzner and Hermann Kopetz and Alberto L. Sangiovanni{-}Vincentelli and Marco Di Natale}, editor = {Donatella Sciuto}, title = {Software Components for Reliable Automotive Systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {549--554}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484733}, doi = {10.1109/DATE.2008.4484733}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HeineckeDJMKSN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FrankWESN08, author = {E. Frank and Reinhard Wilhelm and Rolf Ernst and Alberto L. Sangiovanni{-}Vincentelli and Marco Di Natale}, editor = {Donatella Sciuto}, title = {Methods, Tools and Standards for the Analysis, Evaluation and Design of Modern Automotive Architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {659--663}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484753}, doi = {10.1109/DATE.2008.4484753}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FrankWESN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sangiovanni-VincentelliNAHHBKFW08, author = {Alberto L. Sangiovanni{-}Vincentelli and Marco Di Natale and Scuola S. Anna and H. Hanselmann and Harald Heinecke and Amar Bouali and Hermann Kopetz and H. Fennel and Thomas Weber}, editor = {Donatella Sciuto}, title = {Panel Session - The Future Car: Technology, Methods and Tools}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {812}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484773}, doi = {10.1109/DATE.2008.4484773}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Sangiovanni-VincentelliNAHHBKFW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChatterjeeGHIKPS08, author = {Krishnendu Chatterjee and Arkadeb Ghosal and Thomas A. Henzinger and Daniel T. Iercan and Christoph M. Kirsch and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Donatella Sciuto}, title = {Logical Reliability of Interacting Real-Time Tasks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {909--914}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484790}, doi = {10.1109/DATE.2008.4484790}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChatterjeeGHIKPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ErgenFMS08, author = {Sinem Coleri Ergen and Carlo Fischione and Dimitri Marandin and Alberto L. Sangiovanni{-}Vincentelli}, title = {Duty-Cycle Optimization in Unslotted 802.15.4 Wireless Sensor Networks}, booktitle = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, pages = {388--393}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/GLOCOM.2008.ECP.82}, doi = {10.1109/GLOCOM.2008.ECP.82}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ErgenFMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/DAngeloFBPS08, author = {M. D'Angelo and Carlo Fischione and Matteo Butussi and Alessandro Pinto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Outage-Based Rate Maximization in {CDMA} Wireless Networks}, booktitle = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, pages = {1310--1315}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/GLOCOM.2008.ECP.258}, doi = {10.1109/GLOCOM.2008.ECP.258}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/DAngeloFBPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BenvenutiFMS08, author = {Luca Benvenuti and Alberto Ferrari and Emanuele Mazzi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Magnus Egerstedt and Bud Mishra}, title = {Contract-Based Design for Computation and Verification of a Closed-Loop Hybrid System}, booktitle = {Hybrid Systems: Computation and Control, 11th International Workshop, {HSCC} 2008, St. Louis, MO, USA, April 22-24, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4981}, pages = {58--71}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-78929-1\_5}, doi = {10.1007/978-3-540-78929-1\_5}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/BenvenutiFMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/NataleWS08, author = {Marco Di Natale and Guoqiang Wang and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimizing the Implementation of Communication in Synchronous Reactive Models}, booktitle = {Proceedings of the 14th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2008, April 22-24, 2008, St. Louis, Missouri, {USA}}, pages = {169--179}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/RTAS.2008.23}, doi = {10.1109/RTAS.2008.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/NataleWS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/ParkFBJS08, author = {Pan Gun Park and Carlo Fischione and Alvise Bonivento and Karl Henrik Johansson and Alberto L. Sangiovanni{-}Vincentelli}, title = {Breath: {A} Self-Adapting Protocol for Wireless Sensor Networks in Control and Automation}, booktitle = {Proceedings of the Fifth Annual {IEEE} Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, {SECON} 2008, June 16-20, 2008, Crowne Plaza, San Francisco International Airport, California, {USA}}, pages = {323--331}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/SAHCN.2008.47}, doi = {10.1109/SAHCN.2008.47}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/secon/ParkFBJS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LiRS08, author = {Yanmei Li and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {Analysis of Interference Effects in {MB-OFDM} {UWB} Systems}, booktitle = {{WCNC} 2008, {IEEE} Wireless Communications {\&} Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings}, pages = {165--170}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/WCNC.2008.34}, doi = {10.1109/WCNC.2008.34}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/LiRS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0810-3715, author = {Carlo Fischione and Alberto Speranzon and Karl Henrik Johansson and Alberto L. Sangiovanni{-}Vincentelli}, title = {Distributed Estimation over Wireless Sensor Networks with Packet Losses}, journal = {CoRR}, volume = {abs/0810.3715}, year = {2008}, url = {http://arxiv.org/abs/0810.3715}, eprinttype = {arXiv}, eprint = {0810.3715}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0810-3715.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amcs/GostiVSS07, author = {Wilsin Gosti and Tiziano Villa and Alexander Saldanha and Alberto L. Sangiovanni{-}Vincentelli}, title = {{FSM} Encoding for {BDD} Representations}, journal = {Int. J. Appl. Math. Comput. Sci.}, volume = {17}, number = {1}, pages = {113--124}, year = {2007}, url = {https://doi.org/10.2478/v10006-007-0011-6}, doi = {10.2478/V10006-007-0011-6}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amcs/GostiVSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/Sangiovanni-VincentelliN07, author = {Alberto L. Sangiovanni{-}Vincentelli and Marco Di Natale}, title = {Embedded System Design for Automotive Applications}, journal = {Computer}, volume = {40}, number = {10}, pages = {42--51}, year = {2007}, url = {https://doi.org/10.1109/MC.2007.344}, doi = {10.1109/MC.2007.344}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/Sangiovanni-VincentelliN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/PasseroneBS07, author = {Roberto Passerone and Jerry R. Burch and Alberto L. Sangiovanni{-}Vincentelli}, title = {Refinement preserving approximations for the design and verification of heterogeneous systems}, journal = {Formal Methods Syst. Des.}, volume = {31}, number = {1}, pages = {1--33}, year = {2007}, url = {https://doi.org/10.1007/s10703-006-0024-z}, doi = {10.1007/S10703-006-0024-Z}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fmsd/PasseroneBS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/OtisGSSQRSW07, author = {Brian Otis and Simone Gambini and Rahul C. Shah and Dan Steingart and E. Quevy and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli and Paul K. Wright}, title = {Modelling and simulation techniques for highly integrated, low-power wireless sensor networks}, journal = {{IET} Comput. Digit. Tech.}, volume = {1}, number = {5}, pages = {528--536}, year = {2007}, url = {https://doi.org/10.1049/iet-cdt:20050214}, doi = {10.1049/IET-CDT:20050214}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/OtisGSSQRSW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/BalluchiBMSS07, author = {Andrea Balluchi and Antonio Bicchi and Emanuele Mazzi and Alberto L. Sangiovanni{-}Vincentelli and Gabriele Serra}, title = {Hybrid modelling and control of the common rail injection system}, journal = {Int. J. Control}, volume = {80}, number = {11}, pages = {1780--1795}, year = {2007}, url = {https://doi.org/10.1080/00207170701481675}, doi = {10.1080/00207170701481675}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/BalluchiBMSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/Sangiovanni-Vincentelli07, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Quo Vadis, SLD? Reasoning About the Trends and Challenges of System Level Design}, journal = {Proc. {IEEE}}, volume = {95}, number = {3}, pages = {467--506}, year = {2007}, url = {https://doi.org/10.1109/JPROC.2006.890107}, doi = {10.1109/JPROC.2006.890107}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/Sangiovanni-Vincentelli07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/Sangiovanni-Vincentelli07, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Remembering Richard [Obituary, Richard A.Newton]}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {8}, pages = {1357--1366}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.902701}, doi = {10.1109/TCAD.2007.902701}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/Sangiovanni-Vincentelli07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KoushanfarDNSP07, author = {Farinaz Koushanfar and Abhijit Davare and David T. Nguyen and Alberto L. Sangiovanni{-}Vincentelli and Miodrag Potkonjak}, title = {Techniques for maintaining connectivity in wireless ad-hoc networks under energy constraints}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {6}, number = {3}, pages = {16}, year = {2007}, url = {https://doi.org/10.1145/1275986.1275988}, doi = {10.1145/1275986.1275988}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/KoushanfarDNSP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/MangerucaBFS07, author = {Leonardo Mangeruca and Massimo Baleani and Alberto Ferrari and Alberto L. Sangiovanni{-}Vincentelli}, title = {Uniprocessor scheduling under precedence constraints for embedded systems design}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {7}, number = {1}, pages = {6:1--6:30}, year = {2007}, url = {https://doi.org/10.1145/1324969.1324975}, doi = {10.1145/1324969.1324975}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/MangerucaBFS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/BoniventoFNPS07, author = {Alvise Bonivento and Carlo Fischione and Luca Necchi and Fernando Pianegiani and Alberto L. Sangiovanni{-}Vincentelli}, title = {System Level Design for Clustered Wireless Sensor Networks}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {3}, number = {3}, pages = {202--214}, year = {2007}, url = {https://doi.org/10.1109/TII.2007.904130}, doi = {10.1109/TII.2007.904130}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/BoniventoFNPS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/MangerucaBFS07, author = {Leonardo Mangeruca and Massimo Baleani and Alberto Ferrari and Alberto L. Sangiovanni{-}Vincentelli}, title = {Semantics-Preserving Design of Embedded Control Software from Synchronous Models}, journal = {{IEEE} Trans. Software Eng.}, volume = {33}, number = {8}, pages = {497--509}, year = {2007}, url = {https://doi.org/10.1109/TSE.2007.70718}, doi = {10.1109/TSE.2007.70718}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tse/MangerucaBFS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/MarculescuNS07, author = {Radu Marculescu and Borivoje Nikolic and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Soonhoi Ha and Kiyoung Choi and Nikil D. Dutt and J{\"{u}}rgen Teich}, title = {Fresh air: the emerging landscape of design for networked embedded systems}, booktitle = {Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {124}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289816.1289848}, doi = {10.1145/1289816.1289848}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/MarculescuNS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ErnstSWKSJ07, author = {Rolf Ernst and Gernot Spiegelberg and Thomas Weber and Hermann Kopetz and Alberto L. Sangiovanni{-}Vincentelli and Marek Jersak}, editor = {Soonhoi Ha and Kiyoung Choi and Nikil D. Dutt and J{\"{u}}rgen Teich}, title = {Automotive networks: are new busses and gateways the answer or just another challenge?}, booktitle = {Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {263}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289816.1289880}, doi = {10.1145/1289816.1289880}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/ErnstSWKSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DavareZNPKS07, author = {Abhijit Davare and Qi Zhu and Marco Di Natale and Claudio Pinello and Sri Kanajan and Alberto L. Sangiovanni{-}Vincentelli}, title = {Period Optimization for Hard Real-time Distributed Automotive Systems}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {278--283}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278553}, doi = {10.1145/1278480.1278553}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DavareZNPKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SmithCHRSW07, author = {Nick Smith and Andrew Chien and Christopher Hegarty and Walden C. Rhines and Alberto L. Sangiovanni{-}Vincentelli and Frank Winters}, title = {Electronics: The New Differential in the Automotive Industry}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {446}, publisher = {{IEEE}}, year = {2007}, timestamp = {Tue, 29 Jul 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SmithCHRSW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhengNPGV07, author = {Wei Zheng and Marco Di Natale and Claudio Pinello and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Synthesis of task and message activation models in real-time distributed automotive systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {93--98}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364573}, doi = {10.1109/DATE.2007.364573}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhengNPGV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/PintoCS07, author = {Alessandro Pinto and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Christoph M. Kirsch and Reinhard Wilhelm}, title = {A communication synthesis infrastructure for heterogeneous networked control systems and its application to building automation and control}, booktitle = {Proceedings of the 7th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2007, September 30 - October 3, 2007, Salzburg, Austria}, pages = {21--29}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289927.1289936}, doi = {10.1145/1289927.1289936}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/PintoCS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/BenvenisteCNPST07, author = {Albert Benveniste and Paul Caspi and Marco Di Natale and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli and Stavros Tripakis}, editor = {Christoph M. Kirsch and Reinhard Wilhelm}, title = {Loosely time-triggered architectures based on communication-by-sampling}, booktitle = {Proceedings of the 7th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2007, September 30 - October 3, 2007, Salzburg, Austria}, pages = {231--239}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289927.1289964}, doi = {10.1145/1289927.1289964}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/BenvenisteCNPST07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VillaZYBS07, author = {Tiziano Villa and Svetlana Zharikova and Nina Yevtushenko and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Hai Zhou and Enrico Macii and Zhiyuan Yan and Yehia Massoud}, title = {A new algorithm for the largest compositionally progressive solution of synchronous language equations}, booktitle = {Proceedings of the 17th {ACM} Great Lakes Symposium on {VLSI} 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}, pages = {441--444}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1228784.1228888}, doi = {10.1145/1228784.1228888}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/VillaZYBS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BalluchiMS07, author = {Andrea Balluchi and Emanuele Mazzi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alberto Bemporad and Antonio Bicchi and Giorgio C. Buttazzo}, title = {Complexity Reduction for the Design of Interacting Controllers}, booktitle = {Hybrid Systems: Computation and Control, 10th International Workshop, {HSCC} 2007, Pisa, Italy, April 3-5, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4416}, pages = {46--60}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-71493-4\_7}, doi = {10.1007/978-3-540-71493-4\_7}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/BalluchiMS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/NataleZPGS07, author = {Marco Di Natale and Wei Zheng and Claudio Pinello and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimizing End-to-End Latencies by Adaptation of the Activation Events in Distributed Automotive Systems}, booktitle = {Proceedings of the 13th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2007, April 3-6, 2007, Bellevue, Washington, {USA}}, pages = {293--302}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/RTAS.2007.24}, doi = {10.1109/RTAS.2007.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/NataleZPGS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/ZhengZNS07, author = {Wei Zheng and Qi Zhu and Marco Di Natale and Alberto L. Sangiovanni{-}Vincentelli}, title = {Definition of Task Allocation and Priority Assignment in Hard Real-Time Distributed Systems}, booktitle = {Proceedings of the 28th {IEEE} Real-Time Systems Symposium {(RTSS} 2007), 3-6 December 2007, Tucson, Arizona, {USA}}, pages = {161--170}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/RTSS.2007.40}, doi = {10.1109/RTSS.2007.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/ZhengZNS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/Sangiovanni-Vincentelli07, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Reasoning about the Trends and Challenges of Engineering Design Automation}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {28--30}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.135}, doi = {10.1109/VLSID.2007.135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/Sangiovanni-Vincentelli07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/NecchiBLSV07, author = {Luca Necchi and Alvise Bonivento and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli and Laura Vanzago}, title = {{E2RINA:} an Energy Efficient and Reliable In-Network Aggregation for Clustered Wireless Sensor Networks}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2007, Hong Kong, China, 11-15 March, 2007}, pages = {3364--3369}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/WCNC.2007.619}, doi = {10.1109/WCNC.2007.619}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/NecchiBLSV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/CarloniS06, author = {Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Framework for Modeling the Distributed Deployment of Synchronous Designs}, journal = {Formal Methods Syst. Des.}, volume = {28}, number = {2}, pages = {93--110}, year = {2006}, url = {https://doi.org/10.1007/s10703-006-7842-x}, doi = {10.1007/S10703-006-7842-X}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fmsd/CarloniS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fteda/CarloniPPS06, author = {Luca P. Carloni and Roberto Passerone and Alessandro Pinto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Languages and Tools for Hybrid Systems Design}, journal = {Found. Trends Electron. Des. Autom.}, volume = {1}, number = {1/2}, year = {2006}, url = {https://doi.org/10.1561/1000000001}, doi = {10.1561/1000000001}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fteda/CarloniPPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/BoniventoCS06, author = {Alvise Bonivento and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Platform based design for wireless sensor networks}, journal = {Mob. Networks Appl.}, volume = {11}, number = {4}, pages = {469--485}, year = {2006}, url = {https://doi.org/10.1007/s11036-006-7194-1}, doi = {10.1007/S11036-006-7194-1}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/BoniventoCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/RabaeyBNNS06, author = {Jan M. Rabaey and Fernando De Bernardinis and Ali M. Niknejad and Borivoje Nikolic and Alberto L. Sangiovanni{-}Vincentelli}, title = {L. Embedding Mixed-Signal Design in Systems-on-Chip}, journal = {Proc. {IEEE}}, volume = {94}, number = {6}, pages = {1070--1088}, year = {2006}, url = {https://doi.org/10.1109/JPROC.2006.873609}, doi = {10.1109/JPROC.2006.873609}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/RabaeyBNNS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/UmansVS06, author = {Christopher Umans and Tiziano Villa and Alberto L. Sangiovanni{-}Vincentelli}, title = {Complexity of two-level logic minimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {7}, pages = {1230--1246}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2005.855944}, doi = {10.1109/TCAD.2005.855944}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/UmansVS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/PintoBSPS06, author = {Alessandro Pinto and Alvise Bonivento and Alberto L. Sangiovanni{-}Vincentelli and Roberto Passerone and Marco Sgroi}, title = {System level design paradigms: Platform-based design and communication synthesis}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {11}, number = {3}, pages = {537--563}, year = {2006}, url = {https://doi.org/10.1145/1142980.1142982}, doi = {10.1145/1142980.1142982}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/PintoBSPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/LiuKWSD06, author = {Cong Liu and Alex Kondratyev and Yosinori Watanabe and Alberto L. Sangiovanni{-}Vincentelli and J{\"{o}}rg Desel}, title = {Schedulability Analysis of Petri Nets Based on Structural Properties}, booktitle = {Sixth International Conference on Application of Concurrency to System Design {(ACSD} 2006), 28-30 June 2006, Turku, Finland}, pages = {69--78}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ACSD.2006.22}, doi = {10.1109/ACSD.2006.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/LiuKWSD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/KakitaWDDS06, author = {Shinjiro Kakita and Yosinori Watanabe and Douglas Densmore and Abhijit Davare and Alberto L. Sangiovanni{-}Vincentelli}, title = {Functional Model Exploration for Multimedia Applications via Algebraic Operators}, booktitle = {Sixth International Conference on Application of Concurrency to System Design {(ACSD} 2006), 28-30 June 2006, Turku, Finland}, pages = {229--238}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ACSD.2006.8}, doi = {10.1109/ACSD.2006.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/KakitaWDDS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adhs/Sangiovanni-Vincentelli06, author = {Alberto L. Sangiovanni{-}Vincentelli}, editor = {Christos G. Cassandras and Alessandro Giua and Carla Seatzu and Janan Zaytoon}, title = {Challenges and Opportunities for System Theory in Embedded controller Design}, booktitle = {2nd {IFAC} Conference on Analysis and Design of Hybrid Systems, {ADHS} 2006, Alghero, Italy, June 7-9, 2006}, series = {{IFAC} Proceedings Volumes}, volume = {39}, number = {5}, pages = {2--3}, publisher = {Elsevier}, year = {2006}, url = {https://doi.org/10.3182/20060607-3-IT-3902.00004}, doi = {10.3182/20060607-3-IT-3902.00004}, timestamp = {Fri, 12 Oct 2018 12:38:52 +0200}, biburl = {https://dblp.org/rec/conf/adhs/Sangiovanni-Vincentelli06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adhs/BalluchiBBVS06, author = {Andrea Balluchi and Luca Benvenuti and Maria Domenica Di Benedetto and Tiziano Villa and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Christos G. Cassandras and Alessandro Giua and Carla Seatzu and Janan Zaytoon}, title = {Idle Speed control - a Benchmark for Hybrid System Research1}, booktitle = {2nd {IFAC} Conference on Analysis and Design of Hybrid Systems, {ADHS} 2006, Alghero, Italy, June 7-9, 2006}, series = {{IFAC} Proceedings Volumes}, volume = {39}, number = {5}, pages = {259--264}, publisher = {Elsevier}, year = {2006}, url = {https://doi.org/10.3182/20060607-3-IT-3902.00047}, doi = {10.3182/20060607-3-IT-3902.00047}, timestamp = {Fri, 12 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/adhs/BalluchiBBVS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Sangiovanni-Vincentelli06, author = {Alberto L. Sangiovanni{-}Vincentelli}, editor = {Fumiyasu Hirose}, title = {Automotive electronics: steady growth for years to come!}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1145/1118299.1118301}, doi = {10.1145/1118299.1118301}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Sangiovanni-Vincentelli06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/BoniventoFS06, author = {Alvise Bonivento and Carlo Fischione and Alberto L. Sangiovanni{-}Vincentelli}, title = {Randomized protocol stack for ubiquitous networks in indoor environment}, booktitle = {3rd {IEEE} Consumer Communications and Networking Conference, {CCNC} 2006, Las Vegas, NV, USA, February 13, 2006}, pages = {152--156}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CCNC.2006.1593006}, doi = {10.1109/CCNC.2006.1593006}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/BoniventoFS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/FischioneBSSJ06, author = {Carlo Fischione and Alvise Bonivento and Alberto L. Sangiovanni{-}Vincentelli and Fortunato Santucci and Karl Henrik Johansson}, title = {Performance analysis of collaborative spatio-temporal processing for wireless sensor networks}, booktitle = {3rd {IEEE} Consumer Communications and Networking Conference, {CCNC} 2006, Las Vegas, NV, USA, February 13, 2006}, pages = {325--329}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CCNC.2006.1593040}, doi = {10.1109/CCNC.2006.1593040}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/FischioneBSSJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuKKS06, author = {Qi Zhu and Nathan Kitchen and Andreas Kuehlmann and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ellen Sentovich}, title = {{SAT} sweeping with local observability don't-cares}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {229--234}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146970}, doi = {10.1145/1146909.1146970}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhuKKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarculescuRS06, author = {Radu Marculescu and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {Is "Network" the next "Big Idea" in design?}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {254--256}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244112}, doi = {10.1109/DATE.2006.244112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarculescuRS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangCBHS06, author = {Guang Yang and Xi Chen and Felice Balarin and Harry Hsieh and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {Communication and co-simulation infrastructure for heterogeneous system integration}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {462--467}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243829}, doi = {10.1109/DATE.2006.243829}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangCBHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KanajanZPS06, author = {Sri Kanajan and Haibo Zeng and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {Exploring trade-off's between centralized versus decentralized automotive architectures using a virtual integration environment}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {548--553}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243895}, doi = {10.1109/DATE.2006.243895}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KanajanZPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DensmoreDS06, author = {Douglas Densmore and Adam Donlin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {{FPGA} architecture characterization for system level performance analysis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {734--739}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244092}, doi = {10.1109/DATE.2006.244092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DensmoreDS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BoniventoCS06, author = {Alvise Bonivento and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {Platform-based design of wireless sensor networks for industrial applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1103--1107}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243975}, doi = {10.1109/DATE.2006.243975}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BoniventoCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/GhosalSKHI06, author = {Arkadeb Ghosal and Alberto L. Sangiovanni{-}Vincentelli and Christoph M. Kirsch and Thomas A. Henzinger and Daniel T. Iercan}, editor = {Sang Lyul Min and Wang Yi}, title = {A hierarchical coordination language for interacting real-time tasks}, booktitle = {Proceedings of the 6th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2006, October 22-25, 2006, Seoul, Korea}, pages = {132--141}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176887.1176907}, doi = {10.1145/1176887.1176907}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/GhosalSKHI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/BenvenisteCCCST06, author = {Albert Benveniste and Beno{\^{\i}}t Caillaud and Luca P. Carloni and Paul Caspi and Alberto L. Sangiovanni{-}Vincentelli and Stavros Tripakis}, editor = {Sang Lyul Min and Wang Yi}, title = {Communication by sampling in time-sensitive distributed systems}, booktitle = {Proceedings of the 6th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2006, October 22-25, 2006, Seoul, Korea}, pages = {152--160}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176887.1176909}, doi = {10.1145/1176887.1176909}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/BenvenisteCCCST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BalluchiBMSS06, author = {Andrea Balluchi and Antonio Bicchi and Emanuele Mazzi and Alberto L. Sangiovanni{-}Vincentelli and Gabriele Serra}, editor = {Jo{\~{a}}o P. Hespanha and Ashish Tiwari}, title = {Hybrid Modelling and Control of the Common Rail Injection System}, booktitle = {Hybrid Systems: Computation and Control, 9th International Workshop, {HSCC} 2006, Santa Barbara, CA, USA, March 29-31, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3927}, pages = {79--92}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11730637\_9}, doi = {10.1007/11730637\_9}, timestamp = {Wed, 30 Aug 2023 16:03:58 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/BalluchiBMSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/PintoCPS06, author = {Alessandro Pinto and Luca P. Carloni and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jo{\~{a}}o P. Hespanha and Ashish Tiwari}, title = {Interchange Format for Hybrid Systems: Abstract Semantics}, booktitle = {Hybrid Systems: Computation and Control, 9th International Workshop, {HSCC} 2006, Santa Barbara, CA, USA, March 29-31, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3927}, pages = {491--506}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11730637\_37}, doi = {10.1007/11730637\_37}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/PintoCPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BernardinisNS06, author = {Fernando De Bernardinis and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Soha Hassoun}, title = {Robust system level design with analog platforms}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {334--341}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233569}, doi = {10.1145/1233501.1233569}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BernardinisNS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FazziMDZCRSG06, author = {Alberto Fazzi and Luca Magagni and Mario de Dominicis and Paolo Zoffoli and Roberto Canegallo and Pier Luigi Rolandi and Alberto L. Sangiovanni{-}Vincentelli and Roberto Guerrieri}, editor = {Soha Hassoun}, title = {Yield prediction for 3D capacitive interconnections}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {809--814}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233667}, doi = {10.1145/1233501.1233667}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FazziMDZCRSG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/ZhuDS06, author = {Qi Zhu and Abhijit Davare and Alberto L. Sangiovanni{-}Vincentelli}, title = {A semantic-driven synthesis flow for platform-based design}, booktitle = {4th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2006), 27-29 July 2006, Embassy Suites, Napa, California, {USA}}, pages = {199}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MEMCOD.2006.1695928}, doi = {10.1109/MEMCOD.2006.1695928}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/ZhuDS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/models/BertolinoBAS06, author = {Antonia Bertolino and Alvise Bonivento and Guglielmo De Angelis and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Oscar Nierstrasz and Jon Whittle and David Harel and Gianna Reggio}, title = {Modeling and Early Performance Estimation for Network Processor Applications}, booktitle = {Model Driven Engineering Languages and Systems, 9th International Conference, MoDELS 2006, Genova, Italy, October 1-6, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4199}, pages = {753--767}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11880240\_52}, doi = {10.1007/11880240\_52}, timestamp = {Tue, 24 May 2022 15:28:49 +0200}, biburl = {https://dblp.org/rec/conf/models/BertolinoBAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/MangerucaFS06, author = {Leonardo Mangeruca and Alberto Ferrari and Alberto L. Sangiovanni{-}Vincentelli}, title = {Uniprocessor Scheduling Under Precedence Constraints}, booktitle = {12th {IEEE} Real-Time and Embedded Technology and Applications Symposium {(RTAS} 2006), 4-7 April 2006, San Jose, California, {USA}}, pages = {157--166}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RTAS.2006.44}, doi = {10.1109/RTAS.2006.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/MangerucaFS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FischioneBJS06, author = {Carlo Fischione and Alvise Bonivento and Karl Henrik Johansson and Alberto L. Sangiovanni{-}Vincentelli}, title = {Cooperative Diversity with Disconnection Constraints and Sleep Discipline for Power Control in Wireless Sensor Networks}, booktitle = {Proceedings of the 63rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2006, 7-10 May 2006, Melbourne, Australia}, pages = {578--582}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/VETECS.2006.1682890}, doi = {10.1109/VETECS.2006.1682890}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FischioneBJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/AmirZSK05, author = {Arnon Amir and Lior Zimet and Alberto L. Sangiovanni{-}Vincentelli and Sean Kao}, title = {An embedded system for an eye-detection sensor}, journal = {Comput. Vis. Image Underst.}, volume = {98}, number = {1}, pages = {104--123}, year = {2005}, url = {https://doi.org/10.1016/j.cviu.2004.07.009}, doi = {10.1016/J.CVIU.2004.07.009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cviu/AmirZSK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-Vincentelli05, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {The importance of innovation in the economy of advanced countries}, journal = {{IEEE} Des. Test Comput.}, volume = {22}, number = {1}, pages = {14--16}, year = {2005}, url = {https://doi.org/10.1109/MDT.2005.23}, doi = {10.1109/MDT.2005.23}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-Vincentelli05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejcon/BalluchiBEGJLLMPSSS05, author = {Andrea Balluchi and Luca Benvenuti and Sebastian Engell and Tobias Geyer and Karl Henrik Johansson and Fran{\c{c}}oise Lamnabhi{-}Lagarrigue and John Lygeros and Manfred Morari and Georgios Papafotiou and Alberto L. Sangiovanni{-}Vincentelli and Fortunato Santucci and Olaf Stursberg}, title = {Hybrid Control of Networked Embedded Systems}, journal = {Eur. J. Control}, volume = {11}, number = {4-5}, pages = {478--508}, year = {2005}, url = {https://doi.org/10.3166/ejc.11.407-508}, doi = {10.3166/EJC.11.407-508}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejcon/BalluchiBEGJLLMPSSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamco/BrouckeBGS05, author = {Mireille E. Broucke and Maria Domenica Di Benedetto and Stefano Di Gennaro and Alberto L. Sangiovanni{-}Vincentelli}, title = {Efficient Solution of Optimal Control Problems Using Hybrid Systems}, journal = {{SIAM} J. Control. Optim.}, volume = {43}, number = {6}, pages = {1923--1952}, year = {2005}, url = {https://doi.org/10.1137/S0363012900383090}, doi = {10.1137/S0363012900383090}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamco/BrouckeBGS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigbed/Sangiovanni-VincentelliP05, author = {Alberto L. Sangiovanni{-}Vincentelli and Alessandro Pinto}, title = {Embedded system education: a new paradigm for engineering schools?}, journal = {{SIGBED} Rev.}, volume = {2}, number = {4}, pages = {5--14}, year = {2005}, url = {https://doi.org/10.1145/1121812.1121815}, doi = {10.1145/1121812.1121815}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigbed/Sangiovanni-VincentelliP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/PalopoliPBS05, author = {Luigi Palopoli and Claudio Pinello and Antonio Bicchi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Maximizing the stability radius of a set of systems under real-time scheduling constraints}, journal = {{IEEE} Trans. Autom. Control.}, volume = {50}, number = {11}, pages = {1790--1795}, year = {2005}, url = {https://doi.org/10.1109/TAC.2005.858639}, doi = {10.1109/TAC.2005.858639}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/PalopoliPBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/Burns05, author = {Alan Burns and Alberto L. Sangiovanni{-}Vincentelli}, title = {Editorial}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {4}, number = {3}, pages = {469--471}, year = {2005}, url = {https://doi.org/10.1145/1086519.1086520}, doi = {10.1145/1086519.1086520}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/Burns05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/Sangiovanni-VincentelliP05, author = {Alberto L. Sangiovanni{-}Vincentelli and Alessandro Pinto}, title = {An overview of embedded system design education at berkeley}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {4}, number = {3}, pages = {472--499}, year = {2005}, url = {https://doi.org/10.1145/1086519.1086521}, doi = {10.1145/1086519.1086521}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/Sangiovanni-VincentelliP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/CaspiSABBBCDEFGKLLLLMPPSSSTVWWWY05, author = {Paul Caspi and Alberto L. Sangiovanni{-}Vincentelli and Lu{\'{\i}}s Almeida and Albert Benveniste and Bruno Bouyssounouse and Giorgio C. Buttazzo and Ivica Crnkovic and Werner Damm and Jakob Engblom and Gerhard Fohler and Marisol Garc{\'{\i}}a{-}Valls and Hermann Kopetz and Yassine Lakhnech and Fran{\c{c}}ois Laroussinie and Luciano Lavagno and Giuseppe Lipari and Florence Maraninchi and Philipp Peti and Juan Antonio de la Puente and Norman Scaife and Joseph Sifakis and Robert de Simone and Martin T{\"{o}}rngren and Paulo Ver{\'{\i}}ssimo and Andy J. Wellings and Reinhard Wilhelm and Tim A. C. Willemse and Wang Yi}, title = {Guidelines for a graduate curriculum on embedded software and systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {4}, number = {3}, pages = {587--611}, year = {2005}, url = {https://doi.org/10.1145/1086519.1086526}, doi = {10.1145/1086519.1086526}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/CaspiSABBBCDEFGKLLLLMPPSSSTVWWWY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/ZhengCPKS05, author = {Wei Zheng and Jike Chong and Claudio Pinello and Sri Kanajan and Alberto L. Sangiovanni{-}Vincentelli}, title = {Extensible and Scalable Time Triggered Scheduling}, booktitle = {Fifth International Conference on Application of Concurrency to System Design {(ACSD} 2005), 6-9 June 2005, St. Malo, France}, pages = {132--141}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ACSD.2005.13}, doi = {10.1109/ACSD.2005.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/ZhengCPKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuanQVS05, author = {Lin Yuan and Gang Qu and Tiziano Villa and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Tingao Tang}, title = {{FSM} re-engineering and its application in low power state encoding}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {254--259}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120844}, doi = {10.1145/1120725.1120844}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YuanQVS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BalluchiBS05, author = {Andrea Balluchi and Luca Benvenuti and Alberto L. Sangiovanni{-}Vincentelli}, title = {Hybrid Systems in Automotive Electronics Design}, booktitle = {44th {IEEE} {IEEE} Conference on Decision and Control and 8th European Control Conference Control, {CDC/ECC} 2005, Seville, Spain, 12-15 December, 2005}, pages = {5618--5623}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CDC.2005.1583057}, doi = {10.1109/CDC.2005.1583057}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/BalluchiBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/AgostiniBBPSZ05, author = {Andrea Agostini and Andrea Balluchi and Antonio Bicchi and Benedetto Piccoli and Alberto L. Sangiovanni{-}Vincentelli and Katarzyna Zadarnowska}, title = {Randomized Algorithms for Platform-based Design}, booktitle = {44th {IEEE} {IEEE} Conference on Decision and Control and 8th European Control Conference Control, {CDC/ECC} 2005, Seville, Spain, 12-15 December, 2005}, pages = {6638--6643}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CDC.2005.1583228}, doi = {10.1109/CDC.2005.1583228}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/AgostiniBBPSZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LiBORS05, author = {Yanmei Li and Fernando De Bernardinis and Brian P. Otis and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {A low-power mixed-signal baseband system design for wireless sensor networks}, booktitle = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference, {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}, pages = {55--58}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CICC.2005.1568606}, doi = {10.1109/CICC.2005.1568606}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LiBORS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SztipanovitsGMRSWZ05, author = {Janos Sztipanovits and C. John Glossner and Trevor N. Mudge and Chris Rowen and Alberto L. Sangiovanni{-}Vincentelli and Wayne H. Wolf and Feng Zhao}, editor = {Petru Eles and Axel Jantsch and Reinaldo A. Bergamaschi}, title = {Grand challenges in embedded systems}, booktitle = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005, Jersey City, NJ, USA, September 19-21, 2005}, pages = {333}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1084834.1084916}, doi = {10.1145/1084834.1084916}, timestamp = {Wed, 24 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/SztipanovitsGMRSWZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenDHSW05, author = {Xi Chen and Abhijit Davare and Harry Hsieh and Alberto L. Sangiovanni{-}Vincentelli and Yosinori Watanabe}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Simulation based deadlock analysis for system level designs}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {260--265}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065647}, doi = {10.1145/1065579.1065647}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenDHSW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BernardinisNV05, author = {Fernando De Bernardinis and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Mixed signal design space exploration through analog platforms}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {875--880}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065808}, doi = {10.1145/1065579.1065808}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BernardinisNV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sangiovanni-Vincentelli05, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Integrated Electronics in the Car and the Design Chain Evolution or Revolution?}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {532--533}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.185}, doi = {10.1109/DATE.2005.185}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Sangiovanni-Vincentelli05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaleaniFMSFSW05, author = {Massimo Baleani and Alberto Ferrari and Leonardo Mangeruca and Alberto L. Sangiovanni{-}Vincentelli and Ulrich Freund and Erhard Schlenker and Hans{-}J{\"{o}}rg Wolff}, title = {Correct-by-Construction Transformations across Design Environments for Model-Based Embedded Software Development}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {1044--1049}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.105}, doi = {10.1109/DATE.2005.105}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaleaniFMSFSW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecbs/McKelvinSPS05, author = {Mark L. McKelvin Jr. and Jonathan Sprinkle and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli}, title = {Fault Tolerant Data Flow Modeling Using the Generic Modeling Environment}, booktitle = {12th {IEEE} International Conference on the Engineering of Computer-Based Systems {(ECBS} 2005), 4-7 April 2005, Greenbelt, MD, {USA}}, pages = {229--235}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ECBS.2005.38}, doi = {10.1109/ECBS.2005.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecbs/McKelvinSPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/LiuKWS05, author = {Cong Liu and Alex Kondratyev and Yosinori Watanabe and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Wayne H. Wolf}, title = {A structural approach to quasi-static schedulability analysis of communicating concurrent programs}, booktitle = {{EMSOFT} 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th {ACM} International Conference On Embedded Software, Proceedings}, pages = {10--16}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1086228.1086231}, doi = {10.1145/1086228.1086231}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/LiuKWS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/BoniventoCS05, author = {Alvise Bonivento and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Wayne H. Wolf}, title = {Rialto: a bridge between description and implementation of control algorithms for wireless sensor networks}, booktitle = {{EMSOFT} 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th {ACM} International Conference On Embedded Software, Proceedings}, pages = {183--186}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1086228.1086262}, doi = {10.1145/1086228.1086262}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/BoniventoCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/BaleaniFMS05, author = {Massimo Baleani and Alberto Ferrari and Leonardo Mangeruca and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Wayne H. Wolf}, title = {Efficient embedded software design with synchronous models}, booktitle = {{EMSOFT} 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th {ACM} International Conference On Embedded Software, Proceedings}, pages = {187--190}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1086228.1086263}, doi = {10.1145/1086228.1086263}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/BaleaniFMS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/McKelvinEPKS05, author = {Mark L. McKelvin Jr. and Gabriel Eirea and Claudio Pinello and Sri Kanajan and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Wayne H. Wolf}, title = {A formal approach to fault tree synthesis for the analysis of distributed fault tolerant systems}, booktitle = {{EMSOFT} 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th {ACM} International Conference On Embedded Software, Proceedings}, pages = {237--246}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1086228.1086272}, doi = {10.1145/1086228.1086272}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/McKelvinEPKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/BenvenisteCCS05, author = {Albert Benveniste and Beno{\^{\i}}t Caillaud and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Wayne H. Wolf}, title = {Tag machines}, booktitle = {{EMSOFT} 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th {ACM} International Conference On Embedded Software, Proceedings}, pages = {255--263}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1086228.1086276}, doi = {10.1145/1086228.1086276}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/BenvenisteCCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/DavareZMS05, author = {Abhijit Davare and Qi Zhu and John Moondanos and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Miguel Miranda and Soonhoi Ha}, title = {{JPEG} Encoding on the Intel {MXP5800:} {A} Platform-Based Design Case Study}, booktitle = {Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2005, September 22-23, 2005, New York Metropolitan Area, {USA}}, pages = {89--94}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ESTMED.2005.1518081}, doi = {10.1109/ESTMED.2005.1518081}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/DavareZMS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BalluchiMS05, author = {Andrea Balluchi and Pierpaolo Murrieri and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Manfred Morari and Lothar Thiele}, title = {Controller Synthesis on Non-uniform and Uncertain Discrete-Time Domains}, booktitle = {Hybrid Systems: Computation and Control, 8th International Workshop, {HSCC} 2005, Zurich, Switzerland, March 9-11, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3414}, pages = {118--133}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-31954-2\_8}, doi = {10.1007/978-3-540-31954-2\_8}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/BalluchiMS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/PintoSCP05, author = {Alessandro Pinto and Alberto L. Sangiovanni{-}Vincentelli and Luca P. Carloni and Roberto Passerone}, editor = {Manfred Morari and Lothar Thiele}, title = {Interchange Formats for Hybrid Systems: Review and Proposal}, booktitle = {Hybrid Systems: Computation and Control, 8th International Workshop, {HSCC} 2005, Zurich, Switzerland, March 9-11, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3414}, pages = {526--541}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-31954-2\_34}, doi = {10.1007/978-3-540-31954-2\_34}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/PintoSCP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BernardinisV05, author = {Fernando De Bernardinis and Alberto L. Sangiovanni{-}Vincentelli}, title = {Efficient analog platform characterization through analog constraint graphs}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {415--421}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560104}, doi = {10.1109/ICCAD.2005.1560104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BernardinisV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BernardinisNTS05, author = {Fernando De Bernardinis and Pierluigi Nuzzo and Pierangelo Terreni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Enriching an analog platform for analog-to-digital converter design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1286--1289}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464830}, doi = {10.1109/ISCAS.2005.1464830}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BernardinisNTS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/BoniventoFSGS05, author = {Alvise Bonivento and Carlo Fischione and Alberto L. Sangiovanni{-}Vincentelli and Fabio Graziosi and Fortunato Santucci}, title = {{SERAN:} a semi random protocol solution for clustered wireless sensor networks}, booktitle = {{IEEE} 2nd International Conference on Mobile Adhoc and Sensor Systems, {MASS} 2005, November 7-10, 2005, The City Center Hotel, Washington, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MAHSS.2005.1542819}, doi = {10.1109/MAHSS.2005.1542819}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mass/BoniventoFSGS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/BalarinPPS05, author = {Felice Balarin and Roberto Passerone and Alessandro Pinto and Alberto L. Sangiovanni{-}Vincentelli}, title = {A formal approach to system level design: metamodels and unified design environments}, booktitle = {3rd {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2005), 11-14 July 2005, Verona, Italy, Proceedings}, pages = {155--163}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MEMCOD.2005.1487909}, doi = {10.1109/MEMCOD.2005.1487909}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/BalarinPPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/crc/IIR2005/CarloniBSS05, author = {Luca P. Carloni and Fernando De Bernardinis and Alberto L. Sangiovanni{-}Vincentelli and Marco Sgroi}, editor = {Richard Zurawski}, title = {Platform-Based and Derivative Design}, booktitle = {The Industrial Information Technology Handbook}, pages = {1--15}, publisher = {{CRC} Press}, year = {2005}, timestamp = {Wed, 09 Mar 2005 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/crc/IIR2005/CarloniBSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/05/SgroiWSR05, author = {Marco Sgroi and Adam Wolisz and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, editor = {Werner Weber and Jan M. Rabaey and Emile H. L. Aarts}, title = {A Service-Based Universal Application Interface for Ad Hoc Wireless Sensor and Actuator Networks}, booktitle = {Ambient Intelligence}, pages = {149--172}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/3-540-27139-2\_8}, doi = {10.1007/3-540-27139-2\_8}, timestamp = {Tue, 12 May 2020 13:59:45 +0200}, biburl = {https://dblp.org/rec/books/sp/05/SgroiWSR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/crc/SgroiSBPC05, author = {Marco Sgroi and Alberto L. Sangiovanni{-}Vincentelli and Fernando De Bernardinis and Claudio Pinello and Luca P. Carloni}, editor = {Richard Zurawski}, title = {Platform-Based Design for Embedded Systems}, booktitle = {Embedded Systems Handbook}, publisher = {{CRC} Press}, year = {2005}, url = {https://doi.org/10.1201/9781420038163.ch22}, doi = {10.1201/9781420038163.CH22}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/crc/SgroiSBPC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NardiS04, author = {Alessandra Nardi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Logic Synthesis for Manufacturability}, journal = {{IEEE} Des. Test Comput.}, volume = {21}, number = {3}, pages = {192--199}, year = {2004}, url = {https://doi.org/10.1109/MDT.2004.15}, doi = {10.1109/MDT.2004.15}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NardiS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KhatriSBS04, author = {Sunil P. Khatri and Subarnarekha Sinha and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {SPFD-based wire removal in standard-cell and network-of-PLA circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {7}, pages = {1020--1030}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.829821}, doi = {10.1109/TCAD.2004.829821}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KhatriSBS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/CasagrandeBBPVS04, author = {Alberto Casagrande and Andrea Balluchi and Luca Benvenuti and Alberto Policriti and Tiziano Villa and Alberto L. Sangiovanni{-}Vincentelli}, title = {Improving reachability analysis of hybrid automata for engine control}, booktitle = {43rd {IEEE} Conference on Decision and Control, {CDC} 2004, Nassau, Bahamas, December 14-17, 2004}, pages = {2322--2327}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/CDC.2004.1428739}, doi = {10.1109/CDC.2004.1428739}, timestamp = {Fri, 04 Mar 2022 13:24:31 +0100}, biburl = {https://dblp.org/rec/conf/cdc/CasagrandeBBPVS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/MostennanCBKS04, author = {Pieter Mostennan and Michael Clune and Bert van Beek and Bruce H. Krogh and Alberto L. Sangiovanni{-}Vincentelli}, title = {Challenges and solution techniques for hybrid simulation}, booktitle = {43rd {IEEE} Conference on Decision and Control, {CDC} 2004, Nassau, Bahamas, December 14-17, 2004}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/CDC.2004.1430182}, doi = {10.1109/CDC.2004.1430182}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/MostennanCBKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Sangiovanni-VincentelliCBS04, author = {Alberto L. Sangiovanni{-}Vincentelli and Luca P. Carloni and Fernando De Bernardinis and Marco Sgroi}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Benefits and challenges for platform-based design}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {409--414}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996684}, doi = {10.1145/996566.996684}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/Sangiovanni-VincentelliCBS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DavareLKS04, author = {Abhijit Davare and Kelvin Lwin and Alex Kondratyev and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {The best of both worlds: the efficient asynchronous implementation of synchronous specifications}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {588--591}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996727}, doi = {10.1145/996566.996727}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DavareLKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DensmoreRS04, author = {Douglas Densmore and Sanjay Rekhi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Microarchitecture Development via Metropolis Successive Platform Refinement}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {346--351}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268871}, doi = {10.1109/DATE.2004.1268871}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DensmoreRS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BernardinisS04, author = {Fernando De Bernardinis and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Methodology for System-Level Analog Design Space Exploration}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {676--677}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268926}, doi = {10.1109/DATE.2004.1268926}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BernardinisS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NardiS04, author = {Alessandra Nardi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis for Manufacturability: {A} Sanity Check}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {796--803}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268978}, doi = {10.1109/DATE.2004.1268978}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NardiS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PinelloCS04, author = {Claudio Pinello and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {1164--1169}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1269049}, doi = {10.1109/DATE.2004.1269049}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PinelloCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/YangSWB04, author = {Guang Yang and Alberto L. Sangiovanni{-}Vincentelli and Yosinori Watanabe and Felice Balarin}, editor = {Giorgio C. Buttazzo}, title = {Separation of concerns: overhead in modeling and efficient simulation techniques}, booktitle = {{EMSOFT} 2004, September 27-29, 2004, Pisa, Italy, Fourth {ACM} International Conference On Embedded Software, Proceedings}, pages = {44--53}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1017753.1017765}, doi = {10.1145/1017753.1017765}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/YangSWB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/PasseroneBS04, author = {Roberto Passerone and Jerry R. Burch and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Giorgio C. Buttazzo}, title = {Conservative approximations for heterogeneous design}, booktitle = {{EMSOFT} 2004, September 27-29, 2004, Pisa, Italy, Fourth {ACM} International Conference On Embedded Software, Proceedings}, pages = {155--164}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1017753.1017781}, doi = {10.1145/1017753.1017781}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/PasseroneBS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/BenvenisteCCCS04, author = {Albert Benveniste and Beno{\^{\i}}t Caillaud and Luca P. Carloni and Paul Caspi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Giorgio C. Buttazzo}, title = {Heterogeneous reactive systems modeling: capturing causality and the correctness of loosely time-triggered architectures {(LTTA)}}, booktitle = {{EMSOFT} 2004, September 27-29, 2004, Pisa, Italy, Fourth {ACM} International Conference On Embedded Software, Proceedings}, pages = {220--229}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1017753.1017790}, doi = {10.1145/1017753.1017790}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/BenvenisteCCCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BalluchiNSS04, author = {Andrea Balluchi and Federico Di Natale and Alberto L. Sangiovanni{-}Vincentelli and Jan H. van Schuppen}, editor = {Rajeev Alur and George J. Pappas}, title = {Synthesis for Idle Speed Control of an Automotive Engine}, booktitle = {Hybrid Systems: Computation and Control, 7th International Workshop, {HSCC} 2004, Philadelphia, PA, USA, March 25-27, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2993}, pages = {80--94}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24743-2\_6}, doi = {10.1007/978-3-540-24743-2\_6}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/BalluchiNSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/GreunenPBRRS04, author = {Jana van Greunen and Dragan Petrovic and Alvise Bonivento and Jan M. Rabaey and Kannan Ramchandran and Alberto L. Sangiovanni{-}Vincentelli}, title = {Adaptive sleep discipline for energy conservation and robustness in dense sensor networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2004, Paris, France, 20-24 June 2004}, pages = {3657--3662}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICC.2004.1313225}, doi = {10.1109/ICC.2004.1313225}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/GreunenPBRRS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/crc/04/KoushanfarPS04, author = {Farinaz Koushanfar and Miodrag Potkonjak and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Mohammad Ilyas and Imad Mahgoub}, title = {Fault Tolerance in Wireless Sensor Networks}, booktitle = {Handbook of Sensor Networks}, publisher = {{CRC} Press}, year = {2004}, url = {https://doi.org/10.1201/9780203489635.ch36}, doi = {10.1201/9780203489635.CH36}, timestamp = {Tue, 21 Mar 2023 20:50:54 +0100}, biburl = {https://dblp.org/rec/books/crc/04/KoushanfarPS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/BenvenutiBGS03, author = {Luca Benvenuti and Maria Domenica Di Benedetto and Stefano Di Gennaro and Alberto L. Sangiovanni{-}Vincentelli}, title = {Individual cylinder characteristic estimation for a spark injection engine}, journal = {Autom.}, volume = {39}, number = {7}, pages = {1157--1169}, year = {2003}, url = {https://doi.org/10.1016/S0005-1098(03)00077-3}, doi = {10.1016/S0005-1098(03)00077-3}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/BenvenutiBGS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/BalarinWHLPS03, author = {Felice Balarin and Yosinori Watanabe and Harry Hsieh and Luciano Lavagno and Claudio Passerone and Alberto L. Sangiovanni{-}Vincentelli}, title = {Metropolis: An Integrated Electronic System Design Environment}, journal = {Computer}, volume = {36}, number = {4}, pages = {45--52}, year = {2003}, url = {https://doi.org/10.1109/MC.2003.1193228}, doi = {10.1109/MC.2003.1193228}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/BalarinWHLPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-Vincentelli03, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {{DAC} Turns 40!}, journal = {{IEEE} Des. Test Comput.}, volume = {20}, number = {3}, pages = {90--96}, year = {2003}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-Vincentelli03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-Vincentelli03a, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {The Tides of {EDA}}, journal = {{IEEE} Des. Test Comput.}, volume = {20}, number = {6}, pages = {59--75}, year = {2003}, url = {https://doi.org/10.1109/MDT.2003.1246165}, doi = {10.1109/MDT.2003.1246165}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-Vincentelli03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/Sangiovanni-VincentelliL03, author = {Alberto L. Sangiovanni{-}Vincentelli and Luciano Lavagno}, title = {Guest Editors' Introduction: Trends and Directions in Microelectronics}, journal = {{IEEE} Micro}, volume = {23}, number = {3}, pages = {6--7}, year = {2003}, url = {https://doi.org/10.1109/MM.2003.1209461}, doi = {10.1109/MM.2003.1209461}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/Sangiovanni-VincentelliL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/Sangiovanni-Vincentelli03, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Electronic-System Design in the Automobile Industry}, journal = {{IEEE} Micro}, volume = {23}, number = {3}, pages = {8--18}, year = {2003}, url = {https://doi.org/10.1109/MM.2003.1209462}, doi = {10.1109/MM.2003.1209462}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/Sangiovanni-Vincentelli03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/HorowitzLMKSS03, author = {Benjamin Horowitz and Judith Liebman and Cedric Ma and Tak{-}John Koo and Alberto L. Sangiovanni{-}Vincentelli and Shankar Sastry}, title = {Platform-based embedded software design and system integration for autonomous vehicles}, journal = {Proc. {IEEE}}, volume = {91}, number = {1}, pages = {198--211}, year = {2003}, url = {https://doi.org/10.1109/JPROC.2002.805827}, doi = {10.1109/JPROC.2002.805827}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/HorowitzLMKSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/AlbertoniBCGMS03, author = {Leonardo Albertoni and Andrea Balluchi and Alessandro Casavola and Claudio Gambelli and Edoardo Mosca and Alberto L. Sangiovanni{-}Vincentelli}, title = {Hybrid command governors for idle speed control in gasoline direct injection engines}, booktitle = {American Control Conference, {ACC} 2003, Denver, CO, USA, June 4-6 2003}, pages = {773--778}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ACC.2003.1239115}, doi = {10.1109/ACC.2003.1239115}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/AlbertoniBCGMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/BaleaniFMSPP03, author = {Massimo Baleani and Alberto Ferrari and Leonardo Mangeruca and Alberto L. Sangiovanni{-}Vincentelli and Maurizio Peri and Saverio Pezzini}, editor = {Jaime H. Moreno and Praveen K. Murthy and Thomas M. Conte and Paolo Faraboschi}, title = {Fault-tolerant platforms for automotive safety-critical applications}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California, USA, October 30 - November 1, 2003}, pages = {170--177}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/951710.951734}, doi = {10.1145/951710.951734}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/BaleaniFMSPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ZoncuBSB03, author = {Marco Zoncu and Andrea Balluchi and Alberto L. Sangiovanni{-}Vincentelli and Antonio Bicchi}, title = {On the stabilization of linear discrete-time hybrid automata}, booktitle = {42nd {IEEE} Conference on Decision and Control, {CDC} 2003, Maui, Hawaii, USA, December 9-12, 2003}, pages = {1147--1152}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CDC.2003.1272762}, doi = {10.1109/CDC.2003.1272762}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ZoncuBSB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BalluchiBBS03, author = {Andrea Balluchi and Luca Benvenuti and Maria Domenica Di Benedetto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Observability for hybrid systems}, booktitle = {42nd {IEEE} Conference on Decision and Control, {CDC} 2003, Maui, Hawaii, USA, December 9-12, 2003}, pages = {1159--1164}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CDC.2003.1272764}, doi = {10.1109/CDC.2003.1272764}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/BalluchiBBS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/CarloniS03, author = {Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rajesh Gupta and Yukihiro Nakamura and Alex Orailoglu and Pai H. Chou}, title = {On-chip communication design: roadblocks and avenues}, booktitle = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003, Newport Beach, CA, USA, October 1-3, 2003}, pages = {75--76}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/944645.944666}, doi = {10.1145/944645.944666}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/CarloniS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MeyerowitzPS03, author = {Trevor Meyerowitz and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli}, title = {A tool for describing and evaluating hierarchical real-time bus scheduling policies}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {312--317}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775913}, doi = {10.1145/775832.775913}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MeyerowitzPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BernardinisJS03, author = {Fernando De Bernardinis and Michael I. Jordan and Alberto L. Sangiovanni{-}Vincentelli}, title = {Support vector machines for analog circuit performance representation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {964--969}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776074}, doi = {10.1145/775832.776074}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BernardinisJS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YevtushenkoVBPS03, author = {Nina Yevtushenko and Tiziano Villa and Robert K. Brayton and Alexandre Petrenko and Alberto L. Sangiovanni{-}Vincentelli}, title = {Equisolvability of Series vs. Controller's Topology in Synchronous Language Equations}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {11154--11155}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10108}, doi = {10.1109/DATE.2003.10108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YevtushenkoVBPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MangerucaFSPP03, author = {Leonardo Mangeruca and Alberto Ferrari and Alberto L. Sangiovanni{-}Vincentelli and Andrea Pierantoni and Michele Pennese}, title = {System Level Design of Embedded Controllers: Knock Detection, {A} Case Study in the Automotive Domain}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {20232--20237}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DATE.2003.1186700}, doi = {10.1109/DATE.2003.1186700}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MangerucaFSPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/BenvenisteCCS03, author = {Albert Benveniste and Luca P. Carloni and Paul Caspi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rajeev Alur and Insup Lee}, title = {Heterogeneous Reactive Systems Modeling and Correct-by-Construction Deployment}, booktitle = {Embedded Software, Third International Conference, {EMSOFT} 2003, Philadelphia, PA, USA, October 13-15, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2855}, pages = {35--50}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45212-6\_4}, doi = {10.1007/978-3-540-45212-6\_4}, timestamp = {Thu, 26 Jan 2023 14:05:53 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/BenvenisteCCS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmco/BenvenisteCCCS03, author = {Albert Benveniste and Beno{\^{\i}}t Caillaud and Luca P. Carloni and Paul Caspi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Frank S. de Boer and Marcello M. Bonsangue and Susanne Graf and Willem P. de Roever}, title = {Causality and Scheduling Constraints in Heterogeneous Reactive Systems Modeling}, booktitle = {Formal Methods for Components and Objects, Second International Symposium, {FMCO} 2003, Leiden, The Netherlands, November 4-7, 2003, Revised Lectures}, series = {Lecture Notes in Computer Science}, volume = {3188}, pages = {1--16}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-30101-1\_1}, doi = {10.1007/978-3-540-30101-1\_1}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fmco/BenvenisteCCCS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NardiZGDS03, author = {Alessandra Nardi and Haibo Zeng and Joshua L. Garrett and Luca Daniel and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Methodology for the Computation of an Upper Bound on Nose Current Spectrum of {CMOS} Switching Activity}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {778--785}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257897}, doi = {10.1109/ICCAD.2003.1257897}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/NardiZGDS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PintoCS03, author = {Alessandro Pinto and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Efficient Synthesis of Networks On Chip}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, pages = {146--150}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICCD.2003.1240887}, doi = {10.1109/ICCD.2003.1240887}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PintoCS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangKS03, author = {Guoqiang Wang and Andreas Kuehlmann and Alberto L. Sangiovanni{-}Vincentelli}, title = {Structural Detection of Symmetries in Boolean Functions}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, pages = {498--503}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICCD.2003.1240946}, doi = {10.1109/ICCD.2003.1240946}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangKS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KoushanfarDNPS03, author = {Farinaz Koushanfar and Abhijit Davare and Dai Tho Nguyen and Miodrag Potkonjak and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ingrid Verbauwhede and Hyung Roh}, title = {Low power coordination in wireless ad-hoc networks}, booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, pages = {475--480}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/871506.871623}, doi = {10.1145/871506.871623}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/KoushanfarDNPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/CarloniS03, author = {Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Combining Retiming and Recycling to Optimize the Performance of Synchronous Circuits}, booktitle = {Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003}, pages = {47--52}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/SBCCI.2003.1232805}, doi = {10.1109/SBCCI.2003.1232805}, timestamp = {Fri, 17 Jun 2022 15:49:04 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/CarloniS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/03/ChenSLMSR03, author = {Rong Chen and Marco Sgroi and Luciano Lavagno and Grant Martin and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, editor = {Luciano Lavagno and Grant Martin and Bran Selic}, title = {{UML} and Platform-based Design}, booktitle = {{UML} for Real - Design of Embedded Real-Time Systems}, pages = {107--126}, publisher = {Kluwer}, year = {2003}, url = {https://doi.org/10.1007/0-306-48738-1\_5}, doi = {10.1007/0-306-48738-1\_5}, timestamp = {Tue, 20 Aug 2019 14:51:08 +0200}, biburl = {https://dblp.org/rec/books/sp/03/ChenSLMSR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/AzizSSBS02, author = {Adnan Aziz and Thomas R. Shiple and Vigyan Singhal and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Formula-Dependent Equivalence for Compositional {CTL} Model Checking}, journal = {Formal Methods Syst. Des.}, volume = {21}, number = {2}, pages = {193--224}, year = {2002}, url = {https://doi.org/10.1023/A:1016043502772}, doi = {10.1023/A:1016043502772}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fmsd/AzizSSBS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/CarloniS02, author = {Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Coping with Latency in {SOC} Design}, journal = {{IEEE} Micro}, volume = {22}, number = {5}, pages = {24--35}, year = {2002}, url = {https://doi.org/10.1109/MM.2002.1044297}, doi = {10.1109/MM.2002.1044297}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/CarloniS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ac/BalarinLPSSW02, author = {Felice Balarin and Luciano Lavagno and Claudio Passerone and Alberto L. Sangiovanni{-}Vincentelli and Marco Sgroi and Yosinori Watanabe}, editor = {Jordi Cortadella and Alexandre Yakovlev and Grzegorz Rozenberg}, title = {Modeling and Designing Heterogeneous Systems}, booktitle = {Concurrency and Hardware Design, Advances in Petri Nets}, series = {Lecture Notes in Computer Science}, volume = {2549}, pages = {228--273}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36190-1\_7}, doi = {10.1007/3-540-36190-1\_7}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ac/BalarinLPSSW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BalluchiBBFGS02, author = {Andrea Balluchi and Luca Beradi and Maria Domenica Di Benedetto and Alberto Ferrari and Giovanni Girasole and Alberto L. Sangiovanni{-}Vincentelli}, title = {Integrated control-implementation design}, booktitle = {41st {IEEE} Conference on Decision and Control, {CDC} 2002, Las Vegas, NV, USA, December 10-13, 2002}, pages = {1337--1342}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/CDC.2002.1184701}, doi = {10.1109/CDC.2002.1184701}, timestamp = {Tue, 08 Mar 2022 11:46:48 +0100}, biburl = {https://dblp.org/rec/conf/cdc/BalluchiBBFGS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/PalopoliBS02, author = {Luigi Palopoli and Antonio Bicchi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Numerically efficient control of systems with communication constraints}, booktitle = {41st {IEEE} Conference on Decision and Control, {CDC} 2002, Las Vegas, NV, USA, December 10-13, 2002}, pages = {1626--1631}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/CDC.2002.1184752}, doi = {10.1109/CDC.2002.1184752}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/PalopoliBS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BalarinLPSWY02, author = {Felice Balarin and Luciano Lavagno and Claudio Passerone and Alberto L. Sangiovanni{-}Vincentelli and Yosinori Watanabe and Guang Yang}, editor = {J{\"{o}}rg Henkel and Xiaobo Sharon Hu and Rajesh Gupta and Sri Parameswaran}, title = {Concurrent execution semantics and sequential simulation algorithms for the metropolis meta-model}, booktitle = {Proceedings of the Tenth International Symposium on Hardware/Software Codesign, {CODES} 2002, Estes Park, Colorado, USA, May 6-8, 2002}, pages = {13--18}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/774789.774793}, doi = {10.1145/774789.774793}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/BalarinLPSWY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BaleaniGJPBS02, author = {Massimo Baleani and Frank Gennari and Yunjian Jiang and Yatish Patel and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {J{\"{o}}rg Henkel and Xiaobo Sharon Hu and Rajesh Gupta and Sri Parameswaran}, title = {{HW/SW} partitioning and code generation of embedded control applications on a reconfigurable architecture platform}, booktitle = {Proceedings of the Tenth International Symposium on Hardware/Software Codesign, {CODES} 2002, Estes Park, Colorado, USA, May 6-8, 2002}, pages = {151--156}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/774789.774820}, doi = {10.1145/774789.774820}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/BaleaniGJPBS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PintoCS02, author = {Alessandro Pinto and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Constraint-driven communication synthesis}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {783--788}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514114}, doi = {10.1145/513918.514114}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PintoCS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/KooLMHSS02, author = {Tak{-}John Koo and Judith Liebman and Cedric Ma and Benjamin Horowitz and Alberto L. Sangiovanni{-}Vincentelli and Shankar Sastry}, editor = {Alberto L. Sangiovanni{-}Vincentelli and Joseph Sifakis}, title = {Platform-Based Embedded Software Design for Multi-vehicle Multi-modal Systems}, booktitle = {Embedded Software, Second International Conference, {EMSOFT} 2002, Grenoble, France, October 7-9, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2491}, pages = {32--45}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45828-X\_4}, doi = {10.1007/3-540-45828-X\_4}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/KooLMHSS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/GosslerS02, author = {Gregor G{\"{o}}{\ss}ler and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alberto L. Sangiovanni{-}Vincentelli and Joseph Sifakis}, title = {Compositional Modeling in Metropolis}, booktitle = {Embedded Software, Second International Conference, {EMSOFT} 2002, Grenoble, France, October 7-9, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2491}, pages = {93--107}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45828-X\_8}, doi = {10.1007/3-540-45828-X\_8}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/GosslerS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/BaleaniCFFS02, author = {Massimo Baleani and Massimo Conti and Alberto Ferrari and Valerio Frascolla and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Manfred Glesner and Peter Zipf and Michel Renovell}, title = {An Enhanced {POLIS} Framework for Fast Exploration and Implementation of {I/O} Subsystems on CSoC Platforms}, booktitle = {Field-Programmable Logic and Applications, Reconfigurable Computing Is Going Mainstream, 12th International Conference, {FPL} 2002, Montpellier, France, September 2-4, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2438}, pages = {677--686}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-46117-5\_70}, doi = {10.1007/3-540-46117-5\_70}, timestamp = {Sat, 30 Sep 2023 09:41:27 +0200}, biburl = {https://dblp.org/rec/conf/fpl/BaleaniCFFS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BalluchiBBS02, author = {Andrea Balluchi and Luca Benvenuti and Maria Domenica Di Benedetto and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Claire J. Tomlin and Mark R. Greenstreet}, title = {Design of Observers for Hybrid Systems}, booktitle = {Hybrid Systems: Computation and Control, 5th International Workshop, {HSCC} 2002, Stanford, CA, USA, March 25-27, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2289}, pages = {76--89}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45873-5\_9}, doi = {10.1007/3-540-45873-5\_9}, timestamp = {Thu, 26 Nov 2020 09:12:16 +0100}, biburl = {https://dblp.org/rec/conf/hybrid/BalluchiBBS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/PalopoliPSEB02, author = {Luigi Palopoli and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli and Laurent Elghaoui and Antonio Bicchi}, editor = {Claire J. Tomlin and Mark R. Greenstreet}, title = {Synthesis of Robust Control Systems under Resource Constraints}, booktitle = {Hybrid Systems: Computation and Control, 5th International Workshop, {HSCC} 2002, Stanford, CA, USA, March 25-27, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2289}, pages = {337--350}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45873-5\_27}, doi = {10.1007/3-540-45873-5\_27}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/PalopoliPSEB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PasseroneAHS02, author = {Roberto Passerone and Luca de Alfaro and Thomas A. Henzinger and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Convertibility verification and converter synthesis: two faces of the same coin}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {132--139}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774592}, doi = {10.1145/774572.774592}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PasseroneAHS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DanielSW02, author = {Luca Daniel and Alberto L. Sangiovanni{-}Vincentelli and Jacob K. White}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Proximity templates for modeling of skin and proximity effects on packages and high frequency interconnect}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {326--333}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774621}, doi = {10.1145/774572.774621}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DanielSW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GiustoBFFLS02, author = {Paolo Giusto and Jean{-}Yves Brunel and Alberto Ferrari and Eliane Fourgeau and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Automotive Virtual Integration Platforms: Why's, What's, and How's}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {370--378}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106796}, doi = {10.1109/ICCD.2002.1106796}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GiustoBFFLS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GiustoBFFLOSG02, author = {Paolo Giusto and Jean{-}Yves Brunel and Alberto Ferrari and Eliane Fourgeau and Luciano Lavagno and Barry O'Rourke and Alberto L. Sangiovanni{-}Vincentelli and Emanuele Guasto}, title = {Models of IP's for Automotive Virtual Integration Platforms}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {379}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106797}, doi = {10.1109/ICCD.2002.1106797}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GiustoBFFLOSG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwls/YevtushenkoVBPS02, author = {Nina Yevtushenko and Tiziano Villa and Robert K. Brayton and Alexandre Petrenko and Alberto L. Sangiovanni{-}Vincentelli}, title = {Equisolvability of Series vs. Controller's Topology in Synchronous Language Equations}, booktitle = {11th {IEEE/ACM} International Workshop on Logic {\&} Synthesis, {IWLS} 2002, June 4-7, 2002, New Orleans, Louisiana, {USA}}, pages = {45--50}, year = {2002}, timestamp = {Tue, 15 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwls/YevtushenkoVBPS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emsoft/2002, editor = {Alberto L. Sangiovanni{-}Vincentelli and Joseph Sifakis}, title = {Embedded Software, Second International Conference, {EMSOFT} 2002, Grenoble, France, October 7-9, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2491}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45828-X}, doi = {10.1007/3-540-45828-X}, isbn = {3-540-44307-X}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-VincentelliM01, author = {Alberto L. Sangiovanni{-}Vincentelli and Grant Martin}, title = {Platform-Based Design and Software Design Methodology for Embedded Systems}, journal = {{IEEE} Des. Test Comput.}, volume = {18}, number = {6}, pages = {23--33}, year = {2001}, url = {https://doi.org/10.1109/54.970421}, doi = {10.1109/54.970421}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-VincentelliM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/BryantCKKMNPRS01, author = {Randal E. Bryant and Kwang{-}Ting Cheng and Andrew B. Kahng and Kurt Keutzer and Wojciech Maly and A. Richard Newton and Lawrence T. Pileggi and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {Limitations and challenges of computer-aided design technology for {CMOS} {VLSI}}, journal = {Proc. {IEEE}}, volume = {89}, number = {3}, pages = {341--365}, year = {2001}, url = {https://doi.org/10.1109/5.915378}, doi = {10.1109/5.915378}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/BryantCKKMNPRS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/BenedettoSV01, author = {Maria Domenica Di Benedetto and Alberto L. Sangiovanni{-}Vincentelli and Tiziano Villa}, title = {Model matching for finite-state machines}, journal = {{IEEE} Trans. Autom. Control.}, volume = {46}, number = {11}, pages = {1726--1743}, year = {2001}, url = {https://doi.org/10.1109/9.964683}, doi = {10.1109/9.964683}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/BenedettoSV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HsiehBLS01, author = {Harry Hsieh and Felice Balarin and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synchronous approach to the functional equivalence of embeddedsystem implementations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {8}, pages = {1016--1033}, year = {2001}, url = {https://doi.org/10.1109/43.936382}, doi = {10.1109/43.936382}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HsiehBLS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CarloniMS01, author = {Luca P. Carloni and Kenneth L. McMillan and Alberto L. Sangiovanni{-}Vincentelli}, title = {Theory of latency-insensitive design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {9}, pages = {1059--1076}, year = {2001}, url = {https://doi.org/10.1109/43.945302}, doi = {10.1109/43.945302}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CarloniMS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/BurchPS01, author = {Jerry R. Burch and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, title = {Overcoming Heterophobia: Modeling Concurrency in Heterogeneous Systems}, booktitle = {2nd International Conference on Application of Concurrency to System Design {(ACSD} 2001), 25-30 June 2001, Newcastle upon Tyne, {UK}}, pages = {13}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/CSD.2001.981761}, doi = {10.1109/CSD.2001.981761}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/BurchPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/Sangiovanni-VincentelliM01, author = {Alberto L. Sangiovanni{-}Vincentelli and Grant Martin}, editor = {Guang R. Gao and Trevor N. Mudge and Krishna V. Palem}, title = {A vision for embedded software}, booktitle = {Proceedings of the 2001 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2001, Atlanta, Georgia, USA, November 16-17, 2001}, pages = {1--7}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/502217.502219}, doi = {10.1145/502217.502219}, timestamp = {Tue, 06 Nov 2018 11:07:42 +0100}, biburl = {https://dblp.org/rec/conf/cases/Sangiovanni-VincentelliM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/AntoniottiFLSS01, author = {Marco Antoniotti and Alberto Ferrari and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli and Ellen Sentovich}, title = {Embedded system design specification: merging reactive control and data computation}, booktitle = {40th {IEEE} Conference on Decision and Control, {CDC} 2001, Orlando, FL, USA, 4-7 Dec., 2001}, pages = {3302--3307}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/.2001.980331}, doi = {10.1109/.2001.980331}, timestamp = {Thu, 13 Oct 2022 17:08:41 +0200}, biburl = {https://dblp.org/rec/conf/cdc/AntoniottiFLSS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BalluchiBPS01, author = {Andrea Balluchi and Maria Domenica Di Benedetto and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli}, title = {Mixed models of computation in the design of automotive engine control}, booktitle = {40th {IEEE} Conference on Decision and Control, {CDC} 2001, Orlando, FL, USA, 4-7 Dec., 2001}, pages = {3308--3313}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/.2001.980332}, doi = {10.1109/.2001.980332}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/BalluchiBPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DanielSW01, author = {Luca Daniel and Alberto L. Sangiovanni{-}Vincentelli and Jacob White}, title = {Using Conduction Modes Basis Functions for Efficient Electromagnetic Analysis of On-Chip and Off-Chip Interconnect}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {563--566}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379024}, doi = {10.1145/378239.379024}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DanielSW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SgroiSMKMRS01, author = {Marco Sgroi and Michael Sheets and Andrew Mihal and Kurt Keutzer and Sharad Malik and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {667--672}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379045}, doi = {10.1145/378239.379045}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SgroiSMKMRS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SilvaSAGLSTSRNSW01, author = {Julio Leao da Silva Jr. and J. Shamberger and M. Josie Ammer and Chunlong Guo and Suet{-}Fei Li and Rahul C. Shah and Tim Tuan and Michael Sheets and Jan M. Rabaey and Borivoje Nikolic and Alberto L. Sangiovanni{-}Vincentelli and Paul K. Wright}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Design methodology for PicoRadio networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {314--325}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915043}, doi = {10.1109/DATE.2001.915043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SilvaSAGLSTSRNSW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecbs/LuculliS01, author = {Gabriele Luculli and Alberto L. Sangiovanni{-}Vincentelli}, title = {Analysis of DSP-Kernel Software by Implicit Cache Simulation}, booktitle = {8th {IEEE} International Conference on Engineering of Computer-Based Systems {(ECBS} 2001), 17-20 April 2001, Washington, DC, {USA}}, pages = {282--288}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ECBS.2001.922434}, doi = {10.1109/ECBS.2001.922434}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecbs/LuculliS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/BurchPS01, author = {Jerry R. Burch and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Thomas A. Henzinger and Christoph M. Kirsch}, title = {Using Multiple Levels of Abstractions in Embedded Software Design}, booktitle = {Embedded Software, First International Workshop, {EMSOFT} 2001, Tahoe City, CA, USA, October, 8-10, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2211}, pages = {324--343}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45449-7\_23}, doi = {10.1007/3-540-45449-7\_23}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/BurchPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/BalluchiBBS01, author = {Andrea Balluchi and Luca Benvenuti and Maria Domenica Di Benedetto and Alberto L. Sangiovanni{-}Vincentelli}, title = {A hybrid observer for the driveline dynamics}, booktitle = {6th European Control Conference, {ECC} 2001, Porto, Portugal, September 4-7, 2001}, pages = {618--623}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.23919/ECC.2001.7075977}, doi = {10.23919/ECC.2001.7075977}, timestamp = {Mon, 15 Feb 2021 11:16:45 +0100}, biburl = {https://dblp.org/rec/conf/eucc/BalluchiBBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/BalarinBLWPS01, author = {Felice Balarin and Jerry R. Burch and Luciano Lavagno and Yosinori Watanabe and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, title = {Constraints specification at higher levels of abstraction}, booktitle = {Proceedings of the Sixth {IEEE} International High-Level Design Validation and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001}, pages = {129--133}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/HLDVT.2001.972819}, doi = {10.1109/HLDVT.2001.972819}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/BalarinBLWPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/Sangiovanni-VincentelliHKMMPPSST01, author = {Alberto L. Sangiovanni{-}Vincentelli and Thomas A. Henzinger and Bruce H. Krogh and Oded Maler and Manfred Morari and Costas C. Pantelides and George J. Pappas and Tunc Simsec and Janos Sztipanovits and Stavros Tripakis}, editor = {Maria Domenica Di Benedetto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Hybrid Systems Applications: An Oxymoron?}, booktitle = {Hybrid Systems: Computation and Control, 4th International Workshop, {HSCC} 2001, Rome, Italy, March 28-30, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2034}, pages = {5--6}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45351-2\_4}, doi = {10.1007/3-540-45351-2\_4}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/Sangiovanni-VincentelliHKMMPPSST01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BrouckeBGS01, author = {Mireille E. Broucke and Maria Domenica Di Benedetto and Stefano Di Gennaro and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Maria Domenica Di Benedetto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimal Control Using Bisimulations: Implementation}, booktitle = {Hybrid Systems: Computation and Control, 4th International Workshop, {HSCC} 2001, Rome, Italy, March 28-30, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2034}, pages = {175--188}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45351-2\_17}, doi = {10.1007/3-540-45351-2\_17}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/BrouckeBGS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YevtushenkoVBPS01, author = {Nina Yevtushenko and Tiziano Villa and Robert K. Brayton and Alexandre Petrenko and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rolf Ernst}, title = {Solution of Parallel Language Equations for Logic Synthesis}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {103}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968605}, doi = {10.1109/ICCAD.2001.968605}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YevtushenkoVBPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MarculescuNLS01, author = {Radu Marculescu and Amit Nandi and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rolf Ernst}, title = {System-Level Power/Performance Analysis of Portable Multimedia Systems Communicating over Wireless Channels}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {207}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968620}, doi = {10.1109/ICCAD.2001.968620}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MarculescuNLS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GostiKS01, author = {Wilsin Gosti and Sunil P. Khatri and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rolf Ernst}, title = {Addressing the Timing Closure Problem by Integrating Logic Optimization and Placement}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {224--231}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968622}, doi = {10.1109/ICCAD.2001.968622}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GostiKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DanielSW01, author = {Luca Daniel and Alberto L. Sangiovanni{-}Vincentelli and Jacob K. White}, editor = {Rolf Ernst}, title = {Techniques for Including Dielectrics when Extracting Passive Low-Order Models of High Speed Interconnect}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {240--244}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968625}, doi = {10.1109/ICCAD.2001.968625}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DanielSW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ZanellaNZMCGCS01, author = {Stefano Zanella and Andrea Neviani and Enrico Zanoni and Paolo Miliozzi and Edoardo Charbon and Carlo Guardiani and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Modeling of Substrate Noise Injected by Digital Libraries}, booktitle = {2nd International Symposium on Quality of Electronic Design {(ISQED} 2001), 26-28 March 2001, San Jose, CA, {USA}}, pages = {488--492}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISQED.2001.915276}, doi = {10.1109/ISQED.2001.915276}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ZanellaNZMCGCS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/NataleSB01, author = {Marco Di Natale and Alberto L. Sangiovanni{-}Vincentelli and Felice Balarin}, title = {Scheduling Reactive Task Graphs in Embedded Control Systems}, booktitle = {Proceedings of the 7th {IEEE} Real-Time Technology and Applications Symposium {(RTAS} 2001), 30 May - 1 June 2001, Taipei, Taiwan}, pages = {191--201}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/RTTAS.2001.929886}, doi = {10.1109/RTTAS.2001.929886}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/NataleSB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/Sangiovanni-Vincentell01, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Embedded Software Design for Real-Time Applications}, booktitle = {Proceedings of the 22nd {IEEE} Real-Time Systems Symposium {(RTSS} 2001), London, UK, 2-6 December 2001}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/RTSS.2001.10002}, doi = {10.1109/RTSS.2001.10002}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/Sangiovanni-Vincentell01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hybrid/2001, editor = {Maria Domenica Di Benedetto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Hybrid Systems: Computation and Control, 4th International Workshop, {HSCC} 2001, Rome, Italy, March 28-30, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2034}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45351-2}, doi = {10.1007/3-540-45351-2}, isbn = {3-540-41866-0}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/CuattoPSGJS00, author = {Tullio Cuatto and Claudio Passerone and Claudio Sanso{\`{e}} and Francesco Gregoretti and Attila Jurecska and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Case Study in Embedded Systems Design: An Engine Control Unit}, journal = {Des. Autom. Embed. Syst.}, volume = {6}, number = {1}, pages = {71--88}, year = {2000}, url = {https://doi.org/10.1023/A:1008989409134}, doi = {10.1023/A:1008989409134}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/CuattoPSGJS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SgroiLL00, author = {Marco Sgroi and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Formal Models for Embedded System Design}, journal = {{IEEE} Des. Test Comput.}, volume = {17}, number = {2}, pages = {14--27}, year = {2000}, url = {https://doi.org/10.1109/54.844330}, doi = {10.1109/54.844330}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SgroiLL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/BenedettoLSY00, author = {Maria Domenica Di Benedetto and Pasquale Lucibello and Alberto L. Sangiovanni{-}Vincentelli and K. Yamaguchi}, title = {A new procedure for exact ring closure}, journal = {J. Comput. Chem.}, volume = {21}, number = {10}, pages = {870--881}, year = {2000}, url = {https://doi.org/10.1002/1096-987X(20000730)21:10\&\#60;870::AID-JCC5\&\#62;3.0.CO;2-K}, doi = {10.1002/1096-987X(20000730)21:10\&\#60;870::AID-JCC5\&\#62;3.0.CO;2-K}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/BenedettoLSY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/BalluchiBBPS00, author = {Andrea Balluchi and Luca Benvenuti and Maria Domenica Di Benedetto and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli}, title = {Automotive engine control and hybrid systems: challenges and opportunities}, journal = {Proc. {IEEE}}, volume = {88}, number = {7}, pages = {888--912}, year = {2000}, url = {https://doi.org/10.1109/5.871300}, doi = {10.1109/5.871300}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/BalluchiBBPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GoldbergCVBS00, author = {Evguenii I. Goldberg and Luca P. Carloni and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Negative thinking in branch-and-bound: the case of unate covering}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {3}, pages = {281--294}, year = {2000}, url = {https://doi.org/10.1109/43.833198}, doi = {10.1109/43.833198}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GoldbergCVBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AzizBBS00, author = {Adnan Aziz and Felice Balarin and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Sequential synthesis using {S1S}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {10}, pages = {1149--1162}, year = {2000}, url = {https://doi.org/10.1109/43.875301}, doi = {10.1109/43.875301}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AzizBBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KeutzerNRS00, author = {Kurt Keutzer and A. Richard Newton and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {System-level design: orthogonalization of concerns andplatform-based design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {12}, pages = {1523--1543}, year = {2000}, url = {https://doi.org/10.1109/43.898830}, doi = {10.1109/43.898830}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KeutzerNRS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/BalluchiBBVWS00, author = {Andrea Balluchi and Luca Benvenuti and Maria Domenica Di Benedetto and Tiziano Villa and Howard Wong{-}Toi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Hybrid controller synthesis for idle speed management of an automotive engine}, booktitle = {American Control Conference, {ACC} 2000, Chicago, Illinois, USA, 28-30 June, 2000}, pages = {1181--1185}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ACC.2000.876686}, doi = {10.1109/ACC.2000.876686}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/BalluchiBBVWS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BalluchiBCRS00, author = {Andrea Balluchi and Antonio Bicchi and Canio Caterini and Carlo Rossi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Hybrid tracking control for spark-ignition engines}, booktitle = {39th {IEEE} Conference on Decision and Control, {CDC} 2000, Sydney, Australia, December 12-15, 2000}, pages = {3126--3131}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CDC.2000.912177}, doi = {10.1109/CDC.2000.912177}, timestamp = {Thu, 31 Mar 2022 11:10:43 +0200}, biburl = {https://dblp.org/rec/conf/cdc/BalluchiBCRS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/TabbaraTS00, author = {Bassam Tabbara and Abdallah Tabbara and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Frank Vahid and Jan Madsen}, title = {Task response time optimization using cost-based operation motion}, booktitle = {Proceedings of the Eighth International Workshop on Hardware/Software Codesign, {CODES} 2000, San Diego, California, USA, 2000}, pages = {110--114}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/334012.334033}, doi = {10.1145/334012.334033}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/TabbaraTS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SilvaSBLSR00, author = {Julio Leao da Silva Jr. and Marco Sgroi and Fernando De Bernardinis and Suet{-}Fei Li and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, editor = {Frank Vahid and Jan Madsen}, title = {Wireless protocols design: challenges and opportunities}, booktitle = {Proceedings of the Eighth International Workshop on Hardware/Software Codesign, {CODES} 2000, San Diego, California, USA, 2000}, pages = {147--151}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/334012.334044}, doi = {10.1145/334012.334044}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/SilvaSBLSR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/concur/Sangiovanni-VincentelliSL00, author = {Alberto L. Sangiovanni{-}Vincentelli and Marco Sgroi and Luciano Lavagno}, editor = {Catuscia Palamidessi}, title = {Formal Models for Communication-Based Design}, booktitle = {{CONCUR} 2000 - Concurrency Theory, 11th International Conference, University Park, PA, USA, August 22-25, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1877}, pages = {29--47}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44618-4\_4}, doi = {10.1007/3-540-44618-4\_4}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/concur/Sangiovanni-VincentelliSL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CarloniS00, author = {Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Giovanni De Micheli}, title = {Performance analysis and optimization of latency insensitive systems}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {361--367}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337441}, doi = {10.1145/337292.337441}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/CarloniS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NataleSB00, author = {Marco Di Natale and Alberto L. Sangiovanni{-}Vincentelli and Felice Balarin}, editor = {Giovanni De Micheli}, title = {Task scheduling with {RT} constraints}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {483--488}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337551}, doi = {10.1145/337292.337551}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NataleSB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CortadellaKLMMPWS00, author = {Jordi Cortadella and Alex Kondratyev and Luciano Lavagno and Marc Massot and Sandra Moral and Claudio Passerone and Yosinori Watanabe and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Giovanni De Micheli}, title = {Task generation and compile-time scheduling for mixed data-control embedded software}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {489--494}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337553}, doi = {10.1145/337292.337553}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CortadellaKLMMPWS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MalikALKSW00, author = {Sharad Malik and D. K. Arvind and Edward A. Lee and Phil Koopman and Alberto L. Sangiovanni{-}Vincentelli and Wayne H. Wolf}, editor = {Giovanni De Micheli}, title = {Embedded systems education (panel abstract)}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {519}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337563}, doi = {10.1145/337292.337563}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MalikALKSW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HsiehBLS00, author = {Harry Hsieh and Felice Balarin and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Giovanni De Micheli}, title = {Efficient methods for embedded system design space exploration}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {607--612}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337593}, doi = {10.1145/337292.337593}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HsiehBLS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimLS00, author = {Chunghee Kim and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ivo Bolsens}, title = {Free MDD-Based Software Optimization Techniques for Embedded Systems}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {14--18}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840009}, doi = {10.1109/DATE.2000.840009}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimLS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaleaniFST00, author = {Massimo Baleani and Alberto Ferrari and Alberto L. Sangiovanni{-}Vincentelli and Claudio Turchetti}, editor = {Ivo Bolsens}, title = {{HW/SW} Codesign of an Engine Management System}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {263--267}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840049}, doi = {10.1109/DATE.2000.840049}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaleaniFST00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/Sangiovanni-Vincentelli00, author = {Alberto L. Sangiovanni{-}Vincentelli}, editor = {Nancy A. Lynch and Bruce H. Krogh}, title = {Models of Computation and Simulation of Hybrid Systems}, booktitle = {Hybrid Systems: Computation and Control, Third International Workshop, {HSCC} 2000, Pittsburgh, PA, USA, March 23-25, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1790}, pages = {5}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-46430-1\_4}, doi = {10.1007/3-540-46430-1\_4}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/Sangiovanni-Vincentelli00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BalluchiBBMPVWS00, author = {Andrea Balluchi and Luca Benvenuti and Maria Domenica Di Benedetto and Guido M. Miconi and Ugo Pozzi and Tiziano Villa and Howard Wong{-}Toi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Nancy A. Lynch and Bruce H. Krogh}, title = {Maximal Safe Set Computation for Idle Speed Control of an Automotive Engine}, booktitle = {Hybrid Systems: Computation and Control, Third International Workshop, {HSCC} 2000, Pittsburgh, PA, USA, March 23-25, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1790}, pages = {32--44}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-46430-1\_7}, doi = {10.1007/3-540-46430-1\_7}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/BalluchiBBMPVWS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BrouckeBSG00, author = {Mireille E. Broucke and Maria Domenica Di Benedetto and Alberto L. Sangiovanni{-}Vincentelli and Stefano Di Gennaro}, editor = {Nancy A. Lynch and Bruce H. Krogh}, title = {Theory of Optimal Control Using Bisimulations}, booktitle = {Hybrid Systems: Computation and Control, Third International Workshop, {HSCC} 2000, Pittsburgh, PA, USA, March 23-25, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1790}, pages = {89--102}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-46430-1\_11}, doi = {10.1007/3-540-46430-1\_11}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/BrouckeBSG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SgroiSBBSR00, author = {Marco Sgroi and Julio Leao da Silva Jr. and Fernando De Bernardinis and Fred L. Burghardt and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, title = {Designing wireless protocols: methodology and applications}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing. {ICASSP} 2000, 5-9 June, 2000, Hilton Hotel and Convention Center, Istanbul, Turkey}, pages = {3726--3729}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICASSP.2000.860212}, doi = {10.1109/ICASSP.2000.860212}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SgroiSBBSR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KhatriBS00, author = {Sunil P. Khatri and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ellen Sentovich}, title = {Cross-Talk Immune {VLSI} Design Using a Network of PLAs Embedded in a Regular Layout Fabric}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {412--418}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896507}, doi = {10.1109/ICCAD.2000.896507}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KhatriBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SinhaKBS00, author = {Subarnarekha Sinha and Sunil P. Khatri and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Binary and Multi-Valued SPFD-Based Wire Removal in {PLA} Networks}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design: {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas, USA, September 17-20, 2000}, pages = {494--503}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCD.2000.878328}, doi = {10.1109/ICCD.2000.878328}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SinhaKBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/Sangiovanni-Vincentelli00, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Platform-Based Design: {A} Path to Efficient Design Re-Use}, booktitle = {1st International Symposium on Quality of Electronic Design {(ISQED} 2000), 20-22 March 2000, San Jose, CA, {USA}}, pages = {209--210}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.ieeecomputersociety.org/10.1109/ISQED.2000.10011}, doi = {10.1109/ISQED.2000.10011}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/Sangiovanni-Vincentelli00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/BalluchiBPRS99, author = {Andrea Balluchi and Maria Domenica Di Benedetto and Claudio Pinello and Carlo Rossi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Hybrid control in automotive applications: the cut-off control}, journal = {Autom.}, volume = {35}, number = {3}, pages = {519--535}, year = {1999}, url = {https://doi.org/10.1016/S0005-1098(98)00181-2}, doi = {10.1016/S0005-1098(98)00181-2}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/automatica/BalluchiBPRS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cjtcs/AzizSBBS99, author = {Adnan Aziz and Felice Balarin and Vigyan Singhal and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Equivalences for Fair Kripke Structures}, journal = {Chic. J. Theor. Comput. Sci.}, volume = {1999}, year = {1999}, url = {http://cjtcs.cs.uchicago.edu/articles/1999/12/contents.html}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cjtcs/AzizSBBS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CharbonGMS99, author = {Edoardo Charbon and Ranjit Gharpurey and Robert G. Meyer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Substrate optimization based on semi-analytical techniques}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {2}, pages = {172--190}, year = {1999}, url = {https://doi.org/10.1109/43.743727}, doi = {10.1109/43.743727}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CharbonGMS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CharbonMCFS99, author = {Edoardo Charbon and Paolo Miliozzi and Luca P. Carloni and Alberto Ferrari and Alberto L. Sangiovanni{-}Vincentelli}, title = {Modeling digital substrate noise injection in mixed-signal IC's}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {3}, pages = {301--310}, year = {1999}, url = {https://doi.org/10.1109/43.748160}, doi = {10.1109/43.748160}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CharbonMCFS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BalarinCGHJLSSS99, author = {Felice Balarin and Massimiliano Chiodo and Paolo Giusto and Harry Hsieh and Attila Jurecska and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli and Ellen Sentovich and Kei Suzuki}, title = {Synthesis of software programs for embedded control applications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {6}, pages = {834--849}, year = {1999}, url = {https://doi.org/10.1109/43.766731}, doi = {10.1109/43.766731}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BalarinCGHJLSSS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apn/SgroiLWS99, author = {Marco Sgroi and Luciano Lavagno and Yosinori Watanabe and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Susanna Donatelli and H. C. M. Kleijn}, title = {Quasi-Static Scheduling of Embedded Software Using Equal Conflict Nets}, booktitle = {Application and Theory of Petri Nets 1999, 20th International Conference, {ICATPN} '99, Williamsburg, Virginia, USA, June 21-25, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1639}, pages = {208--227}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-48745-X\_13}, doi = {10.1007/3-540-48745-X\_13}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/apn/SgroiLWS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LajoloLS99, author = {Marcello Lajolo and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Fast Instruction Cache Simulation Strategies in a Hardware/Software Co-Design Environment}, booktitle = {Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999}, pages = {347}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ASPDAC.1999.760030}, doi = {10.1109/ASPDAC.1999.760030}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LajoloLS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/CarloniMS99, author = {Luca P. Carloni and Kenneth L. McMillan and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Nicolas Halbwachs and Doron A. Peled}, title = {Latency Insensitive Protocols}, booktitle = {Computer Aided Verification, 11th International Conference, {CAV} '99, Trento, Italy, July 6-10, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1633}, pages = {123--133}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-48683-6\_13}, doi = {10.1007/3-540-48683-6\_13}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cav/CarloniMS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/VassiliouS99, author = {Iason Vassiliou and Alberto L. Sangiovanni{-}Vincentelli}, title = {A frequency-domain, Volterra series-based behavioral simulation tool for {RF} systems}, booktitle = {Proceedings of the {IEEE} 1999 Custom Integrated Circuits Conference, {CICC} 1999, San Diego, CA, USA, May 16-19, 1999}, pages = {21--24}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/CICC.1999.777236}, doi = {10.1109/CICC.1999.777236}, timestamp = {Fri, 07 Jul 2023 11:00:51 +0200}, biburl = {https://dblp.org/rec/conf/cicc/VassiliouS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/KenterPSWS99, author = {H. J. H. N. Kenter and Claudio Passerone and W. J. M. Smits and Yosinori Watanabe and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ahmed Amine Jerraya and Luciano Lavagno and Frank Vahid}, title = {Designing digital video systems: modeling and scheduling}, booktitle = {Proceedings of the Seventh International Workshop on Hardware/Software Codesign, {CODES} 1999, Rome, Italy, 1999}, pages = {64--68}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/301177.301212}, doi = {10.1145/301177.301212}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/KenterPSWS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LajoloLS99, author = {Marcello Lajolo and Mihai T. Lazarescu and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ahmed Amine Jerraya and Luciano Lavagno and Frank Vahid}, title = {A compilation-based software estimation scheme for hardware/software co-simulation}, booktitle = {Proceedings of the Seventh International Workshop on Hardware/Software Codesign, {CODES} 1999, Rome, Italy, 1999}, pages = {85--89}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/301177.301493}, doi = {10.1145/301177.301493}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/LajoloLS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CamposanoKFSL99, author = {Raul Camposano and Kurt Keutzer and Jerry Fiddler and Alberto L. Sangiovanni{-}Vincentelli and Jim Lansford}, editor = {Mary Jane Irwin}, title = {{HW} and {SW} in Embedded System Design: Loveboat, Shipwreck, or Ships Passing in the Night}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {76--77}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309877}, doi = {10.1145/309847.309877}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CamposanoKFSL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KhatriMBOS99, author = {Sunil P. Khatri and Amit Mehrotra and Robert K. Brayton and Ralph H. J. M. Otten and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Mary Jane Irwin}, title = {A Novel {VLSI} Layout Fabric for Deep Sub-Micron Applications}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {491--496}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309985}, doi = {10.1145/309847.309985}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KhatriMBOS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BanerjeeMSH99, author = {Kaustav Banerjee and Amit Mehrotra and Alberto L. Sangiovanni{-}Vincentelli and Chenming Hu}, editor = {Mary Jane Irwin}, title = {On Thermal Effects in Deep Sub-Micron {VLSI} Interconnects}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {885--891}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.310093}, doi = {10.1145/309847.310093}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BanerjeeMSH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TabbaraSSFL99, author = {Bassam Tabbara and Marco Sgroi and Alberto L. Sangiovanni{-}Vincentelli and Enrica Filippi and Luciano Lavagno}, title = {Fast Hardware-Software Co-simulation Using {VHDL} Models}, booktitle = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, pages = {309}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1999}, url = {https://doi.org/10.1109/DATE.1999.761139}, doi = {10.1109/DATE.1999.761139}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TabbaraSSFL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/BalluchifBVWS99, author = {A. Balluchif and Luca Benvenuti and T. Villas and Howard Wong{-}Toi and Alberto L. Sangiovanni{-}Vincentelli}, title = {A case study of hybrid controller synthesis of a heating system}, booktitle = {5th European Control Conference, {ECC} 1999, Karlsruhe, Germany, August 31 - September 3, 1999}, pages = {1687--1692}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.23919/ECC.1999.7099557}, doi = {10.23919/ECC.1999.7099557}, timestamp = {Fri, 12 Mar 2021 16:09:40 +0100}, biburl = {https://dblp.org/rec/conf/eucc/BalluchifBVWS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MehrotraS99, author = {Amit Mehrotra and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jacob K. White and Ellen Sentovich}, title = {Noise analysis of non-autonomous radio frequency circuits}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810621}, doi = {10.1109/ICCAD.1999.810621}, timestamp = {Mon, 08 May 2023 21:43:38 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MehrotraS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CarloniMSS99, author = {Luca P. Carloni and Kenneth L. McMillan and Alexander Saldanha and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jacob K. White and Ellen Sentovich}, title = {A methodology for correct-by-construction latency insensitive design}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {309--315}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810667}, doi = {10.1109/ICCAD.1999.810667}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CarloniMSS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FerrariS99, author = {Alberto Ferrari and Alberto L. Sangiovanni{-}Vincentelli}, title = {System Design: Traditional Concepts and New Paradigms}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design, {VLSI} in Computers and Processors, {ICCD} '99, Austin, Texas, USA, October 10-13, 1999}, pages = {2--13}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCD.1999.808256}, doi = {10.1109/ICCD.1999.808256}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FerrariS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-5/CarloniGVBS99, author = {Luca P. Carloni and Evguenii I. Goldberg and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {L. Miguel Silveira and Srinivas Devadas and Ricardo Augusto da Luz Reis}, title = {Aura {II:} Combining Negative Thinking and Branch-and-Bound in Unate Covering Problems}, booktitle = {{VLSI:} Systems on a Chip, {IFIP} {TC10/WG10.5} Tenth International Conference on Very Large Scale Integration {(VLSI} '99), December 1-4, 1999, Lisbon, Portugal}, series = {{IFIP} Conference Proceedings}, volume = {162}, pages = {346--361}, publisher = {Kluwer}, year = {1999}, timestamp = {Mon, 14 Oct 2002 13:30:59 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-5/CarloniGVBS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sangiovanni-VincentelliRLCL99, author = {Alberto L. Sangiovanni{-}Vincentelli and Marco Re and Luciano Lavagno and Gian Carlo Cardarilli and Roberto Lojacono}, title = {Analysis of the quantization noise effects on the {SQNR} behaviour in analog to digital conversion}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {334--338}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780727}, doi = {10.1109/ISCAS.1999.780727}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sangiovanni-VincentelliRLCL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KhatriBS99, author = {Sunil P. Khatri and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Sequential Multi-Valued Network Simplification using Redundancy Removal}, booktitle = {12th International Conference on {VLSI} Design {(VLSI} Design 1999), 10-13 January 1999, Goa, India}, pages = {206--211}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICVD.1999.745149}, doi = {10.1109/ICVD.1999.745149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KhatriBS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/CardelliCGJLSS98, author = {Stefano Cardelli and Massimiliano Chiodo and Paolo Giusto and Attila Jurecska and Luciano Lavagno and Claudio Sanso{\`{e}} and Alberto L. Sangiovanni{-}Vincentelli}, title = {Rapid-Prototyping of Embedded Systems via Reprogrammable Devices}, journal = {Des. Autom. Embed. Syst.}, volume = {3}, number = {2-3}, pages = {149--161}, year = {1998}, url = {https://doi.org/10.1023/A:1008890323570}, doi = {10.1023/A:1008890323570}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/CardelliCGJLSS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BalarinLMS98, author = {Felice Balarin and Luciano Lavagno and Praveen K. Murthy and Alberto L. Sangiovanni{-}Vincentelli}, title = {Scheduling for Embedded Real-Time Systems}, journal = {{IEEE} Des. Test Comput.}, volume = {15}, number = {1}, pages = {71--82}, year = {1998}, url = {https://doi.org/10.1109/54.655185}, doi = {10.1109/54.655185}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BalarinLMS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/Melliar-SmithBH98, author = {C. Mark Melliar{-}Smith and Michael G. Borrus and Douglas E. Haggan and Tyler Lowrey and Alberto L. Sangiovanni{-}Vincentelli and William W. Troutman}, title = {The transistor: an invention becomes a big business}, journal = {Proc. {IEEE}}, volume = {86}, number = {1}, pages = {86--110}, year = {1998}, url = {https://doi.org/10.1109/5.658763}, doi = {10.1109/5.658763}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/Melliar-SmithBH98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/OliveiraCVS98, author = {Arlindo L. Oliveira and Luca P. Carloni and Tiziano Villa and Alberto L. Sangiovanni{-}Vincentelli}, title = {Exact Minimization of Binary Decision Diagrams Using Implicit Techniques}, journal = {{IEEE} Trans. Computers}, volume = {47}, number = {11}, pages = {1282--1296}, year = {1998}, url = {https://doi.org/10.1109/12.736442}, doi = {10.1109/12.736442}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/OliveiraCVS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GoldbergVBS98, author = {Evguenii I. Goldberg and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Theory and algorithms for face hypercube embedding}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {6}, pages = {472--488}, year = {1998}, url = {https://doi.org/10.1109/43.703829}, doi = {10.1109/43.703829}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GoldbergVBS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeeS98, author = {Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, title = {A framework for comparing models of computation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {12}, pages = {1217--1229}, year = {1998}, url = {https://doi.org/10.1109/43.736561}, doi = {10.1109/43.736561}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LeeS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/PasseroneSLMMPS98, author = {Claudio Passerone and Claudio Sanso{\`{e}} and Luciano Lavagno and Patrick C. McGeer and Jonathan Martin and Roberto Passerone and Alberto L. Sangiovanni{-}Vincentelli}, title = {Modeling reactive systems in Java}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {3}, number = {4}, pages = {515--523}, year = {1998}, url = {https://doi.org/10.1145/296333.296334}, doi = {10.1145/296333.296334}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/PasseroneSLMMPS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/PasseronePSMSM98, author = {Claudio Passerone and Roberto Passerone and Claudio Sanso{\`{e}} and Jonathan Martin and Alberto L. Sangiovanni{-}Vincentelli and Rick McGeer}, editor = {Gaetano Borriello and Ahmed Amine Jerraya and Luciano Lavagno}, title = {Modeling reactive systems in Java}, booktitle = {Proceedings of the Sixth International Workshop on Hardware/Software Codesign, {CODES} 1998, Seattle, Washington, USA, March 15-18, 1998}, pages = {15--19}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/278241.278244}, doi = {10.1145/278241.278244}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/PasseronePSMSM98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LiuLS98, author = {Jie Liu and Marcello Lajolo and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gaetano Borriello and Ahmed Amine Jerraya and Luciano Lavagno}, title = {Software timing analysis using {HW/SW} cosimulation and instruction set simulator}, booktitle = {Proceedings of the Sixth International Workshop on Hardware/Software Codesign, {CODES} 1998, Seattle, Washington, USA, March 15-18, 1998}, pages = {65--69}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/278241.278299}, doi = {10.1145/278241.278299}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/LiuLS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LajoloRDLS98, author = {Marcello Lajolo and Anand Raghunathan and Sujit Dey and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gaetano Borriello and Ahmed Amine Jerraya and Luciano Lavagno}, title = {A case study on modeling shared memory access effects during performance analysis of {HW/SW} systems}, booktitle = {Proceedings of the Sixth International Workshop on Hardware/Software Codesign, {CODES} 1998, Seattle, Washington, USA, March 15-18, 1998}, pages = {117--121}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/278241.278317}, doi = {10.1145/278241.278317}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/LajoloRDLS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PasseroneRS98, author = {Roberto Passerone and James A. Rowson and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Automatic Synthesis of Interfaces Between Incompatible Protocols}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {8--13}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277047}, doi = {10.1145/277044.277047}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PasseroneRS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CuattoPLJDSS98, author = {Tullio Cuatto and Claudio Passerone and Luciano Lavagno and Attila Jurecska and Antonino Damiano and Claudio Sanso{\`{e}} and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {A Case Study in Embedded System Design: An Engine Control Unit}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {804--807}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277248}, doi = {10.1145/277044.277248}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CuattoPLJDSS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GostiSVS98, author = {Wilsin Gosti and Alberto L. Sangiovanni{-}Vincentelli and Tiziano Villa and Alexander Saldanha}, title = {An Exact Input Encoding Algorithm for BDDs Representing FSMs}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {294--300}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665275}, doi = {10.1109/GLSV.1998.665275}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GostiSVS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/BalluchiBPRS98, author = {Andrea Balluchi and Maria Domenica Di Benedetto and Claudio Pinello and Carlo Rossi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Thomas A. Henzinger and Shankar Sastry}, title = {Hybrid Control for Automotive Engine Management: The Cut-Off Case}, booktitle = {Hybrid Systems: Computation and Control, First International Workshop, HSCC'98, Berkeley, California, USA, April 13-15, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1386}, pages = {13--32}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/3-540-64358-3\_29}, doi = {10.1007/3-540-64358-3\_29}, timestamp = {Mon, 25 Jul 2022 16:36:55 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/BalluchiBPRS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GostiNBS98, author = {Wilsin Gosti and Amit Narayan and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Hiroto Yasuura}, title = {Wireplanning in logic synthesis}, booktitle = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998}, pages = {26--33}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/288548.288556}, doi = {10.1145/288548.288556}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GostiNBS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/FilippiLLMPPSS98, author = {Enrica Filippi and Luciano Lavagno and L. Licciardi and Archille Montanaro and Maurizio Paolini and Roberto Passerone and Marco Sgroi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Francky Catthoor}, title = {Intellectual Property Re-use in Embedded System Co-design: An Industrial Case Study}, booktitle = {Proceedings of the 11th International Symposium on System Synthesis, {ISSS} '98, Hsinchu, Taiwan, December 2-4, 1998}, pages = {37--42}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ISSS.1998.730594}, doi = {10.1109/ISSS.1998.730594}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isss/FilippiLLMPPSS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/EdwardsLLS97, author = {Stephen A. Edwards and Luciano Lavagno and Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, title = {Design of embedded systems: formal models, validation, and synthesis}, journal = {Proc. {IEEE}}, volume = {85}, number = {3}, pages = {366--390}, year = {1997}, url = {https://doi.org/10.1109/5.558710}, doi = {10.1109/5.558710}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/EdwardsLLS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KamVBS97, author = {Timothy Kam and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Implicit computation of compatible sets for state minimization of ISFSMs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {7}, pages = {657--676}, year = {1997}, url = {https://doi.org/10.1109/43.644029}, doi = {10.1109/43.644029}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KamVBS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/VillaKBS97, author = {Tiziano Villa and Timothy Kam and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Explicit and implicit algorithms for binate covering problems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {7}, pages = {677--691}, year = {1997}, url = {https://doi.org/10.1109/43.644030}, doi = {10.1109/43.644030}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/VillaKBS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/VillaSBS97, author = {Tiziano Villa and Alexander Saldanha and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Symbolic two-level minimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {7}, pages = {692--708}, year = {1997}, url = {https://doi.org/10.1109/43.644031}, doi = {10.1109/43.644031}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/VillaSBS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KamVBS97a, author = {Timothy Kam and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Theory and algorithms for state minimization of nondeterministic FSMs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {11}, pages = {1311--1322}, year = {1997}, url = {https://doi.org/10.1109/43.663820}, doi = {10.1109/43.663820}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KamVBS97a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PasseroneLSCS97, author = {Claudio Passerone and Luciano Lavagno and Claudio Sanso{\`{e}} and Massimiliano Chiodo and Alberto L. Sangiovanni{-}Vincentelli}, title = {Trade-off evaluation in embedded system design via co-simulation}, booktitle = {Proceedings of the {ASP-DAC} '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}, pages = {291--297}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ASPDAC.1997.600159}, doi = {10.1109/ASPDAC.1997.600159}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PasseroneLSCS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BalarinCJLTS97, author = {Felice Balarin and Massimiliano Chiodo and Attila Jurecska and Luciano Lavagno and Bassam Tabbara and Alberto L. Sangiovanni{-}Vincentelli}, title = {Automatic Generation of a Real-Time Operating System for Embedded Systems}, booktitle = {Proceedings of the Fifth International Workshop on Hardware/Software Codesign, {CODES/CASHE} 1997, March 24-26, 1997, Braunschweig, Germany}, pages = {95--100}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {http://dl.acm.org/citation.cfm?id=793505}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/BalarinCJLTS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/HsiehS97, author = {Harry Hsieh and Alberto L. Sangiovanni{-}Vincentelli}, title = {Modeling micro-controller peripherals for high-level co-simulation and synthesis}, booktitle = {Proceedings of the Fifth International Workshop on Hardware/Software Codesign, {CODES/CASHE} 1997, March 24-26, 1997, Braunschweig, Germany}, pages = {127--130}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HSC.1997.584591}, doi = {10.1109/HSC.1997.584591}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/HsiehS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BalarinS97, author = {Felice Balarin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {Schedule Validation for Embedded Reactive Real-Time Systems}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {52--57}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266034}, doi = {10.1145/266021.266034}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BalarinS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RowsonS97, author = {James A. Rowson and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {Interface-Based Design}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {178--183}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266060}, doi = {10.1145/266021.266060}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RowsonS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PasseroneLCS97, author = {Claudio Passerone and Luciano Lavagno and Massimiliano Chiodo and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {Fast Hardware/Software Co-Simulation for Virtual Prototyping and Trade-Off Analysis}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {389--394}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266177}, doi = {10.1145/266021.266177}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PasseroneLCS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GoldbergCVBS97, author = {Evguenii I. Goldberg and Luca P. Carloni and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Negative thinking by incremental problem solving: application to unate covering}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {91--98}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643378}, doi = {10.1109/ICCAD.1997.643378}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GoldbergCVBS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MehrotraQSBAS97, author = {Amit Mehrotra and Shaz Qadeer and Vigyan Singhal and Robert K. Brayton and Adnan Aziz and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Sequential optimisation without state space exploration}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {208--215}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643522}, doi = {10.1109/ICCAD.1997.643522}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MehrotraQSBAS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GoldbergVBS97, author = {Evguenii I. Goldberg and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {A fast and robust exact algorithm for face embedding}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {296--303}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643534}, doi = {10.1109/ICCAD.1997.643534}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GoldbergVBS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NarayanIJBS97, author = {Amit Narayan and Adrian J. Isles and Jawahar Jain and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Reachability analysis using partitioned-ROBDDs}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {388--393}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643565}, doi = {10.1109/ICCAD.1997.643565}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NarayanIJBS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CarloniMSS97, author = {Luca P. Carloni and Patrick C. McGeer and Alexander Saldanha and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Trace driven logic synthesis - application to power minimization}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {581--588}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643598}, doi = {10.1109/ICCAD.1997.643598}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CarloniMSS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BuchNNS97, author = {Premal Buch and Amit Narayan and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Logic synthesis for large pass transistor circuits}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {663--670}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643609}, doi = {10.1109/ICCAD.1997.643609}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BuchNNS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RanjanGBS97, author = {Rajeev K. Ranjan and Wilsin Gosti and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Dynamic Reordering in a Breadth-First Manipulation Based {BDD} Package: Challenges and Solutions}, booktitle = {Proceedings 1997 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA, October 12-15, 1997}, pages = {344--351}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICCD.1997.628893}, doi = {10.1109/ICCD.1997.628893}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RanjanGBS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JainNFS97, author = {Jawahar Jain and Amit Narayan and Masahiro Fujita and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Survey of Techniques for Formal Verification of Combinational Circuits}, booktitle = {Proceedings 1997 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA, October 12-15, 1997}, pages = {445--454}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICCD.1997.628907}, doi = {10.1109/ICCD.1997.628907}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JainNFS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/JainNFS97, author = {Jawahar Jain and Amit Narayan and Masahiro Fujita and Alberto L. Sangiovanni{-}Vincentelli}, title = {Formal Verification of Combinational Circuit}, booktitle = {10th International Conference on {VLSI} Design {(VLSI} Design 1997), 4-7 January 1997, Hyderabad, India}, pages = {218--225}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICVD.1997.568079}, doi = {10.1109/ICVD.1997.568079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/JainNFS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/ChiodoEGHJLSS96, author = {Massimiliano Chiodo and Daniel W. Engels and Paolo Giusto and Harry Hsieh and Attila Jurecska and Luciano Lavagno and Kei Suzuki and Alberto L. Sangiovanni{-}Vincentelli}, title = {A case study in computer-aided co-design of embedded controllers}, journal = {Des. Autom. Embed. Syst.}, volume = {1}, number = {1-2}, pages = {51--67}, year = {1996}, url = {https://doi.org/10.1007/BF00134683}, doi = {10.1007/BF00134683}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/ChiodoEGHJLSS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/YakovlevLS96, author = {Alexandre Yakovlev and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Unified Signal Transition Graph Model for Asynchronous Control Circuit Synthesis}, journal = {Formal Methods Syst. Des.}, volume = {9}, number = {3}, pages = {139--188}, year = {1996}, url = {https://doi.org/10.1007/BF00122081}, doi = {10.1007/BF00122081}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fmsd/YakovlevLS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NeffGS96, author = {Robert R. Neff and Paul R. Gray and Alberto L. Sangiovanni{-}Vincentelli}, title = {A module generator for high-speed {CMOS} current output digital/analog converters}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {3}, pages = {448--451}, year = {1996}, url = {https://doi.org/10.1109/4.494207}, doi = {10.1109/4.494207}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NeffGS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ml/OliveiraS96, author = {Arlindo L. Oliveira and Alberto L. Sangiovanni{-}Vincentelli}, title = {Using the Minimum Description Length Principle to Infer Reduced Ordered Decision Graphs}, journal = {Mach. Learn.}, volume = {25}, number = {1}, pages = {23--50}, year = {1996}, url = {https://doi.org/10.1007/BF00115299}, doi = {10.1007/BF00115299}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ml/OliveiraS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DemirLS96, author = {Alper Demir and Edward W. Y. Liu and Alberto L. Sangiovanni{-}Vincentelli}, title = {Time-domain non-Monte Carlo noise simulation for nonlinear dynamic circuits with arbitrary excitations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {5}, pages = {493--505}, year = {1996}, url = {https://doi.org/10.1109/43.506137}, doi = {10.1109/43.506137}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DemirLS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LamBS96, author = {William K. C. Lam and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Valid clock frequencies and their computation in wavepipelined circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {7}, pages = {791--807}, year = {1996}, url = {https://doi.org/10.1109/43.503946}, doi = {10.1109/43.503946}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LamBS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MalavasiCFS96, author = {Enrico Malavasi and Edoardo Charbon and Eric Felt and Alberto L. Sangiovanni{-}Vincentelli}, title = {Automation of {IC} layout with analog constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {8}, pages = {923--942}, year = {1996}, url = {https://doi.org/10.1109/43.511572}, doi = {10.1109/43.511572}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MalavasiCFS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/StephanBS96, author = {Paul R. Stephan and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Combinational test generation using satisfiability}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {9}, pages = {1167--1176}, year = {1996}, url = {https://doi.org/10.1109/43.536723}, doi = {10.1109/43.536723}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/StephanBS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/BraytonHSSACEKKPQRSSSV96, author = {Robert K. Brayton and Gary D. Hachtel and Alberto L. Sangiovanni{-}Vincentelli and Fabio Somenzi and Adnan Aziz and Szu{-}Tsung Cheng and Stephen A. Edwards and Sunil P. Khatri and Yuji Kukimoto and Abelardo Pardo and Shaz Qadeer and Rajeev K. Ranjan and Shaker Sarwary and Thomas R. Shiple and Gitanjali Swamy and Tiziano Villa}, editor = {Rajeev Alur and Thomas A. Henzinger}, title = {{VIS:} {A} System for Verification and Synthesis}, booktitle = {Computer Aided Verification, 8th International Conference, {CAV} '96, New Brunswick, NJ, USA, July 31 - August 3, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1102}, pages = {428--432}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-61474-5\_95}, doi = {10.1007/3-540-61474-5\_95}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cav/BraytonHSSACEKKPQRSSSV96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Sangiovanni-VincentelliMS96, author = {Alberto L. Sangiovanni{-}Vincentelli and Patrick C. McGeer and Alexander Saldanha}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Verification of Electronic Systems}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {106--111}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240539}, doi = {10.1145/240518.240539}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Sangiovanni-VincentelliMS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MiliozziVCMS96, author = {Paolo Miliozzi and Iasson Vassiliou and Edoardo Charbon and Enrico Malavasi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Use of Sensitivities and Generalized Substrate Models in Mixed-Signal {IC} Design}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {227--232}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240560}, doi = {10.1145/240518.240560}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MiliozziVCMS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KhatriNKMBS96, author = {Sunil P. Khatri and Amit Narayan and Sriram C. Krishnan and Kenneth L. McMillan and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Engineering Change in a Non-Deterministic {FSM} Setting}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {451--456}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240604}, doi = {10.1145/240518.240604}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KhatriNKMBS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BalarinHJLS96, author = {Felice Balarin and Harry Hsieh and Attila Jurecska and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Formal Verification of Embedded Systems based on {CFSM} Networks}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {568--571}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240626}, doi = {10.1145/240518.240626}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BalarinHJLS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuzukiS96, author = {Kei Suzuki and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Efficient Software Performance Estimation Methods for Hardware/Software Codesign}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {605--610}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240633}, doi = {10.1145/240518.240633}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SuzukiS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SanghaviRBS96, author = {Jagesh V. Sanghavi and Rajeev K. Ranjan and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {High Performance {BDD} Package By Exploiting Memory Hiercharchy}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {635--640}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240638}, doi = {10.1145/240518.240638}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SanghaviRBS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/BraytonHSSACEKKPQRSSSV96, author = {Robert K. Brayton and Gary D. Hachtel and Alberto L. Sangiovanni{-}Vincentelli and Fabio Somenzi and Adnan Aziz and Szu{-}Tsung Cheng and Stephen A. Edwards and Sunil P. Khatri and Yuji Kukimoto and Abelardo Pardo and Shaz Qadeer and Rajeev K. Ranjan and Shaker Sarwary and Thomas R. Shiple and Gitanjali Swamy and Tiziano Villa}, editor = {Mandayam K. Srivas and Albert John Camilleri}, title = {{VIS}}, booktitle = {Formal Methods in Computer-Aided Design, First International Conference, {FMCAD} '96, Palo Alto, California, USA, November 6-8, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1166}, pages = {248--256}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0031812}, doi = {10.1007/BFB0031812}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fmcad/BraytonHSSACEKKPQRSSSV96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/JainNCKSBF96, author = {Jawahar Jain and Amit Narayan and C. Coelho and Sunil P. Khatri and Alberto L. Sangiovanni{-}Vincentelli and Robert K. Brayton and Masahiro Fujita}, editor = {Mandayam K. Srivas and Albert John Camilleri}, title = {Decomposition Techniques for Efficient {ROBDD} Construction}, booktitle = {Formal Methods in Computer-Aided Design, First International Conference, {FMCAD} '96, Palo Alto, California, USA, November 6-8, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1166}, pages = {419--434}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0031825}, doi = {10.1007/BFB0031825}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fmcad/JainNCKSBF96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CharbonMMS96, author = {Edoardo Charbon and Paolo Miliozzi and Enrico Malavasi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Generalized constraint generation in the presence of non-deterministic parasitics}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {187--192}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569587}, doi = {10.1109/ICCAD.1996.569587}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CharbonMMS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AgrawalSLS96, author = {Alok Agrawal and Alexander Saldanha and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Compact and complete test set generation for multiple stuck-faults}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {212--219}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569601}, doi = {10.1109/ICCAD.1996.569601}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AgrawalSLS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeS96, author = {Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Comparing models of computation}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {234--241}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569613}, doi = {10.1109/ICCAD.1996.569613}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FeltZGS96, author = {Eric Felt and Stefano Zanella and Carlo Guardiani and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Hierarchical statistical characterization of mixed-signal circuits using behavioral modeling}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {374--380}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569824}, doi = {10.1109/ICCAD.1996.569824}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FeltZGS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CharbonGSM96, author = {Edoardo Charbon and Ranjit Gharpurey and Alberto L. Sangiovanni{-}Vincentelli and Robert G. Meyer}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Semi-analytical techniques for substrate characterization in the design of mixed-signal ICs}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {455--462}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569838}, doi = {10.1109/ICCAD.1996.569838}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CharbonGSM96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VassiliouCDCMS96, author = {Iasson Vassiliou and Henry Chang and Alper Demir and Edoardo Charbon and Paolo Miliozzi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {A video driver system designed using a top-down, constraint-driven methodology}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {463--468}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569839}, doi = {10.1109/ICCAD.1996.569839}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VassiliouCDCMS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KirkpatrickS96, author = {Desmond Kirkpatrick and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Digital sensitivity: predicting signal interaction using functional analysis}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {536--541}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569907}, doi = {10.1109/ICCAD.1996.569907}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KirkpatrickS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NarayanJFS96, author = {Amit Narayan and Jawahar Jain and Masahiro Fujita and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Partitioned ROBDDs - a compact, canonical and efficiently manipulable representation for Boolean functions}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {547--554}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569909}, doi = {10.1109/ICCAD.1996.569909}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NarayanJFS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RanjanSBS96, author = {Rajeev K. Ranjan and Jagesh V. Sanghavi and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Binary decision diagrams on network of workstation}, booktitle = {1996 International Conference on Computer Design {(ICCD} '96), {VLSI} in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings}, pages = {358--364}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICCD.1996.563579}, doi = {10.1109/ICCD.1996.563579}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RanjanSBS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/CardelliCGJLS96, author = {Stefano Cardelli and Massimiliano Chiodo and Paolo Giusto and Attila Jurecska and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Rapid-Prototyping of Embedded Systems via Reprogrammable Devices}, booktitle = {Seventh {IEEE} International Workshop on Rapid System Prototyping {(RSP} '96), Thessaloniki, Greece, June 19-21, 1996}, pages = {133--139}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/IWRSP.1996.506740}, doi = {10.1109/IWRSP.1996.506740}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rsp/CardelliCGJLS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/NarayanKJFBS96, author = {Amit Narayan and Sunil P. Khatri and Jawahar Jain and Masahiro Fujita and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {A study of composition schemes for mixed apply/compose based construction of ROBDDs}, booktitle = {9th International Conference on {VLSI} Design {(VLSI} Design 1996), 3-6 January 1996, Bangalore, India}, pages = {249--253}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICVD.1996.489494}, doi = {10.1109/ICVD.1996.489494}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/NarayanKJFBS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/FeltS96, author = {Eric Felt and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimization of analog {IC} test structures}, booktitle = {14th {IEEE} {VLSI} Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, {USA}}, pages = {48--53}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/VTEST.1996.510834}, doi = {10.1109/VTEST.1996.510834}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/FeltS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/BalarinS95, author = {Felice Balarin and Alberto L. Sangiovanni{-}Vincentelli}, title = {An Iterative Approach to Verification of Real-Time Systems}, journal = {Formal Methods Syst. Des.}, volume = {6}, number = {1}, pages = {67--95}, year = {1995}, url = {https://doi.org/10.1007/BF01384315}, doi = {10.1007/BF01384315}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fmsd/BalarinS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MalavasiFCS95, author = {Enrico Malavasi and Eric Felt and Edoardo Charbon and Alberto L. Sangiovanni{-}Vincentelli}, title = {Symbolic compaction with analogue constraints}, journal = {Int. J. Circuit Theory Appl.}, volume = {23}, number = {4}, pages = {433--452}, year = {1995}, url = {https://doi.org/10.1002/cta.4490230414}, doi = {10.1002/CTA.4490230414}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MalavasiFCS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LamSBS95, author = {William K. C. Lam and Alexander Saldanha and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Delay fault coverage, test set size, and performance trade-offs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {1}, pages = {32--44}, year = {1995}, url = {https://doi.org/10.1109/43.363125}, doi = {10.1109/43.363125}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LamSBS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LavagnoMBS95, author = {Luciano Lavagno and Cho W. Moon and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {An efficient heuristic procedure for solving the state assignment problem for event-based specifications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {1}, pages = {45--60}, year = {1995}, url = {https://doi.org/10.1109/43.363124}, doi = {10.1109/43.363124}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LavagnoMBS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LavagnoKS95, author = {Luciano Lavagno and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis of hazard-free asynchronous circuits with bounded wire delays}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {1}, pages = {61--86}, year = {1995}, url = {https://doi.org/10.1109/43.363123}, doi = {10.1109/43.363123}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LavagnoKS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChoudhuryS95, author = {Umakanta Choudhury and Alberto L. Sangiovanni{-}Vincentelli}, title = {Automatic generation of analytical models for interconnect capacitances}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {4}, pages = {470--480}, year = {1995}, url = {https://doi.org/10.1109/43.372374}, doi = {10.1109/43.372374}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChoudhuryS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuS95, author = {Edward W. Y. Liu and Alberto L. Sangiovanni{-}Vincentelli}, title = {Verification of Nyquist data converters using behavioral simulation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {4}, pages = {493--502}, year = {1995}, url = {https://doi.org/10.1109/43.372375}, doi = {10.1109/43.372375}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KeutzerLS95, author = {Kurt Keutzer and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis for testability techniques for asynchronous circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {12}, pages = {1569--1577}, year = {1995}, url = {https://doi.org/10.1109/43.476586}, doi = {10.1109/43.476586}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KeutzerLS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LavagnoMSS95, author = {Luciano Lavagno and Patrick C. McGeer and Alexander Saldanha and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Bryan Preas}, title = {Timed Shannon Circuits: {A} Power-Efficient Design Style and Synthesis Tool}, booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995}, pages = {254--260}, publisher = {{ACM} Press}, year = {1995}, url = {https://doi.org/10.1145/217474.217538}, doi = {10.1145/217474.217538}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LavagnoMSS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChiodoGJLHSSS95, author = {Massimiliano Chiodo and Paolo Giusto and Attila Jurecska and Luciano Lavagno and Harry Hsieh and Kei Suzuki and Alberto L. Sangiovanni{-}Vincentelli and Ellen Sentovich}, editor = {Bryan Preas}, title = {Synthesis of Software Programs for Embedded Control Applications}, booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995}, pages = {587--592}, publisher = {{ACM} Press}, year = {1995}, url = {https://doi.org/10.1145/217474.217594}, doi = {10.1145/217474.217594}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChiodoGJLHSSS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MurgaiBS95, author = {Rajeev Murgai and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Decomposition of logic functions for minimum transition activity}, booktitle = {1995 European Design and Test Conference, ED{\&}TC 1995, Paris, France, March 6-9, 1995}, pages = {404--410}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/EDTC.1995.470364}, doi = {10.1109/EDTC.1995.470364}, timestamp = {Fri, 20 May 2022 15:41:46 +0200}, biburl = {https://dblp.org/rec/conf/date/MurgaiBS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/McGeerMSSS95, author = {Patrick C. McGeer and Kenneth L. McMillan and Alexander Saldanha and Alberto L. Sangiovanni{-}Vincentelli and Patrick Scaglia}, editor = {Richard L. Rudell}, title = {Fast discrete function evaluation using decision diagrams}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {402--407}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.480147}, doi = {10.1109/ICCAD.1995.480147}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/McGeerMSSS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AzizBBS95, author = {Adnan Aziz and Felice Balarin and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Richard L. Rudell}, title = {Sequential synthesis using {S1S}}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {612--617}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.480192}, doi = {10.1109/ICCAD.1995.480192}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AzizBBS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KamVBS95, author = {Timothy Kam and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Implicit state minimization of non-deterministic FSMs}, booktitle = {1995 International Conference on Computer Design {(ICCD} '95), {VLSI} in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings}, pages = {250--257}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICCD.1995.528818}, doi = {10.1109/ICCD.1995.528818}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KamVBS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/OliveiraS95, author = {Arlindo L. Oliveira and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Armand Prieditis and Stuart Russell}, title = {Inferring Reduced Ordered Decision Graphs of Minimum Description Length}, booktitle = {Machine Learning, Proceedings of the Twelfth International Conference on Machine Learning, Tahoe City, California, USA, July 9-12, 1995}, pages = {421--429}, publisher = {Morgan Kaufmann}, year = {1995}, url = {https://doi.org/10.1016/b978-1-55860-377-6.50059-1}, doi = {10.1016/B978-1-55860-377-6.50059-1}, timestamp = {Wed, 20 Apr 2022 13:29:53 +0200}, biburl = {https://dblp.org/rec/conf/icml/OliveiraS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SaldanhaSBS95, author = {Alexander Saldanha and Narendra V. Shenoy and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Functional clock schedule optimization}, booktitle = {8th International Conference on {VLSI} Design {(VLSI} Design 1995), 4-7 January 1995, New Delhi, India}, pages = {93--98}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICVD.1995.512084}, doi = {10.1109/ICVD.1995.512084}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SaldanhaSBS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ChiodoGJHSL94, author = {Massimiliano Chiodo and Paolo Giusto and Attila Jurecska and Harry Hsieh and Alberto L. Sangiovanni{-}Vincentelli and Luciano Lavagno}, title = {Hardware-software codesign of embedded systems}, journal = {{IEEE} Micro}, volume = {14}, number = {4}, pages = {26--36}, year = {1994}, url = {https://doi.org/10.1109/40.296155}, doi = {10.1109/40.296155}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/ChiodoGJHSL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SaldanhaVBS94, author = {Alexander Saldanha and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Satisfaction of input and output encoding constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {5}, pages = {589--602}, year = {1994}, url = {https://doi.org/10.1109/43.277632}, doi = {10.1109/43.277632}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SaldanhaVBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MilorS94, author = {Linda S. Milor and Alberto L. Sangiovanni{-}Vincentelli}, title = {Minimizing production test time to detect faults in analog circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {6}, pages = {796--813}, year = {1994}, url = {https://doi.org/10.1109/43.285252}, doi = {10.1109/43.285252}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/MilorS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SaldanhaBS94, author = {Alexander Saldanha and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Circuit structure relations to redundancy and delay}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {7}, pages = {875--883}, year = {1994}, url = {https://doi.org/10.1109/43.293944}, doi = {10.1109/43.293944}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SaldanhaBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LavagnoSS94, author = {Luciano Lavagno and Narendra V. Shenoy and Alberto L. Sangiovanni{-}Vincentelli}, title = {Linear programming for hazard elimination in asynchronous circuits}, journal = {J. {VLSI} Signal Process.}, volume = {7}, number = {1-2}, pages = {137--160}, year = {1994}, url = {https://doi.org/10.1007/BF02108194}, doi = {10.1007/BF02108194}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/LavagnoSS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/BalarinS94, author = {Felice Balarin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {David L. Dill}, title = {On the Automatic Computation of Network Invariants}, booktitle = {Computer Aided Verification, 6th International Conference, {CAV} '94, Stanford, California, USA, June 21-23, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {818}, pages = {234--246}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58179-0\_58}, doi = {10.1007/3-540-58179-0\_58}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/cav/BalarinS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LavagnoCGJHYSS94, author = {Luciano Lavagno and Massimiliano Chiodo and Paolo Giusto and Attila Jurecska and Harry Hsieh and S. Yee and Alberto L. Sangiovanni{-}Vincentelli and Kei Suzuki}, title = {A case study in computer-aided codesign of embedded controllers}, booktitle = {Proceedings of the Third International Workshop on Hardware/Software Codesign, {CODES} 1994, Grenoble, France, September 22-24, 1994}, pages = {220--224}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/HSC.1994.336703}, doi = {10.1109/HSC.1994.336703}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/LavagnoCGJHYSS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CharbonMPS94, author = {Edoardo Charbon and Enrico Malavasi and Davide Pandini and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael J. Lorenzetti}, title = {Simultaneous Placement and Module Optimization of Analog IC's}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {31--35}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196261}, doi = {10.1145/196244.196261}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CharbonMPS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LamBS94, author = {William K. C. Lam and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael J. Lorenzetti}, title = {Exact Minimum Cycle Times for Finite State Machines}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {100--105}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196294}, doi = {10.1145/196244.196294}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LamBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShipleHSB94, author = {Thomas R. Shiple and Ramin Hojati and Alberto L. Sangiovanni{-}Vincentelli and Robert K. Brayton}, editor = {Michael J. Lorenzetti}, title = {Heuristic Minimization of BDDs Using Don't Cares}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {225--231}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196360}, doi = {10.1145/196244.196360}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShipleHSB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CollettGCBGMRSSZ94, author = {Ronald Collett and Mike Gianfagna and Michel Courtoy and Martin Baynes and Johan Van Ginderdeuren and Kenneth L. McMillan and Stephen Ricca and Alberto L. Sangiovanni{-}Vincentelli and Steve Sapiro and Naeem Zafar}, editor = {Michael J. Lorenzetti}, title = {Panel: Complex System Verification: The Challenge Ahead}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {320}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196394}, doi = {10.1145/196244.196394}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CollettGCBGMRSSZ94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MurgaiBS94, author = {Rajeev Murgai and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael J. Lorenzetti}, title = {Optimum Functional Decomposition Using Encoding}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {408--414}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196440}, doi = {10.1145/196244.196440}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MurgaiBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SaldanhaHMBS94, author = {Alexander Saldanha and Heather Harkness and Patrick C. McGeer and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael J. Lorenzetti}, title = {Performance Optimization Using Exact Sensitization}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {425--429}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196448}, doi = {10.1145/196244.196448}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SaldanhaHMBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AzizBCHKKRSSTWBS94, author = {Adnan Aziz and Felice Balarin and Szu{-}Tsung Cheng and Ramin Hojati and Timothy Kam and Sriram C. Krishnan and Rajeev K. Ranjan and Thomas R. Shiple and Vigyan Singhal and Serdar Tasiran and Huey{-}Yih Wang and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael J. Lorenzetti}, title = {{HSIS:} {A} BDD-Based Environment for Formal Verification}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {454--459}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196467}, doi = {10.1145/196244.196467}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AzizBCHKKRSSTWBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BenedettoLSY94, author = {Maria Domenica Di Benedetto and Pasquale Lucibello and Alberto L. Sangiovanni{-}Vincentelli and K. Yamaguchi}, editor = {Michael J. Lorenzetti}, title = {Chain Closure: {A} Problem in Molecular {CAD}}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {497--502}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196510}, doi = {10.1145/196244.196510}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BenedettoLSY94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/McGeerTCHLS94, author = {Patrick C. McGeer and Steven Trimberger and Erik Carlson and Dave Hightower and Ulrich Lauther and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael J. Lorenzetti}, title = {{DA} Algorithms in Non-EDA Applications: How Universal Are Our Techniques? (Panel)}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {503}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196513}, doi = {10.1145/196244.196513}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/McGeerTCHLS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KamVBS94, author = {Timothy Kam and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael J. Lorenzetti}, title = {A Fully Implicit Algorithm for Exact State Minimization}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {684--690}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196615}, doi = {10.1145/196244.196615}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KamVBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalp/AzizSBBS94, author = {Adnan Aziz and Vigyan Singhal and Felice Balarin and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Serge Abiteboul and Eli Shamir}, title = {Equivalences for Fair Kripke Structures}, booktitle = {Automata, Languages and Programming, 21st International Colloquium, ICALP94, Jerusalem, Israel, July 11-14, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {820}, pages = {364--375}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58201-0\_82}, doi = {10.1007/3-540-58201-0\_82}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/icalp/AzizSBBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FeltNS94, author = {Eric Felt and Amit Narayan and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Measurement and modeling of {MOS} transistor current mismatch in analog IC's}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {272--277}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629779}, doi = {10.1109/ICCAD.1994.629779}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FeltNS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BalarinS94, author = {Felice Balarin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Iterative algorithms for formal verification of embedded real-time systems}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {450--457}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629842}, doi = {10.1109/ICCAD.1994.629842}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BalarinS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DemirLS94, author = {Alper Demir and Edward W. Y. Liu and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Time-domain non-Monte Carlo noise simulation for nonlinear dynamic circuits with arbitrary excitations}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {598--603}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629882}, doi = {10.1109/ICCAD.1994.629882}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DemirLS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KirkpatrickS94, author = {Desmond Kirkpatrick and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Techniques for crosstalk avoidance in the physical design of high-performance digital systems}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {616--619}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629885}, doi = {10.1109/ICCAD.1994.629885}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KirkpatrickS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FeltS94, author = {Eric Felt and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Testing of analog systems using behavioral models and optimal experimental design techniques}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {672--678}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629894}, doi = {10.1109/ICCAD.1994.629894}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FeltS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/TomacruzSS94, author = {Eric Tomacruz and Jagesh V. Sanghavi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gary M. Johnson}, title = {A parallel iterative linear solver for solving irregular grid semiconductor device matrices}, booktitle = {Proceedings Supercomputing '94, Washington, DC, USA, November 14-18, 1994}, pages = {24--33}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/SUPERC.1994.344262}, doi = {10.1109/SUPERC.1994.344262}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/TomacruzSS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LavagnoS93, author = {Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Automated synthesis of asynchronous interface circuits}, journal = {Microprocess. Microsystems}, volume = {17}, number = {4}, pages = {232--242}, year = {1993}, url = {https://doi.org/10.1016/0141-9331(93)90021-X}, doi = {10.1016/0141-9331(93)90021-X}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/LavagnoS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/RoseGS93, author = {Jonathan Rose and Abbas El Gamal and Alberto L. Sangiovanni{-}Vincentelli}, title = {Architecture of field-programmable gate arrays}, journal = {Proc. {IEEE}}, volume = {81}, number = {7}, pages = {1013--1029}, year = {1993}, url = {https://doi.org/10.1109/5.231340}, doi = {10.1109/5.231340}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/RoseGS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/Sangiovanni-Vincentelli93, author = {Alberto L. Sangiovanni{-}Vincentelli and Abbas El Gamal and Jonathan Rose}, title = {Synthesis method for field programmable gate arrays}, journal = {Proc. {IEEE}}, volume = {81}, number = {7}, pages = {1057--1083}, year = {1993}, url = {https://doi.org/10.1109/5.231344}, doi = {10.1109/5.231344}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/Sangiovanni-Vincentelli93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/MalikBNS93, author = {Abdul A. Malik and Robert K. Brayton and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Two-Level Minimization of Multivalued Functions with Large Offsets}, journal = {{IEEE} Trans. Computers}, volume = {42}, number = {11}, pages = {1325--1342}, year = {1993}, url = {https://doi.org/10.1109/12.247837}, doi = {10.1109/12.247837}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/MalikBNS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChoudhuryS93, author = {Umakanta Choudhury and Alberto L. Sangiovanni{-}Vincentelli}, title = {Automatic generation of parasitic constraints for performance-constrained physical design of analog circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {2}, pages = {208--224}, year = {1993}, url = {https://doi.org/10.1109/43.205002}, doi = {10.1109/43.205002}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChoudhuryS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChoudhuryS93a, author = {Umakanta Choudhury and Alberto L. Sangiovanni{-}Vincentelli}, title = {Constraint-based channel routing for analog and mixed analog/digital circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {4}, pages = {497--510}, year = {1993}, url = {https://doi.org/10.1109/43.229733}, doi = {10.1109/43.229733}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChoudhuryS93a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MalikSBS93, author = {Sharad Malik and Kanwar Jit Singh and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Performance optimization of pipelined logic circuits using peripheral retiming and resynthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {5}, pages = {568--578}, year = {1993}, url = {https://doi.org/10.1109/43.277605}, doi = {10.1109/43.277605}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MalikSBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CasottoS93, author = {Andrea Casotto and Alberto L. Sangiovanni{-}Vincentelli}, title = {Automated design management using traces}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {8}, pages = {1077--1095}, year = {1993}, url = {https://doi.org/10.1109/43.238602}, doi = {10.1109/43.238602}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CasottoS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MalavasiS93, author = {Enrico Malavasi and Alberto L. Sangiovanni{-}Vincentelli}, title = {Area routing for analog layout}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {8}, pages = {1186--1197}, year = {1993}, url = {https://doi.org/10.1109/43.238611}, doi = {10.1109/43.238611}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MalavasiS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/McGeerSBS93, author = {Patrick C. McGeer and Jagesh V. Sanghavi and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {{ESPRESSO-SIGNATURE:} a new exact minimizer for logic functions}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {1}, number = {4}, pages = {432--440}, year = {1993}, url = {https://doi.org/10.1109/92.250190}, doi = {10.1109/92.250190}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/McGeerSBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/BalarinS93, author = {Felice Balarin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Costas Courcoubetis}, title = {An Iterative Approach to Language Containment}, booktitle = {Computer Aided Verification, 5th International Conference, {CAV} '93, Elounda, Greece, June 28 - July 1, 1993, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {697}, pages = {29--40}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/3-540-56922-7\_4}, doi = {10.1007/3-540-56922-7\_4}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/cav/BalarinS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KawarabayashiSS93, author = {Masamichi Kawarabayashi and Narendra V. Shenoy and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alfred E. Dunlop}, title = {A Verification Technique for Gated Clock}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {123--127}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.164624}, doi = {10.1145/157485.164624}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KawarabayashiSS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LamBS93, author = {William K. C. Lam and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alfred E. Dunlop}, title = {Circuit Delay Models and Their Exact Computation Using Timed Boolean Functions}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {128--134}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.164625}, doi = {10.1145/157485.164625}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LamBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuCS93, author = {Edward W. Y. Liu and Henry C. Chang and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alfred E. Dunlop}, title = {Analog System Verification in the Presence of Parasitics Using Behavioral Simulation}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {159--163}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.164648}, doi = {10.1145/157485.164648}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuCS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MurgaiBS93, author = {Rajeev Murgai and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alfred E. Dunlop}, title = {Sequential Synthesis for Table Look Up Programmable Gate Arrays}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {224--229}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.164681}, doi = {10.1145/157485.164681}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MurgaiBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LamSBS93, author = {William K. C. Lam and Alexander Saldanha and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alfred E. Dunlop}, title = {Delay Fault Coverage and Performance Tradeoffs}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {446--452}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.164970}, doi = {10.1145/157485.164970}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LamSBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShenoyBS93, author = {Narendra V. Shenoy and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alfred E. Dunlop}, title = {Resynthesis of Multi-Phase Pipelines}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {490--496}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.164995}, doi = {10.1145/157485.164995}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShenoyBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/McGeerSBS93, author = {Patrick C. McGeer and Jagesh V. Sanghavi and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Alfred E. Dunlop}, title = {Espresso-Signature: {A} New Exact Minimizer for Logic Functions}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {618--624}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.165069}, doi = {10.1145/157485.165069}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/McGeerSBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/FeltYBS93, author = {Eric Felt and Gary York and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Dynamic variable reordering for {BDD} minimization}, booktitle = {Proceedings of the European Design Automation Conference 1993, {EURO-DAC} '93 with EURO-VHDL'93, Hamburg, Germany, September 20-24, 1993}, pages = {130--135}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/EURDAC.1993.410627}, doi = {10.1109/EURDAC.1993.410627}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/FeltYBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MurgaiBS93, author = {Rajeev Murgai and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Cube-packing and two-level minimization}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {115--122}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580041}, doi = {10.1109/ICCAD.1993.580041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MurgaiBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShenoyBS93, author = {Narendra V. Shenoy and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Minimum padding to satisfy short path constraints}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {156--161}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580048}, doi = {10.1109/ICCAD.1993.580048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShenoyBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuS93, author = {Edward W. Y. Liu and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Nyquist data converter testing and yield analysis using behavioral simulation}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {341--348}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580079}, doi = {10.1109/ICCAD.1993.580079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BeardsleeS93, author = {Mark Beardslee and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {An algorithm for improving partitions of pin-limited multi-chip systems}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {378--385}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580084}, doi = {10.1109/ICCAD.1993.580084}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BeardsleeS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CharbonMS93, author = {Edoardo Charbon and Enrico Malavasi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Generalized constraint generation for analog circuit design}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {408--414}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580089}, doi = {10.1109/ICCAD.1993.580089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CharbonMS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MurgaiBS93, author = {Rajeev Murgai and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Some Results on the Complexity of Boolean Functions for Table Look Up Architectures}, booktitle = {Proceedings 1993 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '93, Cambridge, MA, USA, October 3-6, 1993}, pages = {505--512}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/ICCD.1993.393325}, doi = {10.1109/ICCD.1993.393325}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MurgaiBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-5/LavagnoS93, author = {Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Stephen B. Furber and Martyn Edwards}, title = {Automated Synthesis of Asynchronous Interface Circuits}, booktitle = {Asynchronous Design Methodologies, Proceedings of the {IFIP} {WG10.5} Working Conference on Asynchronous Design Methodologies, Manchester, UK, 31 March - 2 April, 1993}, series = {{IFIP} Transactions}, volume = {{A-28}}, pages = {107--121}, publisher = {North-Holland}, year = {1993}, timestamp = {Wed, 17 Sep 2003 08:17:25 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-5/LavagnoS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/OliveiraS93, author = {Arlindo L. Oliveira and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jack D. Cowan and Gerald Tesauro and Joshua Alspector}, title = {Learning Complex Boolean Functions: Algorithms and Applications}, booktitle = {Advances in Neural Information Processing Systems 6, [7th {NIPS} Conference, Denver, Colorado, USA, 1993]}, pages = {911--918}, publisher = {Morgan Kaufmann}, year = {1993}, url = {http://papers.nips.cc/paper/857-learning-complex-boolean-functions-algorithms-and-applications}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/OliveiraS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/McGeerSBS93, author = {Patrick C. McGeer and Jagesh V. Sanghavi and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Minimization of Logic Functions Using Essential Signature Sets}, booktitle = {Proceedings of the Sixth International Conference on {VLSI} Design, {VLSI} Design 1993, Bombay, India, January 3-6, 1993}, pages = {323--328}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/ICVD.1993.669704}, doi = {10.1109/ICVD.1993.669704}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/McGeerSBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MalikLBS92, author = {Sharad Malik and Luciano Lavagno and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Symbolic minimization of multilevel logic and the input encoding problem}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {7}, pages = {825--843}, year = {1992}, url = {https://doi.org/10.1109/43.144847}, doi = {10.1109/43.144847}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MalikLBS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/BalarinS92, author = {Felice Balarin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gregor von Bochmann and David K. Probst}, title = {A Verification Strategy for Timing-Constrained Systems}, booktitle = {Computer Aided Verification, Fourth International Workshop, {CAV} '92, Montreal, Canada, June 29 - July 1, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {663}, pages = {151--163}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-56496-9\_13}, doi = {10.1007/3-540-56496-9\_13}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/cav/BalarinS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/ShipleCSB92, author = {Thomas R. Shiple and Massimiliano Chiodo and Alberto L. Sangiovanni{-}Vincentelli and Robert K. Brayton}, editor = {Gregor von Bochmann and David K. Probst}, title = {Automatic Reduction in {CTL} Compositional Model Checking}, booktitle = {Computer Aided Verification, Fourth International Workshop, {CAV} '92, Montreal, Canada, June 29 - July 1, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {663}, pages = {234--247}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-56496-9\_19}, doi = {10.1007/3-540-56496-9\_19}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cav/ShipleCSB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SaldanhaBS92, author = {Alexander Saldanha and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Daniel G. Schweikert}, title = {Equivalence of Robust Delay-Fault and Single Stuck-Fault Test Generation}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {173--176}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.110359}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SaldanhaBS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SaldanhaBS92a, author = {Alexander Saldanha and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Daniel G. Schweikert}, title = {Circuit Structure Relations to Redundancy and Delay: The {KMS} Algorithm Revisited}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {245--248}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149425}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SaldanhaBS92a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MurgaiBS92, author = {Rajeev Murgai and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Daniel G. Schweikert}, title = {An Improved Synthesis Algorithm for Multiplexor-Based PGA's}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {380--386}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149498}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MurgaiBS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShenoySBS92, author = {Narendra V. Shenoy and Kanwar Jit Singh and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Daniel G. Schweikert}, title = {On the Temporal Equivalence of Sequential Circuits}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {405--409}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149549}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShenoySBS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LavagnoMBS92, author = {Luciano Lavagno and Cho W. Moon and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Daniel G. Schweikert}, title = {Solving the State Assignment Problem for Signal Transition Graphs}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {568--572}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149631}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LavagnoMBS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/BeardsleeLS92, author = {Mark Beardslee and Bill Lin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gerald Musgrave}, title = {Communication based logic partitioning}, booktitle = {Proceedings of the conference on European design automation, {EURO-DAC} '92, Hamburg, Germany, September 7-10, 1992}, pages = {32--37}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {https://doi.org/10.1109/EURDAC.1992.246268}, doi = {10.1109/EURDAC.1992.246268}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/BeardsleeLS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/FeltCMS92, author = {Eric Felt and Edoardo Charbon and Enrico Malavasi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gerald Musgrave}, title = {An efficient methodology for symbolic compaction of analog IC's with multiple symmetry constraints}, booktitle = {Proceedings of the conference on European design automation, {EURO-DAC} '92, Hamburg, Germany, September 7-10, 1992}, pages = {148--153}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {https://doi.org/10.1109/EURDAC.1992.246250}, doi = {10.1109/EURDAC.1992.246250}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/FeltCMS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/SavojSBS92, author = {Hamid Savoj and M{\'{a}}rio J. Silva and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gerald Musgrave}, title = {Boolean matching in logic synthesis}, booktitle = {Proceedings of the conference on European design automation, {EURO-DAC} '92, Hamburg, Germany, September 7-10, 1992}, pages = {168--174}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {https://doi.org/10.1109/EURDAC.1992.246247}, doi = {10.1109/EURDAC.1992.246247}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/SavojSBS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/Sangiovanni-Vincentelli92, author = {Alberto L. Sangiovanni{-}Vincentelli}, editor = {Herbert Gr{\"{u}}nbacher and Reiner W. Hartenstein}, title = {Some Considerations on Field-Programmable Gate Arrays and Their Impact on System Design}, booktitle = {Field-Programmable Gate Arrays: Architectures and Tools for Rapid Prototyping, Second International Workshop on Field-Programmable Logic and Applications, Vienna, Austria, August 31 - September 2, 1992, Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {705}, pages = {26--34}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-57091-8\_26}, doi = {10.1007/3-540-57091-8\_26}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/fpga/Sangiovanni-Vincentelli92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YakovlevLS92, author = {Alexandre Yakovlev and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {A unified signal transition graph model for asynchronous control circuit synthesis}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {104--111}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279390}, doi = {10.1109/ICCAD.1992.279390}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YakovlevLS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShenoyBS92, author = {Narendra V. Shenoy and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Graph algorithms for clock schedule optimization}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {132--136}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279401}, doi = {10.1109/ICCAD.1992.279401}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShenoyBS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiodoSSB92, author = {Massimiliano Chiodo and Thomas R. Shiple and Alberto L. Sangiovanni{-}Vincentelli and Robert K. Brayton}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Automatic compositional minimization in {CTL} model checking}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {172--178}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279379}, doi = {10.1109/ICCAD.1992.279379}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChiodoSSB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuS92, author = {Edward W. Y. Liu and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Behavioral simulation for noise in mixed-mode sampled-data systems}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {322--326}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279353}, doi = {10.1109/ICCAD.1992.279353}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LamBS92, author = {William K. C. Lam and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Valid clocking in wavepipelined circuits}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {518--525}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279318}, doi = {10.1109/ICCAD.1992.279318}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LamBS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LavagnoS92, author = {Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Linear Programming for Optimum Hazard Elimination in Asynchronous Circuits}, booktitle = {Proceedings 1992 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '92, Cambridge, MA, USA, October 11-14, 1992}, pages = {275--278}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ICCD.1992.276268}, doi = {10.1109/ICCD.1992.276268}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LavagnoS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SentovichSMSBS92, author = {Ellen Sentovich and Kanwar Jit Singh and Cho W. Moon and Hamid Savoj and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Sequential Circuit Design Using Synthesis and Optimization}, booktitle = {Proceedings 1992 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '92, Cambridge, MA, USA, October 11-14, 1992}, pages = {328--333}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ICCD.1992.276282}, doi = {10.1109/ICCD.1992.276282}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SentovichSMSBS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/OliveiraS92, author = {Arlindo L. Oliveira and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Derek H. Sleeman and Peter Edwards}, title = {Constructive Induction Using a Non-Greedy Strategy for Feature Selection}, booktitle = {Proceedings of the Ninth International Workshop on Machine Learning {(ML} 1992), Aberdeen, Scotland, UK, July 1-3, 1992}, pages = {355--360}, publisher = {Morgan Kaufmann}, year = {1992}, url = {https://doi.org/10.1016/b978-1-55860-247-2.50050-4}, doi = {10.1016/B978-1-55860-247-2.50050-4}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/OliveiraS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/Sangiovanni-Vincentelli91, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Editor's Foreword}, journal = {Algorithmica}, volume = {6}, number = {3}, pages = {295--301}, year = {1991}, url = {https://doi.org/10.1007/BF01759048}, doi = {10.1007/BF01759048}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/Sangiovanni-Vincentelli91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/RomeoS91, author = {Fabio Romeo and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Theoretical Framework for Simulated Annealing}, journal = {Algorithmica}, volume = {6}, number = {3}, pages = {302--345}, year = {1991}, url = {https://doi.org/10.1007/BF01759049}, doi = {10.1007/BF01759049}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/RomeoS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MalikSBS91, author = {Sharad Malik and Ellen M. Sentovich and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Retiming and resynthesis: optimizing sequential networks with combinational techniques}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {1}, pages = {74--84}, year = {1991}, url = {https://doi.org/10.1109/43.62793}, doi = {10.1109/43.62793}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MalikSBS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CasinoviS91, author = {Giorgio Casinovi and Alberto L. Sangiovanni{-}Vincentelli}, title = {A macromodeling algorithm for analog circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {2}, pages = {150--160}, year = {1991}, url = {https://doi.org/10.1109/43.68402}, doi = {10.1109/43.68402}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CasinoviS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MalikBNS91, author = {Abdul A. Malik and Robert K. Brayton and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Reduced offsets for minimization of binary-valued functions}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {4}, pages = {413--426}, year = {1991}, url = {https://doi.org/10.1109/43.75625}, doi = {10.1109/43.75625}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MalikBNS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WebberTGTS91, author = {Donald M. Webber and Eric Tomacruz and Roberto Guerrieri and Toru Toyabe and Alberto L. Sangiovanni{-}Vincentelli}, title = {A massively parallel algorithm for three-dimensional device simulation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {9}, pages = {1201--1209}, year = {1991}, url = {https://doi.org/10.1109/43.85767}, doi = {10.1109/43.85767}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WebberTGTS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SaldanhaVBS91, author = {Alexander Saldanha and Tiziano Villa and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {A. Richard Newton}, title = {A Framework for Satisfying Input and Output Encoding Constraints}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {170--175}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/127601.127656}, doi = {10.1145/127601.127656}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SaldanhaVBS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LavagnoKS91, author = {Luciano Lavagno and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {A. Richard Newton}, title = {Algorithms for Synthesis of Hazard-Free Asynchronous Circuits}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {302--308}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/127601.127685}, doi = {10.1145/127601.127685}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LavagnoKS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Sangiovanni-Vincentelli91, author = {Alberto L. Sangiovanni{-}Vincentelli}, editor = {A. Richard Newton}, title = {Testability Solutions: Who Really Wants Them? (Panel Abstract)}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {611}, publisher = {{ACM}}, year = {1991}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Sangiovanni-Vincentelli91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MurgaiBS91, author = {Rajeev Murgai and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {On Clustering for Minimum Delay/Area}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {6--9}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185176}, doi = {10.1109/ICCAD.1991.185176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MurgaiBS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OliveiraS91, author = {Arlindo L. Oliveira and Alberto L. Sangiovanni{-}Vincentelli}, title = {LSAT-An Algorithm for the Synthesis of Two Level Threshold Gate Networks}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {130--133}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185211}, doi = {10.1109/ICCAD.1991.185211}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OliveiraS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/McGeerSSBS91, author = {Patrick C. McGeer and Alexander Saldanha and Paul R. Stephan and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Timing Analysis and Delay-Fault Test Generation using Path-Recursive Functions}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {180--183}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185225}, doi = {10.1109/ICCAD.1991.185225}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/McGeerSSBS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/McGeerBSS91, author = {Patrick C. McGeer and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli and Sartaj Sahni}, title = {Performance Enhancement through the Generalized Bypass Transform}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {184--187}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185226}, doi = {10.1109/ICCAD.1991.185226}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/McGeerBSS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KeutzerLS91, author = {Kurt Keutzer and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis for Testability Techniques for Asynchronous Circuits}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {326--329}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185266}, doi = {10.1109/ICCAD.1991.185266}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KeutzerLS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuSGG91, author = {Edward W. Y. Liu and Alberto L. Sangiovanni{-}Vincentelli and Georges G. E. Gielen and Paul R. Gray}, title = {A Behavioral Representation for Nyquist Rate {A/D} Converters}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {386--389}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185283}, doi = {10.1109/ICCAD.1991.185283}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuSGG91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MurgaiSBS91, author = {Rajeev Murgai and Narendra V. Shenoy and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Improved Logic Synthesis Algorithms for Table Look Up Architectures}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {564--567}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185333}, doi = {10.1109/ICCAD.1991.185333}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MurgaiSBS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MurgaiSBS91a, author = {Rajeev Murgai and Narendra V. Shenoy and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Performance Directed Synthesis for Table Look Up Programmable Gate Arrays}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {572--575}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185335}, doi = {10.1109/ICCAD.1991.185335}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MurgaiSBS91a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShenoyBS91, author = {Narendra V. Shenoy and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Retiming of Circuits with Single Phase Transparent Latches}, booktitle = {Proceedings 1991 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '91, Cambridge, MA, USA, October 14-16, 1991}, pages = {86--89}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCD.1991.139850}, doi = {10.1109/ICCD.1991.139850}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShenoyBS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/OliveiraS91, author = {Arlindo L. Oliveira and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Lawrence Birnbaum and Gregg Collins}, title = {Learning Concepts by Synthesizing Minimal Threshold Gate Networks}, booktitle = {Proceedings of the Eighth International Workshop (ML91), Northwestern University, Evanston, Illinois, {USA}}, pages = {193--197}, publisher = {Morgan Kaufmann}, year = {1991}, url = {https://doi.org/10.1016/b978-1-55860-200-7.50042-8}, doi = {10.1016/B978-1-55860-200-7.50042-8}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/OliveiraS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0071798, author = {Kenneth S. Kundert and Jacob K. White and Alberto L. Sangiovanni{-}Vincentelli}, title = {Steady-state methods for simulating analog and microwave circuits}, series = {The Kluwer international series in engineering and computer science}, volume = {94}, publisher = {Kluwer}, year = {1990}, isbn = {978-0-7923-9069-5}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0071798.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/BraytonHS90, author = {Robert K. Brayton and Gary D. Hachtel and Alberto L. Sangiovanni{-}Vincentelli}, title = {Multilevel logic synthesis}, journal = {Proc. {IEEE}}, volume = {78}, number = {2}, pages = {264--300}, year = {1990}, url = {https://doi.org/10.1109/5.52213}, doi = {10.1109/5.52213}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/BraytonHS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasMNS90, author = {Srinivas Devadas and Hi{-}Keung Tony Ma and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Irredundant sequential machines via optimal logic synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {9}, number = {1}, pages = {8--18}, year = {1990}, url = {https://doi.org/10.1109/43.45852}, doi = {10.1109/43.45852}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasMNS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShinSS90, author = {Hyunchul Shin and Alberto L. Sangiovanni{-}Vincentelli and Carlo H. S{\'{e}}quin}, title = {'Zone-refining' techniques for {IC} layout compaction}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {9}, number = {2}, pages = {167--179}, year = {1990}, url = {https://doi.org/10.1109/43.46783}, doi = {10.1109/43.46783}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShinSS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/VillaS90, author = {Tiziano Villa and Alberto L. Sangiovanni{-}Vincentelli}, title = {{NOVA:} state assignment of finite state machines for optimal two-level logic implementation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {9}, number = {9}, pages = {905--924}, year = {1990}, url = {https://doi.org/10.1109/43.59068}, doi = {10.1109/43.59068}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/VillaS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CasottoNS90, author = {Andrea Casotto and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Richard C. Smith}, title = {Design Management Based on Design Traces}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {136--141}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123241}, doi = {10.1145/123186.123241}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CasottoNS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MalikBNS90, author = {Abdul A. Malik and Robert K. Brayton and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Richard C. Smith}, title = {Reduced Offsets for Two-Level Multi-Valued Logic Minimization}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {290--296}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123279}, doi = {10.1145/123186.123279}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MalikBNS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Sangiovanni-Vincentelli90, author = {Alberto L. Sangiovanni{-}Vincentelli}, editor = {Richard C. Smith}, title = {Testing Strategies for the 1990's (Panel Abstract)}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {338}, publisher = {{IEEE} Computer Society Press}, year = {1990}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Sangiovanni-Vincentelli90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghS90, author = {Kanwar Jit Singh and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Richard C. Smith}, title = {A Heuristic Algorithm for the Fanout Problem}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {357--360}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123303}, doi = {10.1145/123186.123303}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SinghS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoudhuryS90, author = {Umakanta Choudhury and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Richard C. Smith}, title = {Constraint Generation for Routing Analog Circuits}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {561--566}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123403}, doi = {10.1145/123186.123403}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoudhuryS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MurgaiNSBS90, author = {Rajeev Murgai and Yoshihito Nishizaki and Narendra V. Shenoy and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Richard C. Smith}, title = {Logic Synthesis for Programmable Gate Arrays}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {620--625}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123421}, doi = {10.1145/123186.123421}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MurgaiNSBS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MilorS90, author = {Linda Milor and Alberto L. Sangiovanni{-}Vincentelli}, title = {Computing Parametric Yield Accurately and Efficiently}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {116--119}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129856}, doi = {10.1109/ICCAD.1990.129856}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MilorS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TouatiSLBS90, author = {Herv{\'{e}} J. Touati and Hamid Savoj and Bill Lin and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Implicit State Enumeration of Finite State Machines Using BDDs}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {130--133}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129860}, doi = {10.1109/ICCAD.1990.129860}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TouatiSLBS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoudhuryS90, author = {Umakanta Choudhury and Alberto L. Sangiovanni{-}Vincentelli}, title = {Constraint-Based Channel Routing for Analog and Mixed Analog/Digital Circuits}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {198--201}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129879}, doi = {10.1109/ICCAD.1990.129879}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoudhuryS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MalavasiCS90, author = {Enrico Malavasi and Umakanta Choudhury and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Routing Methodology for Analog Integrated Circuits}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {202--205}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129880}, doi = {10.1109/ICCAD.1990.129880}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MalavasiCS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JusufGS90, author = {Gani Jusuf and Paul R. Gray and Alberto L. Sangiovanni{-}Vincentelli}, title = {{CADICS} - Cyclic Analog-to-Digital Converter Synthesis}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {286--289}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129904}, doi = {10.1109/ICCAD.1990.129904}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JusufGS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MilorS90a, author = {Linda Milor and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimal Test Set Design for Analog Circuits}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {294--297}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129906}, doi = {10.1109/ICCAD.1990.129906}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MilorS90a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MalikSBS90, author = {Sharad Malik and Kanwar Jit Singh and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Performance Optimization of Pipelined Circuits}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {410--413}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129939}, doi = {10.1109/ICCAD.1990.129939}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MalikSBS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SaldanhaBSC90, author = {Alexander Saldanha and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli and Kwang{-}Ting Cheng}, title = {Timing Optimization with Testability Considerations}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {460--463}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129953}, doi = {10.1109/ICCAD.1990.129953}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SaldanhaBSC90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LavagnoMBS90, author = {Luciano Lavagno and Sharad Malik and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {{MIS-MV:} Optimization of Multi-Level Logic with Multiple-Valued Inputs}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {560--563}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129981}, doi = {10.1109/ICCAD.1990.129981}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LavagnoMBS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MaDWS89, author = {Hi{-}Keung Tony Ma and Srinivas Devadas and Ruey{-}Sing Wei and Alberto L. Sangiovanni{-}Vincentelli}, title = {Logic verification algorithms and their parallel implementation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {8}, number = {2}, pages = {181--189}, year = {1989}, url = {https://doi.org/10.1109/43.21836}, doi = {10.1109/43.21836}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MaDWS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RhyneSKS89, author = {George W. Rhyne and Michael Steer and K. S. Kundent and Alberto L. Sangiovanni{-}Vincentelli}, title = {Comments on 'Simulation of nonlinear circuits in the frequency domain' [with reply]}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {8}, number = {8}, pages = {927--929}, year = {1989}, url = {https://doi.org/10.1109/43.31553}, doi = {10.1109/43.31553}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RhyneSKS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasMNS89, author = {Srinivas Devadas and Hi{-}Keung Tony Ma and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {A synthesis and optimization procedure for fully and easily testable sequential machines}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {8}, number = {10}, pages = {1100--1107}, year = {1989}, url = {https://doi.org/10.1109/43.39071}, doi = {10.1109/43.39071}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasMNS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/IgusaBS89, author = {Mitsuru Igusa and Mark Beardslee and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Donald E. Thomas}, title = {{ORCA} a Sea-of-Gates Place and Route System}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {122--127}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74404}, doi = {10.1145/74382.74404}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/IgusaBS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SaldanhaWBS89, author = {Alexander Saldanha and Albert R. Wang and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Donald E. Thomas}, title = {Multi-level Logic Simplification Using Don't Cares and Filters}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {277--282}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74429}, doi = {10.1145/74382.74429}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SaldanhaWBS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VillaS89, author = {Tiziano Villa and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Donald E. Thomas}, title = {{NOVA:} State Assignment of Finite State Machines for Optimal Two-level Logic Implementations}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {327--332}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74437}, doi = {10.1145/74382.74437}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VillaS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WeinerS89, author = {Nicholas Weiner and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Donald E. Thomas}, title = {Timing Analysis in a Logic Synthesis Environment}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {655--661}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74498}, doi = {10.1145/74382.74498}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WeinerS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MalikBS89, author = {Abdul A. Malik and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Logic minimization for factored forms}, booktitle = {Computer Design: {VLSI} in Computers and Processors, {ICCD} 1989. Proceedings., 1989 {IEEE} International Conference on, Cambridge, MA, USA, October 2-4, 1989}, pages = {396--399}, publisher = {{IEEE}}, year = {1989}, url = {https://doi.org/10.1109/ICCD.1989.63395}, doi = {10.1109/ICCD.1989.63395}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MalikBS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip/Sangiovanni-Vincentelli89, author = {Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gerhard X. Ritter}, title = {Design Methods and Tools for Application Specific Integrated Circuits}, booktitle = {Information Processing 89, Proceedings of the {IFIP} 11th World Computer Congress, San Francisco, USA, August 28 - September 1, 1989}, pages = {903}, publisher = {North-Holland/IFIP}, year = {1989}, timestamp = {Wed, 02 Feb 2022 21:17:54 +0100}, biburl = {https://dblp.org/rec/conf/ifip/Sangiovanni-Vincentelli89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NyeRST88, author = {William Nye and David C. Riley and Alberto L. Sangiovanni{-}Vincentelli and Andr{\'{e}} L. Tits}, title = {{DELIGHT.SPICE:} an optimization-based system for the design of integrated circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {7}, number = {4}, pages = {501--519}, year = {1988}, url = {https://doi.org/10.1109/43.3185}, doi = {10.1109/43.3185}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/NyeRST88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BraunBRSMDM88, author = {Douglas Braun and Jeffrey L. Burns and Fabio Romeo and Alberto L. Sangiovanni{-}Vincentelli and Kartikeya Mayaram and Srinivas Devadas and Hi{-}Keung Tony Ma}, title = {Techniques for multilayer channel routing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {7}, number = {6}, pages = {698--712}, year = {1988}, url = {https://doi.org/10.1109/43.3209}, doi = {10.1109/43.3209}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BraunBRSMDM88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BartlettBHJMRSW88, author = {Karen A. Bartlett and Robert K. Brayton and Gary D. Hachtel and Reily M. Jacoby and Christopher R. Morrison and Richard L. Rudell and Alberto L. Sangiovanni{-}Vincentelli and Albert R. Wang}, title = {Multi-level logic minimization using implicit don't cares}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {7}, number = {6}, pages = {723--740}, year = {1988}, url = {https://doi.org/10.1109/43.3211}, doi = {10.1109/43.3211}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BartlettBHJMRSW88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CasinoviS88, author = {Giorgio Casinovi and Alberto L. Sangiovanni{-}Vincentelli}, title = {A new aggregation technique for the solution of large systems of algebraic equations {[IC} simulation]}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {7}, number = {9}, pages = {976--986}, year = {1988}, url = {https://doi.org/10.1109/43.7795}, doi = {10.1109/43.7795}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CasinoviS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MaDNS88, author = {Hi{-}Keung Tony Ma and Srinivas Devadas and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Test generation for sequential circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {7}, number = {10}, pages = {1081--1093}, year = {1988}, url = {https://doi.org/10.1109/43.7807}, doi = {10.1109/43.7807}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MaDNS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GuerrieriS88, author = {Roberto Guerrieri and Alberto L. Sangiovanni{-}Vincentelli}, title = {Three-dimensional capacitance evaluation on a Connection Machine}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {7}, number = {11}, pages = {1125--1133}, year = {1988}, url = {https://doi.org/10.1109/43.9183}, doi = {10.1109/43.9183}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GuerrieriS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasMNS88, author = {Srinivas Devadas and Hi{-}Keung Tony Ma and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {{MUSTANG:} state assignment of finite state machines targeting multilevel logic implementations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {7}, number = {12}, pages = {1290--1300}, year = {1988}, url = {https://doi.org/10.1109/43.16807}, doi = {10.1109/43.16807}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasMNS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MalikWBS88, author = {Sharad Malik and Albert R. Wang and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Logic verification using binary decision diagrams in a logic synthesis environment}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {6--9}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122451}, doi = {10.1109/ICCAD.1988.122451}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MalikWBS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GreenbergIS88, author = {Ronald I. Greenberg and Alexander T. Ishii and Alberto L. Sangiovanni{-}Vincentelli}, title = {MulCh: a multi-layer channel router using one, two, and three layer partitions}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {88--91}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122469}, doi = {10.1109/ICCAD.1988.122469}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GreenbergIS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MalikBNS88, author = {Abdul A. Malik and Robert K. Brayton and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {A modified approach to two-level logic minimization}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {106--109}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122473}, doi = {10.1109/ICCAD.1988.122473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MalikBNS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinghWBS88, author = {Kanwar Jit Singh and Albert R. Wang and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Timing optimization of combinational logic}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {282--285}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122511}, doi = {10.1109/ICCAD.1988.122511}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinghWBS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DevadasWNS88, author = {Srinivas Devadas and Albert R. Wang and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Boolean decomposition in multi-level logic optimization}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {290--293}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122513}, doi = {10.1109/ICCAD.1988.122513}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DevadasWNS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LumsdaineWWS88, author = {Andrew Lumsdaine and Jacob White and Donald M. Webber and Alberto L. Sangiovanni{-}Vincentelli}, title = {A band relaxation algorithm for reliable and parallelizable circuit simulation}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {308--311}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122517}, doi = {10.1109/ICCAD.1988.122517}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LumsdaineWWS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KundertWS88, author = {Kenneth S. Kundert and Jacob White and Alberto L. Sangiovanni{-}Vincentelli}, title = {An envelope-following method for the efficient transient simulation of switching power and filter circuits}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {446--449}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122546}, doi = {10.1109/ICCAD.1988.122546}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KundertWS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShyuS88, author = {Jyuo{-}Min Shyu and Alberto L. Sangiovanni{-}Vincentelli}, title = {{ECSTASY:} a new environment for {IC} design optimization}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {484--487}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122554}, doi = {10.1109/ICCAD.1988.122554}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShyuS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Sangiovanni-Vincentelli88, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimal Logic Synthesis and Testability : Two Sides of the Same Coin}, booktitle = {Proceedings International Test Conference 1988, Washington, D.C., USA, September 1988}, pages = {3--12}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/TEST.1988.207774}, doi = {10.1109/TEST.1988.207774}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/Sangiovanni-Vincentelli88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DevadasMNS88, author = {Srinivas Devadas and Hi{-}Keung Tony Ma and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis and Optimization Procedures for Fully and Easily Testable Sequential Machines}, booktitle = {Proceedings International Test Conference 1988, Washington, D.C., USA, September 1988}, pages = {621--630}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/TEST.1988.207845}, doi = {10.1109/TEST.1988.207845}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/DevadasMNS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MaNDS88, author = {Hi{-}Keung Tony Ma and A. Richard Newton and Srinivas Devadas and Alberto L. Sangiovanni{-}Vincentelli}, title = {An Incomplete Scan Design Approach to Test Generation for Sequential Machines}, booktitle = {Proceedings International Test Conference 1988, Washington, D.C., USA, September 1988}, pages = {730--734}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/TEST.1988.207858}, doi = {10.1109/TEST.1988.207858}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/MaNDS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KramerS88, author = {Alan H. Kramer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {David S. Touretzky}, title = {Efficient Parallel Learning Algorithms for Neural Networks}, booktitle = {Advances in Neural Information Processing Systems 1, {[NIPS} Conference, Denver, Colorado, USA, 1988]}, pages = {40--48}, publisher = {Morgan Kaufmann}, year = {1988}, url = {http://papers.nips.cc/paper/134-efficient-parallel-learning-algorithms-for-neural-networks}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/KramerS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/NewtonS87, author = {Arthur R. Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {{CAD} tools for {ASIC} design}, journal = {Proc. {IEEE}}, volume = {75}, number = {6}, pages = {765--776}, year = {1987}, url = {https://doi.org/10.1109/PROC.1987.13798}, doi = {10.1109/PROC.1987.13798}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/NewtonS87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RudellS87, author = {Richard L. Rudell and Alberto L. Sangiovanni{-}Vincentelli}, title = {Multiple-Valued Minimization for {PLA} Optimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {6}, number = {5}, pages = {727--750}, year = {1987}, url = {https://doi.org/10.1109/TCAD.1987.1270318}, doi = {10.1109/TCAD.1987.1270318}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RudellS87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CasottoRS87, author = {Andrea Casotto and Fabio Romeo and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Parallel Simulated Annealing Algorithm for the Placement of Macro-Cells}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {6}, number = {5}, pages = {838--847}, year = {1987}, url = {https://doi.org/10.1109/TCAD.1987.1270327}, doi = {10.1109/TCAD.1987.1270327}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CasottoRS87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShinS87, author = {Hyunchul Shin and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Detailed Router Based on Incremental Routing Modifications: Mighty}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {6}, number = {6}, pages = {942--955}, year = {1987}, url = {https://doi.org/10.1109/TCAD.1987.1270336}, doi = {10.1109/TCAD.1987.1270336}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShinS87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BraytonRSW87, author = {Robert K. Brayton and Richard L. Rudell and Alberto L. Sangiovanni{-}Vincentelli and Albert R. Wang}, title = {{MIS:} {A} Multiple-Level Logic Optimization System}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {6}, number = {6}, pages = {1062--1081}, year = {1987}, url = {https://doi.org/10.1109/TCAD.1987.1270347}, doi = {10.1109/TCAD.1987.1270347}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BraytonRSW87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WebberS87, author = {Donald M. Webber and Alberto L. Sangiovanni{-}Vincentelli}, editor = {A. O'Neill and D. Thomas}, title = {Circuit Simulation on the Connection Machine}, booktitle = {Proceedings of the 24th {ACM/IEEE} Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987}, pages = {108--113}, publisher = {{IEEE} Computer Society Press / {ACM}}, year = {1987}, url = {https://doi.org/10.1145/37888.37904}, doi = {10.1145/37888.37904}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WebberS87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MaDSW87, author = {Hi{-}Keung Tony Ma and Srinivas Devadas and Alberto L. Sangiovanni{-}Vincentelli and Ruey{-}Sing Wei}, editor = {A. O'Neill and D. Thomas}, title = {Logic Verification Algorithms and Their Parallel Implementation}, booktitle = {Proceedings of the 24th {ACM/IEEE} Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987}, pages = {283--290}, publisher = {{IEEE} Computer Society Press / {ACM}}, year = {1987}, url = {https://doi.org/10.1145/37888.37931}, doi = {10.1145/37888.37931}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MaDSW87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/NewtonS86, author = {A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Computer-Aided Design for {VLSI} Circuits}, journal = {Computer}, volume = {19}, number = {4}, pages = {38--60}, year = {1986}, url = {https://doi.org/10.1109/MC.1986.1663204}, doi = {10.1109/MC.1986.1663204}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/NewtonS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RileyS86, author = {David C. Riley and Alberto L. Sangiovanni{-}Vincentelli}, title = {Models for a New Profit-Based Methodology for Statistical Design of Integrated Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {5}, number = {1}, pages = {131--169}, year = {1986}, url = {https://doi.org/10.1109/TCAD.1986.1270183}, doi = {10.1109/TCAD.1986.1270183}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RileyS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MicheliBS86, author = {Giovanni De Micheli and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Correction to "Optimal State Assignment for Finite State Machines"}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {5}, number = {1}, pages = {239}, year = {1986}, url = {https://doi.org/10.1109/TCAD.1986.1270192}, doi = {10.1109/TCAD.1986.1270192}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MicheliBS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KundertS86, author = {Kenneth S. Kundert and Alberto L. Sangiovanni{-}Vincentelli}, title = {Simulation of Nonlinear Circuits in the Frequency Domain}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {5}, number = {4}, pages = {521--535}, year = {1986}, url = {https://doi.org/10.1109/TCAD.1986.1270223}, doi = {10.1109/TCAD.1986.1270223}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KundertS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WeiS86, author = {Ruey{-}Sing Wei and Alberto L. Sangiovanni{-}Vincentelli}, title = {{PLATYPUS:} {A} {PLA} Test Pattern Generation Tool}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {5}, number = {4}, pages = {633--644}, year = {1986}, url = {https://doi.org/10.1109/TCAD.1986.1270233}, doi = {10.1109/TCAD.1986.1270233}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WeiS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShinSS86, author = {Hyunchul Shin and Alberto L. Sangiovanni{-}Vincentelli and Carlo H. S{\'{e}}quin}, editor = {Don Thomas}, title = {Two-dimensional compaction by "zone refining"}, booktitle = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las Vegas, NV, USA, June, 1986}, pages = {115--122}, publisher = {{IEEE} Computer Society Press}, year = {1986}, url = {https://doi.org/10.1145/318013.318032}, doi = {10.1145/318013.318032}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShinSS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SechenS86, author = {Carl Sechen and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Don Thomas}, title = {TimberWolf3.2: a new standard cell placement and global routing package}, booktitle = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las Vegas, NV, USA, June, 1986}, pages = {432--439}, publisher = {{IEEE} Computer Society Press}, year = {1986}, url = {https://doi.org/10.1145/318013.318083}, doi = {10.1145/318013.318083}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SechenS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BraunBDMMRS86, author = {Douglas Braun and Jeffrey L. Burns and Srinivas Devadas and Hi{-}Keung Tony Ma and Kartikeya Mayaram and Fabio Romeo and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Don Thomas}, title = {Chameleon: a new multi-layer channel router}, booktitle = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las Vegas, NV, USA, June, 1986}, pages = {495--502}, publisher = {{IEEE} Computer Society Press}, year = {1986}, url = {https://doi.org/10.1145/318013.318092}, doi = {10.1145/318013.318092}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BraunBDMMRS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MaS86, author = {Hi{-}Keung Tony Ma and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Don Thomas}, title = {Mixed-level fault coverage estimation}, booktitle = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las Vegas, NV, USA, June, 1986}, pages = {553--559}, publisher = {{IEEE} Computer Society Press}, year = {1986}, url = {https://doi.org/10.1145/318013.318102}, doi = {10.1145/318013.318102}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MaS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RifkinHLBS86, author = {Howard S. Rifkin and William R. Heller and Steve Law and Misha Burich and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Don Thomas}, title = {Floor planning systems (panel session)}, booktitle = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las Vegas, NV, USA, June, 1986}, pages = {630}, publisher = {{IEEE} Computer Society Press}, year = {1986}, url = {https://doi.org/10.1145/318013.318125}, doi = {10.1145/318013.318125}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RifkinHLBS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fjcc/SequinNS86, author = {Carlo H. S{\'{e}}quin and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Highlights of {VLSI} Research at Berkeley}, booktitle = {Proceedings of the Fall Joint Computer Conference, November 2-6, 1986, Dallas, Texas, {USA}}, pages = {894--897}, publisher = {{IEEE} Computer Society}, year = {1986}, timestamp = {Fri, 29 Sep 2017 14:35:52 +0200}, biburl = {https://dblp.org/rec/conf/fjcc/SequinNS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WeiS86, author = {Ruey{-}Sing Wei and Alberto L. Sangiovanni{-}Vincentelli}, title = {New Front-End and Line Justification Algorithm for Automatic Test Generation}, booktitle = {Proceedings International Test Conference 1986, Washington, D.C., USA, September 1986}, pages = {121--128}, publisher = {{IEEE} Computer Society}, year = {1986}, timestamp = {Tue, 22 Oct 2002 12:22:37 +0200}, biburl = {https://dblp.org/rec/conf/itc/WeiS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Sangiovanni-VincentelliW86, author = {Alberto L. Sangiovanni{-}Vincentelli and Ruey{-}Sing Wei}, title = {{PROTEUS} : {A} Logic Verification System for Combinational Circuits}, booktitle = {Proceedings International Test Conference 1986, Washington, D.C., USA, September 1986}, pages = {350--359}, publisher = {{IEEE} Computer Society}, year = {1986}, timestamp = {Tue, 22 Oct 2002 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Sangiovanni-VincentelliW86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NewtonPS85, author = {A. Richard Newton and Donald O. Pederson and Alberto L. Sangiovanni{-}Vincentelli}, title = {Design Aids for {VLSI:} {A} Perspective Revisited}, journal = {{IEEE} Des. Test}, volume = {2}, number = {2}, pages = {106--115}, year = {1985}, url = {https://doi.org/10.1109/MDT.1985.294877}, doi = {10.1109/MDT.1985.294877}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NewtonPS85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ReedSS85, author = {James Reed and Alberto L. Sangiovanni{-}Vincentelli and Mauro Santomauro}, title = {A New Symbolic Channel Router: {YACR2}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {4}, number = {3}, pages = {208--219}, year = {1985}, url = {https://doi.org/10.1109/TCAD.1985.1270117}, doi = {10.1109/TCAD.1985.1270117}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ReedSS85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MicheliBS85, author = {Giovanni De Micheli and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Optimal State Assignment for Finite State Machines}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {4}, number = {3}, pages = {269--285}, year = {1985}, url = {https://doi.org/10.1109/TCAD.1985.1270123}, doi = {10.1109/TCAD.1985.1270123}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MicheliBS85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WeiS85, author = {Ruey{-}Sing Wei and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Hillel Ofek and Lawrence A. O'Neill}, title = {{PLATYPUS:} a {PLA} test pattern generation tool}, booktitle = {Proceedings of the 22nd {ACM/IEEE} conference on Design automation, {DAC} 1985, Las Vegas, Nevada, USA, 1985}, pages = {197--203}, publisher = {{ACM}}, year = {1985}, url = {https://doi.org/10.1145/317825.317856}, doi = {10.1145/317825.317856}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WeiS85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/BraytonHMS84, author = {Robert K. Brayton and Gary D. Hachtel and Curtis T. McMullen and Alberto L. Sangiovanni{-}Vincentelli}, title = {Logic Minimization Algorithms for {VLSI} Synthesis}, series = {The Kluwer International Series in Engineering and Computer Science}, volume = {2}, publisher = {Springer}, year = {1984}, url = {https://doi.org/10.1007/978-1-4613-2821-6}, doi = {10.1007/978-1-4613-2821-6}, isbn = {978-1-4612-9784-0}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/BraytonHMS84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/VisvanathanS84, author = {V. Visvanathan and Alberto L. Sangiovanni{-}Vincentelli}, title = {A Computational Approach for the Diagnosability of Dynamical Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {3}, number = {3}, pages = {165--171}, year = {1984}, url = {https://doi.org/10.1109/TCAD.1984.1270071}, doi = {10.1109/TCAD.1984.1270071}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/VisvanathanS84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MicheliS84, author = {Giovanni De Micheli and Alberto L. Sangiovanni{-}Vincentelli}, title = {Correction to "Multiple Constrained Folding of Programmable Logic Arrays: Theory and Applications"}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {3}, number = {3}, pages = {256}, year = {1984}, url = {https://doi.org/10.1109/TCAD.1984.1270083}, doi = {10.1109/TCAD.1984.1270083}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MicheliS84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NewtonS84, author = {A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Relaxation-Based Electrical Simulation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {3}, number = {4}, pages = {308--331}, year = {1984}, url = {https://doi.org/10.1109/TCAD.1984.1270089}, doi = {10.1109/TCAD.1984.1270089}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/NewtonS84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MicheliS83, author = {Giovanni De Micheli and Alberto L. Sangiovanni{-}Vincentelli}, title = {Multiple Constrained Folding of Programmable Logic Arrays: Theory and Applications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {2}, number = {3}, pages = {151--167}, year = {1983}, url = {https://doi.org/10.1109/TCAD.1983.1270033}, doi = {10.1109/TCAD.1983.1270033}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MicheliS83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MicheliNS83, author = {Giovanni De Micheli and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {Symmetric Displacement Algorithms for the Timing Analysis of Large Scale Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {2}, number = {3}, pages = {167--180}, year = {1983}, url = {https://doi.org/10.1109/TCAD.1983.1270034}, doi = {10.1109/TCAD.1983.1270034}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MicheliNS83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/KayeS83, author = {R. John Kaye and Alberto L. Sangiovanni{-}Vincentelli}, title = {Solution of piecewise-linear ordinary differential equations using waveform relaxation and laplace transforms}, journal = {{IEEE} Trans. Syst. Man Cybern.}, volume = {13}, number = {4}, pages = {465--469}, year = {1983}, url = {https://doi.org/10.1109/TSMC.1983.6313132}, doi = {10.1109/TSMC.1983.6313132}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/KayeS83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MicheliS83, author = {Giovanni De Micheli and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Charles E. Radke}, title = {{PLEASURE:} a computer program for simple/multiple constrained/unconstrained folding of Programmable Logic Arrays}, booktitle = {Proceedings of the 20th Design Automation Conference, {DAC} '83, Miami Beach, Florida, USA, June 27-29, 1983}, pages = {530--537}, publisher = {{ACM/IEEE}}, year = {1983}, url = {http://dl.acm.org/citation.cfm?id=800719}, timestamp = {Wed, 29 Mar 2017 16:45:25 +0200}, biburl = {https://dblp.org/rec/conf/dac/MicheliS83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/MaynePS82, author = {David Q. Mayne and Elijah Polak and Alberto L. Sangiovanni{-}Vincentelli}, title = {Computer-aided design via optimization : {A} review}, journal = {Autom.}, volume = {18}, number = {2}, pages = {147--154}, year = {1982}, url = {https://doi.org/10.1016/0005-1098(82)90104-2}, doi = {10.1016/0005-1098(82)90104-2}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/MaynePS82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HachtelNS82, author = {Gary D. Hachtel and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, title = {An Algorithm for Optimal {PLA} Folding}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {1}, number = {2}, pages = {63--77}, year = {1982}, url = {https://doi.org/10.1109/TCAD.1982.1269996}, doi = {10.1109/TCAD.1982.1269996}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HachtelNS82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LelarasmeeRS82, author = {Ekachai Lelarasmee and Albert E. Ruehli and Alberto L. Sangiovanni{-}Vincentelli}, title = {The Waveform Relaxation Method for Time-Domain Analysis of Large Scale Integrated Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {1}, number = {3}, pages = {131--145}, year = {1982}, url = {https://doi.org/10.1109/TCAD.1982.1270004}, doi = {10.1109/TCAD.1982.1270004}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LelarasmeeRS82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HachtelNS82, author = {Gary D. Hachtel and A. Richard Newton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {James S. Crabbe and Charles E. Radke and Hillel Ofek}, title = {Techniques for programmable logic array folding}, booktitle = {Proceedings of the 19th Design Automation Conference, {DAC} '82, Las Vegas, Nevada, USA, June 14-16, 1982}, pages = {147--155}, publisher = {{ACM/IEEE}}, year = {1982}, url = {https://doi.org/10.1145/800263.809200}, doi = {10.1145/800263.809200}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HachtelNS82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LelarasmeeS82, author = {Ekachai Lelarasmee and Alberto L. Sangiovanni{-}Vincentelli}, editor = {James S. Crabbe and Charles E. Radke and Hillel Ofek}, title = {Relax: {A} new circuit for large scale {MOS} integrated circuits}, booktitle = {Proceedings of the 19th Design Automation Conference, {DAC} '82, Las Vegas, Nevada, USA, June 14-16, 1982}, pages = {682--687}, publisher = {{ACM/IEEE}}, year = {1982}, url = {https://doi.org/10.1145/800263.809276}, doi = {10.1145/800263.809276}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LelarasmeeS82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RatiuSP82, author = {Ion M. Ratiu and Alberto L. Sangiovanni{-}Vincentelli and Donald O. Pederson}, title = {{VICTOR} : {A} Fast {VLSI} Testability Analysis Program}, booktitle = {Proceedings International Test Conference 1982, Philadelphia, PA, USA, November 1982}, pages = {397--403}, publisher = {{IEEE} Computer Society}, year = {1982}, timestamp = {Wed, 23 Oct 2002 15:42:03 +0200}, biburl = {https://dblp.org/rec/conf/itc/RatiuSP82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/VisvanathanS81, author = {V. Visvanathan and Alberto L. Sangiovanni{-}Vincentelli}, title = {Diagnosability of Nonlinear Circuits and Systems - Part {I:} The dc Case}, journal = {{IEEE} Trans. Computers}, volume = {30}, number = {11}, pages = {889--898}, year = {1981}, url = {https://doi.org/10.1109/TC.1981.1675720}, doi = {10.1109/TC.1981.1675720}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/VisvanathanS81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SaeksSV81, author = {Richard Saeks and Alberto L. Sangiovanni{-}Vincentelli and V. Visvanathan}, title = {Diagnosability of Nonlinear Circuits and Systems - Part {II:} Dynamical Systems}, journal = {{IEEE} Trans. Computers}, volume = {30}, number = {11}, pages = {899--904}, year = {1981}, url = {https://doi.org/10.1109/TC.1981.1675721}, doi = {10.1109/TC.1981.1675721}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SaeksSV81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/networks/GotoS78, author = {S. Goto and Alberto L. Sangiovanni{-}Vincentelli}, title = {A new shortest path updating algorithm}, journal = {Networks}, volume = {8}, number = {4}, pages = {341--372}, year = {1978}, url = {https://doi.org/10.1002/net.3230080406}, doi = {10.1002/NET.3230080406}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/networks/GotoS78.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip7/Sangiovanni-VincentelliS73, author = {Alberto L. Sangiovanni{-}Vincentelli and Marco Somalvico}, editor = {R. Conti and Antonio Ruberti}, title = {State-Space Approach in Problem-solving Optimization}, booktitle = {5th Conference on Optimization Techniques, Part 2, Rome, Italy, May 7-11, 1973}, series = {Lecture Notes in Computer Science}, volume = {4}, pages = {144--158}, publisher = {Springer}, year = {1973}, url = {https://doi.org/10.1007/3-540-06600-4\_13}, doi = {10.1007/3-540-06600-4\_13}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/ifip7/Sangiovanni-VincentelliS73.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.