Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Naresh R. Shanbhag
@inproceedings{DBLP:conf/date/HeMGYSS23, author = {Wangxin He and Jian Meng and Sujan Kumar Gonugondla and Shimeng Yu and Naresh R. Shanbhag and Jae{-}sun Seo}, title = {{PRIVE:} Efficient {RRAM} Programming with Chip Verification for RRAM-based In-Memory Computing Acceleration}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137266}, doi = {10.23919/DATE56975.2023.10137266}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/HeMGYSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/RoyOADZVHS23, author = {Saion K. Roy and Han{-}Mo Ou and Mostafa Gamal Ahmed and Peter Deaville and Bonan Zhang and Naveen Verma and Pavan Kumar Hanumolu and Naresh R. Shanbhag}, title = {Compute SNR-boosted 22 nm MRAM-based In-memory Computing Macro using Statistical Error Compensation}, booktitle = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {25--28}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023.10268688}, doi = {10.1109/ESSCIRC59616.2023.10268688}, timestamp = {Mon, 23 Oct 2023 09:15:52 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/RoyOADZVHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimS23, author = {Hyungyo Kim and Naresh R. Shanbhag}, title = {Boosting the Accuracy of SRAM-Based in-Memory Architectures Via Maximum Likelihood-Based Error Compensation Method}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10095785}, doi = {10.1109/ICASSP49357.2023.10095785}, timestamp = {Sun, 05 Nov 2023 16:51:21 +0100}, biburl = {https://dblp.org/rec/conf/icassp/KimS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/OuS23, author = {Han{-}Mo Ou and Naresh R. Shanbhag}, title = {Enhancing the Accuracy of Resistive In-Memory Architectures using Adaptive Signal Processing}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096954}, doi = {10.1109/ICASSP49357.2023.10096954}, timestamp = {Sun, 05 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/OuS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/DboukS23, author = {Hassan Dbouk and Naresh R. Shanbhag}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {On the Robustness of Randomized Ensembles to Adversarial Perturbations}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {7303--7328}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/dbouk23a.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/DboukS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-01375, author = {Hassan Dbouk and Naresh R. Shanbhag}, title = {On the Robustness of Randomized Ensembles to Adversarial Perturbations}, journal = {CoRR}, volume = {abs/2302.01375}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.01375}, doi = {10.48550/ARXIV.2302.01375}, eprinttype = {arXiv}, eprint = {2302.01375}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-01375.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GonugondlaSDS22, author = {Sujan K. Gonugondla and Charbel Sakr and Hassan Dbouk and Naresh R. Shanbhag}, title = {Fundamental Limits on Energy-Delay-Accuracy of In-Memory Architectures in Inference Applications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {10}, pages = {3188--3201}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3124757}, doi = {10.1109/TCAD.2021.3124757}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GonugondlaSDS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ShanbhagR22, author = {Naresh R. Shanbhag and Saion K. Roy}, title = {Comprehending In-memory Computing Trends via Proper Benchmarking}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772817}, doi = {10.1109/CICC53496.2022.9772817}, timestamp = {Mon, 23 May 2022 16:36:20 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ShanbhagR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GonugondlaS22, author = {Sujan Kumar Gonugondla and Naresh R. Shanbhag}, title = {{IMPQ:} Reduced Complexity Neural Networks Via Granular Precision Assignment}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {66--70}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9746297}, doi = {10.1109/ICASSP43922.2022.9746297}, timestamp = {Tue, 07 Jun 2022 17:34:47 +0200}, biburl = {https://dblp.org/rec/conf/icassp/GonugondlaS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/DboukS22, author = {Hassan Dbouk and Naresh R. Shanbhag}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Adversarial Vulnerability of Randomized Ensembles}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {4890--4917}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/dbouk22a.html}, timestamp = {Tue, 12 Jul 2022 17:36:52 +0200}, biburl = {https://dblp.org/rec/conf/icml/DboukS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoyPS22, author = {Saion K. Roy and Ameya Patil and Naresh R. Shanbhag}, title = {Fundamental Limits on the Computational Accuracy of Resistive Crossbar-based In-memory Architectures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {384--388}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937336}, doi = {10.1109/ISCAS48785.2022.9937336}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RoyPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-06737, author = {Hassan Dbouk and Naresh R. Shanbhag}, title = {Adversarial Vulnerability of Randomized Ensembles}, journal = {CoRR}, volume = {abs/2206.06737}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.06737}, doi = {10.48550/ARXIV.2206.06737}, eprinttype = {arXiv}, eprint = {2206.06737}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-06737.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08974, author = {Klara Nahrstedt and Naresh R. Shanbhag and Vikram S. Adve and Nancy M. Amato and Romit Roy Choudhury and Carl A. Gunter and Nam Sung Kim and Olgica Milenkovic and Sayan Mitra and Lav R. Varshney and Yurii Vlasov and Sarita V. Adve and Rashid Bashir and Andreas Cangellaris and James DiCarlo and Katie Driggs Campbell and Nick Feamster and Mattia Gazzola and Karrie Karahalios and Sanmi Koyejo and Paul G. Kwiat and Bo Li and Negar Mehr and Ravish Mehra and Andrew Miller and Daniela Rus and Alexander G. Schwing and Anshumali Shrivastava}, title = {Coordinated Science Laboratory 70th Anniversary Symposium: The Future of Computing}, journal = {CoRR}, volume = {abs/2210.08974}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08974}, doi = {10.48550/ARXIV.2210.08974}, eprinttype = {arXiv}, eprint = {2210.08974}, timestamp = {Mon, 18 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08974.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DboukGSS21, author = {Hassan Dbouk and Sujan K. Gonugondla and Charbel Sakr and Naresh R. Shanbhag}, title = {A 0.44-{\(\mu\)}J/dec, 39.9-{\(\mu\)}s/dec, Recurrent Attention In-Memory Processor for Keyword Spotting}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {7}, pages = {2234--2244}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3029586}, doi = {10.1109/JSSC.2020.3029586}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DboukGSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/SakrS21, author = {Charbel Sakr and Naresh R. Shanbhag}, title = {Signal Processing Methods to Enhance the Energy Efficiency of In-Memory Computing Architectures}, journal = {{IEEE} Trans. Signal Process.}, volume = {69}, pages = {6462--6472}, year = {2021}, url = {https://doi.org/10.1109/TSP.2021.3130488}, doi = {10.1109/TSP.2021.3130488}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/SakrS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MairSKBCMNCTS21, author = {Hugh Mair and Shinichiro Shiratake and Eric Karl and Thomas Burd and Jonathan Chang and Debbie Marr and Samuel Naffziger and Henk Corporaal and Ken Takeuchi and Naresh R. Shanbhag}, title = {{SE1:} What Technologies Will Shape the Future of Computing?}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {537--538}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366007}, doi = {10.1109/ISSCC42613.2021.9366007}, timestamp = {Wed, 10 Mar 2021 15:02:58 +0100}, biburl = {https://dblp.org/rec/conf/isscc/MairSKBCMNCTS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/MahmoudHFASSM0021, author = {Abdulrahman Mahmoud and Siva Kumar Sastry Hari and Christopher W. Fletcher and Sarita V. Adve and Charbel Sakr and Naresh R. Shanbhag and Pavlo Molchanov and Michael B. Sullivan and Timothy Tsai and Stephen W. Keckler}, editor = {Zhi Jin and Xuandong Li and Jianwen Xiang and Leonardo Mariani and Ting Liu and Xiao Yu and Nahgmeh Ivaki}, title = {Optimizing Selective Protection for {CNN} Resilience}, booktitle = {32nd {IEEE} International Symposium on Software Reliability Engineering, {ISSRE} 2021, Wuhan, China, October 25-28, 2021}, pages = {127--138}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSRE52982.2021.00025}, doi = {10.1109/ISSRE52982.2021.00025}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issre/MahmoudHFASSM0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/DboukS21, author = {Hassan Dbouk and Naresh R. Shanbhag}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Generalized Depthwise-Separable Convolutions for Adversarially Robust and Efficient Neural Networks}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {12027--12039}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/649adc59afdef2a8b9e943f94a04b02f-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/DboukS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-14710, author = {Ameya D. Patil and Michael Tuttle and Alexander G. Schwing and Naresh R. Shanbhag}, title = {Robustifying {\unicode{120001}}\({}_{\mbox{{\(\infty\)}}}\) Adversarial Training to the Union of Perturbation Models}, journal = {CoRR}, volume = {abs/2105.14710}, year = {2021}, url = {https://arxiv.org/abs/2105.14710}, eprinttype = {arXiv}, eprint = {2105.14710}, timestamp = {Wed, 02 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-14710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-14871, author = {Hassan Dbouk and Naresh R. Shanbhag}, title = {Generalized Depthwise-Separable Convolutions for Adversarially Robust and Efficient Neural Networks}, journal = {CoRR}, volume = {abs/2110.14871}, year = {2021}, url = {https://arxiv.org/abs/2110.14871}, eprinttype = {arXiv}, eprint = {2110.14871}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-14871.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/KangGS20, author = {Mingu Kang and Sujan K. Gonugondla and Naresh R. Shanbhag}, title = {Deep In-Memory Architectures in {SRAM:} An Analog Approach to Approximate Computing}, journal = {Proc. {IEEE}}, volume = {108}, number = {12}, pages = {2251--2275}, year = {2020}, url = {https://doi.org/10.1109/JPROC.2020.3034117}, doi = {10.1109/JPROC.2020.3034117}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/KangGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KangKPS20, author = {Mingu Kang and Yongjune Kim and Ameya D. Patil and Naresh R. Shanbhag}, title = {Deep In-Memory Architectures for Machine Learning-Accuracy Versus Efficiency Trade-Offs}, journal = {{IEEE} Trans. Circuits Syst. {I} Fundam. Theory Appl.}, volume = {67-I}, number = {5}, pages = {1627--1639}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2019.2960841}, doi = {10.1109/TCSI.2019.2960841}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KangKPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/DboukGSS20, author = {Hassan Dbouk and Sujan K. Gonugondla and Charbel Sakr and Naresh R. Shanbhag}, title = {KeyRAM: {A} 0.34 uJ/decision 18 k decisions/s Recurrent Attention In-memory Processor for Keyword Spotting}, booktitle = {2020 {IEEE} Custom Integrated Circuits Conference, {CICC} 2020, Boston, MA, USA, March 22-25, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CICC48029.2020.9075923}, doi = {10.1109/CICC48029.2020.9075923}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/DboukGSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/DboukSMS20, author = {Hassan Dbouk and Hetul Sanghvi and Mahesh Mehendale and Naresh R. Shanbhag}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {{DBQ:} {A} Differentiable Branch Quantizer for Lightweight Deep Neural Networks}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXVII}}, series = {Lecture Notes in Computer Science}, volume = {12372}, pages = {90--106}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58583-9\_6}, doi = {10.1007/978-3-030-58583-9\_6}, timestamp = {Mon, 23 Nov 2020 08:37:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/DboukSMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/DboukGVS20, author = {Hassan Dbouk and Hanfei Geng and Craig M. Vineyard and Naresh R. Shanbhag}, title = {Low-Complexity Fixed-Point Convolutional Neural Networks For Automatic Target Recognition}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {1598--1602}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054094}, doi = {10.1109/ICASSP40776.2020.9054094}, timestamp = {Thu, 23 Jul 2020 16:19:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/DboukGVS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GonugondlaPS20, author = {Sujan K. Gonugondla and Ameya D. Patil and Naresh R. Shanbhag}, title = {{SWIPE:} Enhancing Robustness of ReRAM Crossbars for In-memory Computing}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {93:1--93:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415642}, doi = {10.1145/3400302.3415642}, timestamp = {Mon, 18 Jan 2021 09:56:56 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GonugondlaPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GonugondlaSDS20, author = {Sujan K. Gonugondla and Charbel Sakr and Hassan Dbouk and Naresh R. Shanbhag}, title = {Fundamental Limits on the Precision of In-memory Architectures}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {128:1--128:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3416344}, doi = {10.1145/3400302.3416344}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GonugondlaSDS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-09786, author = {Abdulrahman Mahmoud and Siva Kumar Sastry Hari and Christopher W. Fletcher and Sarita V. Adve and Charbel Sakr and Naresh R. Shanbhag and Pavlo Molchanov and Michael B. Sullivan and Timothy Tsai and Stephen W. Keckler}, title = {HarDNN: Feature Map Vulnerability Evaluation in CNNs}, journal = {CoRR}, volume = {abs/2002.09786}, year = {2020}, url = {https://arxiv.org/abs/2002.09786}, eprinttype = {arXiv}, eprint = {2002.09786}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-09786.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-02434, author = {Randy Bryant and Mark D. Hill and Tom Kazior and Daniel Lee and Jie Liu and Klara Nahrstedt and Vijay Narayanan and Jan M. Rabaey and Hava T. Siegelmann and Naresh R. Shanbhag and Naveen Verma and H.{-}S. Philip Wong}, title = {Nanotechnology-inspired Information Processing Systems of the Future}, journal = {CoRR}, volume = {abs/2005.02434}, year = {2020}, url = {https://arxiv.org/abs/2005.02434}, eprinttype = {arXiv}, eprint = {2005.02434}, timestamp = {Sat, 09 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-02434.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-09818, author = {Hassan Dbouk and Hetul Sanghvi and Mahesh Mehendale and Naresh R. Shanbhag}, title = {{DBQ:} {A} Differentiable Branch Quantizer for Lightweight Deep Neural Networks}, journal = {CoRR}, volume = {abs/2007.09818}, year = {2020}, url = {https://arxiv.org/abs/2007.09818}, eprinttype = {arXiv}, eprint = {2007.09818}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-09818.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-13645, author = {Sujan Kumar Gonugondla and Charbel Sakr and Hassan Dbouk and Naresh R. Shanbhag}, title = {Fundamental Limits on Energy-Delay-Accuracy of In-memory Architectures in Inference Applications}, journal = {CoRR}, volume = {abs/2012.13645}, year = {2020}, url = {https://arxiv.org/abs/2012.13645}, eprinttype = {arXiv}, eprint = {2012.13645}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-13645.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/SakrKS19, author = {Charbel Sakr and Yongjune Kim and Naresh R. Shanbhag}, title = {Minimum Precision Requirements of General Margin Hyperplane Classifiers}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {9}, number = {2}, pages = {253--266}, year = {2019}, url = {https://doi.org/10.1109/JETCAS.2019.2910164}, doi = {10.1109/JETCAS.2019.2910164}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/SakrKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KimRKVS19, author = {Yongjune Kim and Ravi Kiran Raman and Young{-}Sik Kim and Lav R. Varshney and Naresh R. Shanbhag}, title = {Efficient Local Secret Sharing for Distributed Blockchain Systems}, journal = {{IEEE} Commun. Lett.}, volume = {23}, number = {2}, pages = {282--285}, year = {2019}, url = {https://doi.org/10.1109/LCOMM.2018.2886016}, doi = {10.1109/LCOMM.2018.2886016}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/KimRKVS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KangSAKS19, author = {Mingu Kang and Prakalp Srivastava and Vikram S. Adve and Nam Sung Kim and Naresh R. Shanbhag}, title = {An Energy-Efficient Programmable Mixed-Signal Accelerator for Machine Learning Algorithms}, journal = {{IEEE} Micro}, volume = {39}, number = {5}, pages = {64--72}, year = {2019}, url = {https://doi.org/10.1109/MM.2019.2929502}, doi = {10.1109/MM.2019.2929502}, timestamp = {Wed, 18 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KangSAKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ShanbhagVKPV19, author = {Naresh R. Shanbhag and Naveen Verma and Yongjune Kim and Ameya D. Patil and Lav R. Varshney}, title = {Shannon-Inspired Statistical Computing for the Nanoscale Era}, journal = {Proc. {IEEE}}, volume = {107}, number = {1}, pages = {90--107}, year = {2019}, url = {https://doi.org/10.1109/JPROC.2018.2869867}, doi = {10.1109/JPROC.2018.2869867}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ShanbhagVKPV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/SakrS19, author = {Charbel Sakr and Naresh R. Shanbhag}, title = {Per-Tensor Fixed-Point Quantization of the Back-Propagation Algorithm}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=rkxaNjA9Ym}, timestamp = {Thu, 25 Jul 2019 13:03:15 +0200}, biburl = {https://dblp.org/rec/conf/iclr/SakrS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/SakrWCCASG19, author = {Charbel Sakr and Naigang Wang and Chia{-}Yu Chen and Jungwook Choi and Ankur Agrawal and Naresh R. Shanbhag and Kailash Gopalakrishnan}, title = {Accumulation Bit-Width Scaling For Ultra-Low Precision Training Of Deep Networks}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=BklMjsRqY7}, timestamp = {Thu, 25 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/SakrWCCASG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PatilHGKS19, author = {Ameya D. Patil and Haocheng Hua and Sujan K. Gonugondla and Mingu Kang and Naresh R. Shanbhag}, title = {An MRAM-Based Deep In-Memory Architecture for Deep Neural Networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702206}, doi = {10.1109/ISCAS.2019.8702206}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PatilHGKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PatilMNYS19, author = {Ameya D. Patil and Sasikanth Manipatruni and Dmitri E. Nikonov and Ian A. Young and Naresh R. Shanbhag}, title = {An Energy-Efficient Classifier via Boosted Spin Channel Networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702648}, doi = {10.1109/ISCAS.2019.8702648}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PatilMNYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-06588, author = {Charbel Sakr and Naigang Wang and Chia{-}Yu Chen and Jungwook Choi and Ankur Agrawal and Naresh R. Shanbhag and Kailash Gopalakrishnan}, title = {Accumulation Bit-Width Scaling For Ultra-Low Precision Training Of Deep Networks}, journal = {CoRR}, volume = {abs/1901.06588}, year = {2019}, url = {http://arxiv.org/abs/1901.06588}, eprinttype = {arXiv}, eprint = {1901.06588}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-06588.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/KangLGS18, author = {Mingu Kang and Sungmin Lim and Sujan K. Gonugondla and Naresh R. Shanbhag}, title = {An In-Memory {VLSI} Architecture for Convolutional Neural Networks}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {8}, number = {3}, pages = {494--505}, year = {2018}, url = {https://doi.org/10.1109/JETCAS.2018.2829522}, doi = {10.1109/JETCAS.2018.2829522}, timestamp = {Wed, 03 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/KangLGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangGPS18, author = {Mingu Kang and Sujan K. Gonugondla and Ameya Patil and Naresh R. Shanbhag}, title = {A Multi-Functional In-Memory Inference Processor Using a Standard 6T {SRAM} Array}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {2}, pages = {642--655}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2782087}, doi = {10.1109/JSSC.2017.2782087}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangGPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangGLS18, author = {Mingu Kang and Sujan K. Gonugondla and Sungmin Lim and Naresh R. Shanbhag}, title = {A 19.4-nJ/Decision, 364-K Decisions/s, In-Memory Random Forest Multi-Class Inference Accelerator}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {2126--2135}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2822703}, doi = {10.1109/JSSC.2018.2822703}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangGLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GonugondlaKS18, author = {Sujan K. Gonugondla and Mingu Kang and Naresh R. Shanbhag}, title = {A Variation-Tolerant In-Memory Machine Learning Classifier via On-Chip Training}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {11}, pages = {3163--3173}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2867275}, doi = {10.1109/JSSC.2018.2867275}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GonugondlaKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimKVS18, author = {Yongjune Kim and Mingu Kang and Lav R. Varshney and Naresh R. Shanbhag}, title = {Generalized Water-Filling for Source-Aware Energy-Efficient SRAMs}, journal = {{IEEE} Trans. Commun.}, volume = {66}, number = {10}, pages = {4826--4841}, year = {2018}, url = {https://doi.org/10.1109/TCOMM.2018.2841406}, doi = {10.1109/TCOMM.2018.2841406}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KimKVS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LinZS18, author = {Yingyan Lin and Sai Zhang and Naresh R. Shanbhag}, title = {A Rank Decomposed Statistical Error Compensation Technique for Robust Convolutional Neural Networks in the Near Threshold Voltage Regime}, journal = {J. Signal Process. Syst.}, volume = {90}, number = {10}, pages = {1439--1451}, year = {2018}, url = {https://doi.org/10.1007/s11265-018-1332-4}, doi = {10.1007/S11265-018-1332-4}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/LinZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/SakrS18, author = {Charbel Sakr and Naresh R. Shanbhag}, title = {Minimum Precision Requirements for Deep Learning with Biomedical Datasets}, booktitle = {2018 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2018, Cleveland, OH, USA, October 17-19, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOCAS.2018.8584732}, doi = {10.1109/BIOCAS.2018.8584732}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/SakrS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SakrS18, author = {Charbel Sakr and Naresh R. Shanbhag}, title = {An Analytical Method to Determine Minimum Per-Layer Precision of Deep Neural Networks}, booktitle = {2018 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2018, Calgary, AB, Canada, April 15-20, 2018}, pages = {1090--1094}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICASSP.2018.8461702}, doi = {10.1109/ICASSP.2018.8461702}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/SakrS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SakrCWGS18, author = {Charbel Sakr and Jungwook Choi and Zhuo Wang and Kailash Gopalakrishnan and Naresh R. Shanbhag}, title = {True Gradient-Based Training of Deep Binary Activated Neural Networks Via Continuous Binarization}, booktitle = {2018 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2018, Calgary, AB, Canada, April 15-20, 2018}, pages = {2346--2350}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICASSP.2018.8461456}, doi = {10.1109/ICASSP.2018.8461456}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/SakrCWGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SrivastavaKGLCA18, author = {Prakalp Srivastava and Mingu Kang and Sujan K. Gonugondla and Sungmin Lim and Jungwook Choi and Vikram S. Adve and Nam Sung Kim and Naresh R. Shanbhag}, editor = {Murali Annavaram and Timothy Mark Pinkston and Babak Falsafi}, title = {{PROMISE:} An End-to-End Design of a Programmable Mixed-Signal Accelerator for Machine-Learning Algorithms}, booktitle = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018}, pages = {43--56}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISCA.2018.00015}, doi = {10.1109/ISCA.2018.00015}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SrivastavaKGLCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GonugondlaKKHES18, author = {Sujan K. Gonugondla and Mingu Kang and Yongjune Kim and Mark Helm and Sean Eilert and Naresh R. Shanbhag}, title = {Energy-Efficient Deep In-memory Architecture for {NAND} Flash Memories}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351458}, doi = {10.1109/ISCAS.2018.8351458}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GonugondlaKKHES18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/KimKVS18, author = {Yongjune Kim and Mingu Kang and Lav R. Varshney and Naresh R. Shanbhag}, title = {{SRAM} Bit-line Swings Optimization using Generalized Waterfilling}, booktitle = {2018 {IEEE} International Symposium on Information Theory, {ISIT} 2018, Vail, CO, USA, June 17-22, 2018}, pages = {1670--1674}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISIT.2018.8437564}, doi = {10.1109/ISIT.2018.8437564}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/KimKVS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GonugondlaKS18, author = {Sujan Kumar Gonugondla and Mingu Kang and Naresh R. Shanbhag}, title = {A 42pJ/decision 3.12TOPS/W robust in-memory machine learning classifier with on-chip training}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {490--492}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310398}, doi = {10.1109/ISSCC.2018.8310398}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/GonugondlaKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-11732, author = {Charbel Sakr and Naresh R. Shanbhag}, title = {Per-Tensor Fixed-Point Quantization of the Back-Propagation Algorithm}, journal = {CoRR}, volume = {abs/1812.11732}, year = {2018}, url = {http://arxiv.org/abs/1812.11732}, eprinttype = {arXiv}, eprint = {1812.11732}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-11732.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/WadhwaMS17, author = {Aseem Wadhwa and Upamanyu Madhow and Naresh R. Shanbhag}, title = {Slicer Architectures for Analog-to-Information Conversion in Channel Equalizers}, journal = {{IEEE} Trans. Commun.}, volume = {65}, number = {3}, pages = {1234--1246}, year = {2017}, url = {https://doi.org/10.1109/TCOMM.2016.2641445}, doi = {10.1109/TCOMM.2016.2641445}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/WadhwaMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PatilSVPWMRWPMN17, author = {Ameya Patil and Naresh R. Shanbhag and Lav R. Varshney and Eric Pop and H.{-}S. Philip Wong and Subhasish Mitra and Jan M. Rabaey and Jeffrey A. Weldon and Larry T. Pileggi and Sasikanth Manipatruni and Dmitri E. Nikonov and Ian A. Young}, title = {A Systems Approach to Computing in Beyond {CMOS} Fabrics: Invited}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {18:1--18:2}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3072943}, doi = {10.1145/3061639.3072943}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PatilSVPWMRWPMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KangGS17, author = {Mingu Kang and Sujan K. Gonugondla and Naresh R. Shanbhag}, title = {A 19.4 nJ/decision 364K decisions/s in-memory random forest classifier in 6T {SRAM} array}, booktitle = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {263--266}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSCIRC.2017.8094576}, doi = {10.1109/ESSCIRC.2017.8094576}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KangGS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SakrPZKS17, author = {Charbel Sakr and Ameya D. Patil and Sai Zhang and Yongjune Kim and Naresh R. Shanbhag}, title = {Minimum precision requirements for the {SVM-SGD} learning algorithm}, booktitle = {2017 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017}, pages = {1138--1142}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICASSP.2017.7952334}, doi = {10.1109/ICASSP.2017.7952334}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/SakrPZKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SakrKS17, author = {Charbel Sakr and Yongjune Kim and Naresh R. Shanbhag}, editor = {Doina Precup and Yee Whye Teh}, title = {Analytical Guarantees on Numerical Precision of Deep Neural Networks}, booktitle = {Proceedings of the 34th International Conference on Machine Learning, {ICML} 2017, Sydney, NSW, Australia, 6-11 August 2017}, series = {Proceedings of Machine Learning Research}, volume = {70}, pages = {3007--3016}, publisher = {{PMLR}}, year = {2017}, url = {http://proceedings.mlr.press/v70/sakr17a.html}, timestamp = {Wed, 29 May 2019 08:41:45 +0200}, biburl = {https://dblp.org/rec/conf/icml/SakrKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinSKS17, author = {Yingyan Lin and Charbel Sakr and Yongjune Kim and Naresh R. Shanbhag}, title = {PredictiveNet: An energy-efficient convolutional neural network via zero prediction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050797}, doi = {10.1109/ISCAS.2017.8050797}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinSKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/PatilMNYS17, author = {Ameya D. Patil and Sasikanth Manipatruni and Dmitri E. Nikonov and Ian A. Young and Naresh R. Shanbhag}, title = {Shannon-inspired Statistical Computing to Enable Spintronics}, journal = {CoRR}, volume = {abs/1702.06119}, year = {2017}, url = {http://arxiv.org/abs/1702.06119}, eprinttype = {arXiv}, eprint = {1702.06119}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/PatilMNYS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-07153, author = {Yongjune Kim and Mingu Kang and Lav R. Varshney and Naresh R. Shanbhag}, title = {Generalized Water-filling for Source-Aware Energy-Efficient SRAMs}, journal = {CoRR}, volume = {abs/1710.07153}, year = {2017}, url = {http://arxiv.org/abs/1710.07153}, eprinttype = {arXiv}, eprint = {1710.07153}, timestamp = {Mon, 15 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-07153.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AbdallahS16, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {Correction to "An Energy-Efficient {ECG} Processor in 45-nm {CMOS} Using Statistical Error Compensation"}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {6}, pages = {1499}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2542116}, doi = {10.1109/JSSC.2016.2542116}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AbdallahS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/KangS16, author = {Mingu Kang and Naresh R. Shanbhag}, title = {In-Memory Computing Architectures for Sparse Distributed Memory}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {10}, number = {4}, pages = {855--863}, year = {2016}, url = {https://doi.org/10.1109/TBCAS.2016.2545402}, doi = {10.1109/TBCAS.2016.2545402}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/KangS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LinKFXSRS16, author = {Yingyan Lin and Min{-}Sun Keel and Adam C. Faust and Aolin Xu and Naresh R. Shanbhag and Elyse Rosenbaum and Andrew C. Singer}, title = {A Study of BER-Optimal ADC-Based Receiver for Serial Links}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {5}, pages = {693--704}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2016.2529284}, doi = {10.1109/TCSI.2016.2529284}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/LinKFXSRS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ZhangS16, author = {Sai Zhang and Naresh R. Shanbhag}, title = {Embedded Algorithmic Noise-Tolerance for Signal Processing and Machine Learning Systems via Data Path Decomposition}, journal = {{IEEE} Trans. Signal Process.}, volume = {64}, number = {13}, pages = {3338--3350}, year = {2016}, url = {https://doi.org/10.1109/TSP.2016.2546224}, doi = {10.1109/TSP.2016.2546224}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ZhangS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimCSR16, author = {Eric P. Kim and Jungwook Choi and Naresh R. Shanbhag and Rob A. Rutenbar}, title = {Error Resilient and Energy Efficient {MRF} Message-Passing-Based Stereo Matching}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {3}, pages = {897--908}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2437331}, doi = {10.1109/TVLSI.2015.2437331}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimCSR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangS16, author = {Sai Zhang and Naresh R. Shanbhag}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Probabilistic Error Models for machine learning kernels implemented on stochastic nanoscale fabrics}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {481--486}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459358/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GonugondlaSS16, author = {Sujan K. Gonugondla and Byonghyo Shim and Naresh R. Shanbhag}, title = {Perfect error compensation via algorithmic error cancellation}, booktitle = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, pages = {966--970}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICASSP.2016.7471819}, doi = {10.1109/ICASSP.2016.7471819}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/GonugondlaSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChoiPRS16, author = {Jungwook Choi and Ameya D. Patil and Rob A. Rutenbar and Naresh R. Shanbhag}, title = {Analysis of error resiliency of belief propagation in computer vision}, booktitle = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, pages = {1060--1064}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICASSP.2016.7471838}, doi = {10.1109/ICASSP.2016.7471838}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChoiPRS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/LinZS16, author = {Yingyan Lin and Sai Zhang and Naresh R. Shanbhag}, title = {Variation-Tolerant Architectures for Convolutional Neural Networks in the Near Threshold Voltage Regime}, booktitle = {2016 {IEEE} International Workshop on Signal Processing Systems, SiPS 2016, Dallas, TX, USA, October 26-28, 2016}, pages = {17--22}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SiPS.2016.11}, doi = {10.1109/SIPS.2016.11}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/LinZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhangKSS16, author = {Sai Zhang and Mingu Kang and Charbel Sakr and Naresh R. Shanbhag}, title = {Reducing the Energy Cost of Inference via In-sensor Information Processing}, journal = {CoRR}, volume = {abs/1607.00667}, year = {2016}, url = {http://arxiv.org/abs/1607.00667}, eprinttype = {arXiv}, eprint = {1607.00667}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhangKSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SakrPZS16, author = {Charbel Sakr and Ameya Patil and Sai Zhang and Naresh R. Shanbhag}, title = {Understanding the Energy and Precision Requirements for Online Learning}, journal = {CoRR}, volume = {abs/1607.00669}, year = {2016}, url = {http://arxiv.org/abs/1607.00669}, eprinttype = {arXiv}, eprint = {1607.00669}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/SakrPZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhangS16e, author = {Sai Zhang and Naresh R. Shanbhag}, title = {Error-Resilient Machine Learning in Near Threshold Voltage via Classifier Ensemble}, journal = {CoRR}, volume = {abs/1607.07804}, year = {2016}, url = {http://arxiv.org/abs/1607.07804}, eprinttype = {arXiv}, eprint = {1607.07804}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhangS16e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KangGPS16, author = {Mingu Kang and Sujan K. Gonugondla and Ameya Patil and Naresh R. Shanbhag}, title = {A 481pJ/decision 3.4M decision/s Multifunctional Deep In-memory Inference Processor using Standard 6T {SRAM} Array}, journal = {CoRR}, volume = {abs/1610.07501}, year = {2016}, url = {http://arxiv.org/abs/1610.07501}, eprinttype = {arXiv}, eprint = {1610.07501}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KangGPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Shanbhag16, author = {Naresh R. Shanbhag}, title = {Energy-efficient Machine Learning in Silicon: {A} Communications-inspired Approach}, journal = {CoRR}, volume = {abs/1611.03109}, year = {2016}, url = {http://arxiv.org/abs/1611.03109}, eprinttype = {arXiv}, eprint = {1611.03109}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Shanbhag16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimBNSJ15, author = {Eric P. Kim and Daniel J. Baker and Sriram Narayanan and Naresh R. Shanbhag and Douglas L. Jones}, title = {A 3.6-mW 50-MHz {PN} Code Acquisition Filter via Statistical Error Compensation in 180-nm {CMOS}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {3}, pages = {598--602}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2311318}, doi = {10.1109/TVLSI.2014.2311318}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimBNSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KangGKS15, author = {Mingu Kang and Sujan K. Gonugondla and Min{-}Sun Keel and Naresh R. Shanbhag}, title = {An energy-efficient memory-based high-throughput {VLSI} architecture for convolutional networks}, booktitle = {2015 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2015, South Brisbane, Queensland, Australia, April 19-24, 2015}, pages = {1037--1041}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICASSP.2015.7178127}, doi = {10.1109/ICASSP.2015.7178127}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KangGKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangS15, author = {Sai Zhang and Naresh R. Shanbhag}, editor = {Diana Marculescu and Frank Liu}, title = {Reduced Overhead Error Compensation for Energy Efficient Machine Learning Kernels}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {15--21}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372544}, doi = {10.1109/ICCAD.2015.7372544}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangKKS15, author = {Mingu Kang and Eric P. Kim and Min{-}Sun Keel and Naresh R. Shanbhag}, title = {Energy-efficient and high throughput sparse distributed memory architecture}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2505--2508}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169194}, doi = {10.1109/ISCAS.2015.7169194}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KangKKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Shanbhag15, author = {Naresh R. Shanbhag}, title = {Statistical information processing: Computing for the nanoscale era}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273480}, doi = {10.1109/ISLPED.2015.7273480}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/Shanbhag15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangTSK14, author = {Sai Zhang and Jane S. Tu and Naresh R. Shanbhag and Philip T. Krein}, title = {A 0.79 pJ/K-Gate, 83{\%} Efficient Unified Core and Voltage Regulator Architecture for Sub/Near-Threshold Operation in 130 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {11}, pages = {2644--2657}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2014.2354048}, doi = {10.1109/JSSC.2014.2354048}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangTSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ZhangSK14, author = {Sai Zhang and Naresh R. Shanbhag and Philip T. Krein}, title = {System-Level Optimization of Switched-Capacitor {VRM} and Core for Sub/Near-V\({}_{\mbox{t}}\) Computing}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {9}, pages = {726--730}, year = {2014}, url = {https://doi.org/10.1109/TCSII.2014.2331096}, doi = {10.1109/TCSII.2014.2331096}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ZhangSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AbdallahS14, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {Reducing Energy at the Minimum Energy Operating Point Via Statistical Error Compensation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {6}, pages = {1328--1337}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2271838}, doi = {10.1109/TVLSI.2013.2271838}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/AbdallahS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/ZhangS14, author = {Sai Zhang and Naresh R. Shanbhag}, title = {Embedded error compensation for energy efficient {DSP} systems}, booktitle = {2014 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2014, Atlanta, GA, USA, December 3-5, 2014}, pages = {30--34}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GlobalSIP.2014.7032072}, doi = {10.1109/GLOBALSIP.2014.7032072}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globalsip/ZhangS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/KimS14, author = {Eric P. Kim and Naresh R. Shanbhag}, title = {Energy-efficient accelerator architecture for stereo image matching using approximate computing and statistical error compensation}, booktitle = {2014 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2014, Atlanta, GA, USA, December 3-5, 2014}, pages = {55--59}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GlobalSIP.2014.7032077}, doi = {10.1109/GLOBALSIP.2014.7032077}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globalsip/KimS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KongKSEC14, author = {Mingu Kong and Min{-}Sun Keel and Naresh R. Shanbhag and Sean Eilert and Ken Curewitz}, title = {An energy-efficient {VLSI} architecture for pattern recognition via deep embedding of computation in {SRAM}}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2014, Florence, Italy, May 4-9, 2014}, pages = {8326--8330}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICASSP.2014.6855225}, doi = {10.1109/ICASSP.2014.6855225}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KongKSEC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimCSR14, author = {Eric P. Kim and Jungwook Choi and Naresh R. Shanbhag and Rob A. Rutenbar}, title = {A robust message passing based stereo matching kernel via system-level error resiliency}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2014, Florence, Italy, May 4-9, 2014}, pages = {8331--8335}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICASSP.2014.6855226}, doi = {10.1109/ICASSP.2014.6855226}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/KimCSR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WadhwaMS14, author = {Aseem Wadhwa and Upamanyu Madhow and Naresh R. Shanbhag}, title = {Space-time slicer architectures for analog-to-information conversion in channel equalizers}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2014, Sydney, Australia, June 10-14, 2014}, pages = {2124--2129}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICC.2014.6883637}, doi = {10.1109/ICC.2014.6883637}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/WadhwaMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/NahlusKSB14, author = {Ihab Nahlus and Eric P. Kim and Naresh R. Shanbhag and David T. Blaauw}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Energy-efficient dot product computation using a switched analog circuit architecture}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {315--318}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627664}, doi = {10.1145/2627369.2627664}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/NahlusKSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AbdallahS13, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {An Energy-Efficient {ECG} Processor in 45-nm {CMOS} Using Statistical Error Compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {11}, pages = {2882--2893}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2280055}, doi = {10.1109/JSSC.2013.2280055}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AbdallahS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/AbdallahS13, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {Robust and Energy Efficient Multimedia Systems via Likelihood Processing}, journal = {{IEEE} Trans. Multim.}, volume = {15}, number = {2}, pages = {257--267}, year = {2013}, url = {https://doi.org/10.1109/TMM.2012.2231667}, doi = {10.1109/TMM.2012.2231667}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/AbdallahS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimS13a, author = {Eric P. Kim and Naresh R. Shanbhag}, title = {Statistical analysis of algorithmic noise tolerance}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2013, Vancouver, BC, Canada, May 26-31, 2013}, pages = {2731--2735}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICASSP.2013.6638153}, doi = {10.1109/ICASSP.2013.6638153}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimS13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/AbdallahS13, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {Error-resilient systems via statistical signal processing}, booktitle = {{IEEE} Workshop on Signal Processing Systems, SiPS 2013, Taipei City, Taiwan, October 16-18, 2013}, pages = {312--317}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SiPS.2013.6674525}, doi = {10.1109/SIPS.2013.6674525}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/AbdallahS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ChoiKRS13, author = {Jungwook Choi and Eric P. Kim and Rob A. Rutenbar and Naresh R. Shanbhag}, title = {Error resilient {MRF} message passing architecture for stereo matching}, booktitle = {{IEEE} Workshop on Signal Processing Systems, SiPS 2013, Taipei City, Taiwan, October 16-18, 2013}, pages = {348--353}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SiPS.2013.6674531}, doi = {10.1109/SIPS.2013.6674531}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sips/ChoiKRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/sps/ShanbhagSB13, author = {Naresh R. Shanbhag and Andrew C. Singer and Hyeon{-}Min Bae}, editor = {Shuvra S. Bhattacharyya and Ed F. Deprettere and Rainer Leupers and Jarmo Takala}, title = {Signal Processing for High-Speed Links}, booktitle = {Handbook of Signal Processing Systems}, pages = {315--348}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-1-4614-6859-2\_11}, doi = {10.1007/978-1-4614-6859-2\_11}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/reference/sps/ShanbhagSB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimS12, author = {Eric P. Kim and Naresh R. Shanbhag}, title = {Soft N-Modular Redundancy}, journal = {{IEEE} Trans. Computers}, volume = {61}, number = {3}, pages = {323--336}, year = {2012}, url = {https://doi.org/10.1109/TC.2010.253}, doi = {10.1109/TC.2010.253}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/NarasimhaLSS12, author = {Rajan Narasimha and Minwei Lu and Naresh R. Shanbhag and Andrew C. Singer}, title = {BER-Optimal Analog-to-Digital Converters for Communication Links}, journal = {{IEEE} Trans. Signal Process.}, volume = {60}, number = {7}, pages = {3683--3691}, year = {2012}, url = {https://doi.org/10.1109/TSP.2012.2193394}, doi = {10.1109/TSP.2012.2193394}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/NarasimhaLSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/KairouzXSS12, author = {Peter Kairouz and Aolin Xu and Naresh R. Shanbhag and Andrew C. Singer}, editor = {Michael B. Matthews}, title = {A sphere decoding approach for the vector Viterbi algorithm}, booktitle = {Conference Record of the Forty Sixth Asilomar Conference on Signals, Systems and Computers, {ACSCC} 2012, Pacific Grove, CA, USA, November 4-7, 2012}, pages = {114--118}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ACSSC.2012.6488970}, doi = {10.1109/ACSSC.2012.6488970}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acssc/KairouzXSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/AbdallahS12, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {A 14.5 fJ/cycle/k-gate, 0.33 {V} {ECG} processor in 45nm {CMOS} using statistical error compensation}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330670}, doi = {10.1109/CICC.2012.6330670}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/AbdallahS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/FaustNBSKBRS12, author = {Adam C. Faust and Rajan Narasimha and Karan S. Bhatia and Ankit Srivastava and Chhay Kong and Hyeon{-}Min Bae and Elyse Rosenbaum and Naresh R. Shanbhag}, title = {FEC-based 4 Gb/s backplane transceiver in 90nm {CMOS}}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330665}, doi = {10.1109/CICC.2012.6330665}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/FaustNBSKBRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/NarasimhaZSSK12, author = {Rajan Narasimha and Georg Zeitler and Naresh R. Shanbhag and Andrew C. Singer and Gerhard Kramer}, title = {System-driven metrics for the design and adaptation of analog to digital converters}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {5281--5284}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6289112}, doi = {10.1109/ICASSP.2012.6289112}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/NarasimhaZSSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/AadithyaLGXRS12, author = {Aadithya V. Karthik and Yingyan Lin and Chenjie Gu and Aolin Xu and Jaijeet S. Roychowdhury and Naresh R. Shanbhag}, title = {A fully automated technique for constructing {FSM} abstractions of non-ideal latches in communication systems}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {5289--5292}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6289114}, doi = {10.1109/ICASSP.2012.6289114}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/AadithyaLGXRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/KimS12, author = {Eric P. Kim and Naresh R. Shanbhag}, title = {Energy-Efficient {LDPC} Decoders Based on Error-Resiliency}, booktitle = {2012 {IEEE} Workshop on Signal Processing Systems, Quebec City, QC, Canada, October 17-19, 2012}, pages = {149--154}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SiPS.2012.60}, doi = {10.1109/SIPS.2012.60}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/KimS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/2012, editor = {Naresh R. Shanbhag and Massimo Poncino and Pai H. Chou and Ajith Amerasekera}, title = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, {USA} - July 30 - August 01, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2333660}, isbn = {978-1-4503-1249-3}, timestamp = {Mon, 13 Aug 2012 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhmedKS11, author = {Arshad Ahmed and Ralf Koetter and Naresh R. Shanbhag}, title = {{VLSI} Architectures for Soft-Decision Decoding of Reed-Solomon Codes}, journal = {{IEEE} Trans. Inf. Theory}, volume = {57}, number = {2}, pages = {648--667}, year = {2011}, url = {https://doi.org/10.1109/TIT.2010.2095210}, doi = {10.1109/TIT.2010.2095210}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhmedKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimBNJS11, author = {Eric P. Kim and Daniel J. Baker and Sriram Narayanan and Douglas L. Jones and Naresh R. Shanbhag}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {Low power and error resilient {PN} code acquisition filter via statistical error compensation}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055397}, doi = {10.1109/CICC.2011.6055397}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimBNJS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbdallahLS11, author = {Rami A. Abdallah and Yu{-}Hung Lee and Naresh R. Shanbhag}, title = {Timing error statistics for energy-efficient robust {DSP} systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {285--288}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763276}, doi = {10.1109/DATE.2011.5763276}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbdallahLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShanbhagS11, author = {Naresh R. Shanbhag and Andrew C. Singer}, title = {System-assisted analog mixed-signal design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1491--1496}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763242}, doi = {10.1109/DATE.2011.5763242}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShanbhagS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GuptaSS11, author = {Aditya Gupta and Andrew C. Singer and Naresh R. Shanbhag}, title = {Least squares approximation and polyphase decomposition for pipelining recursive filters}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2011, May 22-27, 2011, Prague Congress Center, Prague, Czech Republic}, pages = {1661--1664}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICASSP.2011.5946818}, doi = {10.1109/ICASSP.2011.5946818}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/GuptaSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AbdallahSSK11, author = {Rami A. Abdallah and Pradeep S. Shenoy and Naresh R. Shanbhag and Philip T. Krein}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {System energy minimization via joint optimization of the {DC-DC} converter and the core}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {97--102}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016831\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 09:40:34 +0200}, biburl = {https://dblp.org/rec/conf/islped/AbdallahSSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/KimS11, author = {Eric P. Kim and Naresh R. Shanbhag}, title = {An energy-efficient multiple-input multiple-output {(MIMO)} detector architecture}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2011, October 4-7, 2011, Beirut, Lebanon}, pages = {239--244}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SiPS.2011.6088981}, doi = {10.1109/SIPS.2011.6088981}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/KimS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/AbdallahS10, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {Minimum-Energy Operation Via Error Resiliency}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {2}, number = {4}, pages = {115--118}, year = {2010}, url = {https://doi.org/10.1109/LES.2010.2098330}, doi = {10.1109/LES.2010.2098330}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/AbdallahS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/NarasimhaS10, author = {Rajan Narasimha and Naresh R. Shanbhag}, title = {Design of Energy-Efficient High-Speed Links via Forward Error Correction}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {57-II}, number = {5}, pages = {359--363}, year = {2010}, url = {https://doi.org/10.1109/TCSII.2010.2047318}, doi = {10.1109/TCSII.2010.2047318}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/NarasimhaS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/NarayananVJS10, author = {Sriram Narayanan and Girish Varatkar and Douglas L. Jones and Naresh R. Shanbhag}, title = {Computation as estimation: a general framework for robustness and energy efficiency in SoCs}, journal = {{IEEE} Trans. Signal Process.}, volume = {58}, number = {8}, pages = {4416--4421}, year = {2010}, url = {https://doi.org/10.1109/TSP.2010.2049567}, doi = {10.1109/TSP.2010.2049567}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/NarayananVJS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/VaratkarNSJ10, author = {Girish Varatkar and Shrikanth S. Narayanan and Naresh R. Shanbhag and Douglas L. Jones}, title = {Stochastic Networked Computation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {10}, pages = {1421--1432}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2024673}, doi = {10.1109/TVLSI.2009.2024673}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/VaratkarNSJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShanbhagAKJ10, author = {Naresh R. Shanbhag and Rami A. Abdallah and Rakesh Kumar and Douglas L. Jones}, editor = {Sachin S. Sapatnekar}, title = {Stochastic computation}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {859--864}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837491}, doi = {10.1145/1837274.1837491}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShanbhagAKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimS10, author = {Eric P. Kim and Naresh R. Shanbhag}, title = {Soft {NMR:} Analysis {\&} application to {DSP} systems}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2010, 14-19 March 2010, Sheraton Dallas Hotel, Dallas, Texas, {USA}}, pages = {1494--1497}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICASSP.2010.5495498}, doi = {10.1109/ICASSP.2010.5495498}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AbdallahS10, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {Robust and energy-efficient {DSP} systems via output probability processing}, booktitle = {28th International Conference on Computer Design, {ICCD} 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings}, pages = {38--44}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICCD.2010.5647569}, doi = {10.1109/ICCD.2010.5647569}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AbdallahS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuSS10, author = {Minwei Lu and Naresh R. Shanbhag and Andrew C. Singer}, title = {BER-optimal analog-to-digital converters for communication links}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1029--1032}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537363}, doi = {10.1109/ISCAS.2010.5537363}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GreshishchevDFHSY10, author = {Yuriy M. Greshishchev and Franz Dielacher and Michael Flynn and Donhee Ham and Naresh R. Shanbhag and Takuji Yamamoto}, title = {Transceiver circuits for optical communications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {514--515}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433857}, doi = {10.1109/ISSCC.2010.5433857}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/GreshishchevDFHSY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShanbhagYP10, author = {Naresh R. Shanbhag and Koichi Yamaguchi and Robert Payne}, title = {Energy-efficient high-speed interfaces}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {524--525}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433877}, doi = {10.1109/ISSCC.2010.5433877}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShanbhagYP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/daglib/p/ShanbhagSB10, author = {Naresh R. Shanbhag and Andrew C. Singer and Hyeon{-}Min Bae}, editor = {Shuvra S. Bhattacharyya and Ed F. Deprettere and Rainer Leupers and Jarmo Takala}, title = {Signal Processing for High-Speed Links}, booktitle = {Handbook of Signal Processing Systems}, pages = {69--101}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-1-4419-6345-1\_4}, doi = {10.1007/978-1-4419-6345-1\_4}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/daglib/p/ShanbhagSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/2010, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, publisher = {{ACM}}, year = {2010}, isbn = {978-1-4503-0146-6}, timestamp = {Tue, 24 Aug 2010 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/AbdallahS09, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {Error-resilient low-power Viterbi decoder architectures}, journal = {{IEEE} Trans. Signal Process.}, volume = {57}, number = {12}, pages = {4906--4917}, year = {2009}, url = {https://doi.org/10.1109/TSP.2009.2026078}, doi = {10.1109/TSP.2009.2026078}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/AbdallahS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/NarasimhaWS09, author = {Rajan Narasimha and Nirmal Warke and Naresh R. Shanbhag}, title = {Impact of {DFE} Error Propagation on FEC-Based High-Speed {I/O} Links}, booktitle = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/GLOCOM.2009.5426027}, doi = {10.1109/GLOCOM.2009.5426027}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/NarasimhaWS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/KimAS09, author = {Eric P. Kim and Rami A. Abdallah and Naresh R. Shanbhag}, title = {Soft {NMR:} Exploiting statistics for energy-efficiency}, booktitle = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009, Tampere, Finland, October 6-7, 2008}, pages = {52--55}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SOCC.2009.5335677}, doi = {10.1109/SOCC.2009.5335677}, timestamp = {Mon, 06 Apr 2020 12:19:37 +0200}, biburl = {https://dblp.org/rec/conf/issoc/KimAS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ChoSS09, author = {Junho Cho and Naresh R. Shanbhag and Wonyong Sung}, title = {Low-power implementation of a high-throughput {LDPC} decoder for {IEEE} 802.11N standard}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2009, October 7-9, 2009, Tampere, Finland}, pages = {040--045}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SIPS.2009.5336223}, doi = {10.1109/SIPS.2009.5336223}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/ChoSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/AbdallahLGS09, author = {Rami A. Abdallah and Seok{-}Jun Lee and Manish Goel and Naresh R. Shanbhag}, title = {Low-power pre-decoding based viterbi decoder for tail-biting convolutional codes}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2009, October 7-9, 2009, Tampere, Finland}, pages = {185--190}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SIPS.2009.5336249}, doi = {10.1109/SIPS.2009.5336249}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/AbdallahLGS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShanbhagMVOMRJR08, author = {Naresh R. Shanbhag and Subhasish Mitra and Gustavo de Veciana and Michael Orshansky and Radu Marculescu and Jaijeet S. Roychowdhury and Douglas L. Jones and Jan M. Rabaey}, title = {The Search for Alternative Computational Paradigms}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {4}, pages = {334--343}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.113}, doi = {10.1109/MDT.2008.113}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ShanbhagMVOMRJR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaeASS08, author = {Hyeon{-}Min Bae and Jonathan B. Ashbrook and Naresh R. Shanbhag and Andrew C. Singer}, title = {Fast Power Transient Management for {OC-192} {WDM} Add/Drop Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {12}, pages = {2958--2966}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2006226}, doi = {10.1109/JSSC.2008.2006226}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/BaeASS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/SingerSB08, author = {Andrew C. Singer and Naresh R. Shanbhag and Hyeon{-}Min Bae}, title = {Electronic dispersion compensation}, journal = {{IEEE} Signal Process. Mag.}, volume = {25}, number = {6}, pages = {110--130}, year = {2008}, url = {https://doi.org/10.1109/MSP.2008.929230}, doi = {10.1109/MSP.2008.929230}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spm/SingerSB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SridharaBS08, author = {Srinivasa R. Sridhara and Ganesh Balamurugan and Naresh R. Shanbhag}, title = {Joint Equalization and Coding for On-Chip Bus Communication}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {3}, pages = {314--318}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2007.915484}, doi = {10.1109/TVLSI.2007.915484}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SridharaBS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/VaratkarS08, author = {Girish Varatkar and Naresh R. Shanbhag}, title = {Error-Resilient Motion Estimation Architecture}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {10}, pages = {1399--1412}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000675}, doi = {10.1109/TVLSI.2008.2000675}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/VaratkarS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/NarasimhaS08, author = {Rajan Lakshmi Narasimha and Naresh R. Shanbhag}, title = {Forward error correction for high-speed {I/O}}, booktitle = {42nd Asilomar Conference on Signals, Systems and Computers, {ACSSC} 2008, Pacific Grove, CA, USA, October 26-29, 2008}, pages = {1513--1517}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ACSSC.2008.5074674}, doi = {10.1109/ACSSC.2008.5074674}, timestamp = {Thu, 05 Jan 2023 10:07:58 +0100}, biburl = {https://dblp.org/rec/conf/acssc/NarasimhaS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VaratkarNSJ08, author = {Girish Varatkar and Sriram Narayanan and Naresh R. Shanbhag and Douglas L. Jones}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Trends in energy-efficiency and robustness using stochastic sensor network-on-a-chip}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {351--354}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366193}, doi = {10.1145/1366110.1366193}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/VaratkarNSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/NarayananVJS08, author = {Shrikanth S. Narayanan and Girish Varatkar and Douglas L. Jones and Naresh R. Shanbhag}, title = {Computation as estimation: Estimation-theoretic {IC} design improves robustness and reduces power consumption}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2008, March 30 - April 4, 2008, Caesars Palace, Las Vegas, Nevada, {USA}}, pages = {1421--1424}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICASSP.2008.4517886}, doi = {10.1109/ICASSP.2008.4517886}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/NarayananVJS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VaratkarNSJ08, author = {Girish Varatkar and Sriram Narayanan and Naresh R. Shanbhag and Douglas L. Jones}, title = {Variation-tolerant, low-power PN-code acquisition using stochastic sensor {NOC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {380--383}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541434}, doi = {10.1109/ISCAS.2008.4541434}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VaratkarNSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AbdallahS08, author = {Rami A. Abdallah and Naresh R. Shanbhag}, editor = {Vijaykrishnan Narayanan and C. P. Ravikumar and J{\"{o}}rg Henkel and Ali Keshavarzi and Vojin G. Oklobdzija and Barry M. Pangrle}, title = {Error-resilient low-power Viterbi decoders}, booktitle = {Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008, Bangalore, India, August 11-13, 2008}, pages = {111--116}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1393921.1393951}, doi = {10.1145/1393921.1393951}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/AbdallahS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSAS08, author = {Hyeon{-}Min Bae and Andrew C. Singer and Jonathan B. Ashbrook and Naresh R. Shanbhag}, title = {A 10Gb/s MLSE-based Electronic-Dispersion-Compensation {IC} with Fast Power-Transient Management for {WDM} Add/Drop Networks}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {234--235}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523143}, doi = {10.1109/ISSCC.2008.4523143}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSAS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GreshishchevYS08, author = {Yuriy M. Greshishchev and Takuji Yamamoto and Naresh R. Shanbhag}, title = {Trends and Challenges in Optical Communications Front-End}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {394--395}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523223}, doi = {10.1109/ISSCC.2008.4523223}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/GreshishchevYS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/AbdallahS08, author = {Rami A. Abdallah and Naresh R. Shanbhag}, title = {Error-resilient low-power Viterbi decoders via state clustering}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2008, October 8-10, 2008, Washington, {D.C.} Metro Area, {USA}}, pages = {221--226}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/SIPS.2008.4671766}, doi = {10.1109/SIPS.2008.4671766}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/AbdallahS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SridharaS07, author = {Srinivasa R. Sridhara and Naresh R. Shanbhag}, title = {Coding for Reliable On-Chip Buses: {A} Class of Fundamental Bounds and Practical Codes}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {5}, pages = {977--982}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.8361590}, doi = {10.1109/TCAD.2007.8361590}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SridharaS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/VaratkarNSJ07, author = {Girish Varatkar and Sriram Narayanan and Naresh R. Shanbhag and Douglas L. Jones}, title = {Sensor Network-On-Chip}, booktitle = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland, November 20-21, 2007}, pages = {1--4}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSOC.2007.4427447}, doi = {10.1109/ISSOC.2007.4427447}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/VaratkarNSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/VaratkarS07, author = {Girish Varatkar and Naresh R. Shanbhag}, title = {Variation-Tolerant Motion Estimation Architecture}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2007, Proceedings, October 17-19, 2007, Eton Hotel, Shanghai, China}, pages = {126--131}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/SIPS.2007.4387531}, doi = {10.1109/SIPS.2007.4387531}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/VaratkarS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MansourS06, author = {Mohammad M. Mansour and Naresh R. Shanbhag}, title = {A 640-Mb/s 2048-bit programmable {LDPC} decoder chip}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {3}, pages = {684--698}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.864133}, doi = {10.1109/JSSC.2005.864133}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MansourS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaeAPSSC06, author = {Hyeon{-}Min Bae and Jonathan B. Ashbrook and Jinki Park and Naresh R. Shanbhag and Andrew C. Singer and Sanjiv Chopra}, title = {An {MLSE} Receiver for Electronic Dispersion Compensation of {OC-192} Fiber Links}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {11}, pages = {2541--2554}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.883317}, doi = {10.1109/JSSC.2006.883317}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/BaeAPSSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhangS06, author = {Ming Zhang and Naresh R. Shanbhag}, title = {Soft-Error-Rate-Analysis {(SERA)} Methodology}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {10}, pages = {2140--2155}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2005.862738}, doi = {10.1109/TCAD.2005.862738}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhangS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ZhangS06, author = {Ming Zhang and Naresh R. Shanbhag}, title = {Dual-Sampling Skewed {CMOS} Design for Soft-Error Tolerance}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {53-II}, number = {12}, pages = {1461--1465}, year = {2006}, url = {https://doi.org/10.1109/TCSII.2006.883829}, doi = {10.1109/TCSII.2006.883829}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ZhangS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ShimS06, author = {Byonghyo Shim and Naresh R. Shanbhag}, title = {Energy-efficient soft error-tolerant digital signal processing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {4}, pages = {336--348}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.874359}, doi = {10.1109/TVLSI.2006.874359}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ShimS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhangMMSWSKSP06, author = {Ming Zhang and Subhasish Mitra and T. M. Mak and Norbert Seifert and Nicholas J. Wang and Quan Shi and Kee Sup Kim and Naresh R. Shanbhag and Sanjay J. Patel}, title = {Sequential Element Design With Built-In Soft Error Resilience}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {12}, pages = {1368--1378}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.887832}, doi = {10.1109/TVLSI.2006.887832}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhangMMSWSKSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/VaratkarS06, author = {Girish Varatkar and Naresh R. Shanbhag}, editor = {Wolfgang Nebel and Mircea R. Stan and Anand Raghunathan and J{\"{o}}rg Henkel and Diana Marculescu}, title = {Energy-efficient motion estimation using error-tolerance}, booktitle = {Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006}, pages = {113--118}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1165573.1165599}, doi = {10.1145/1165573.1165599}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/VaratkarS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeAPSSC06, author = {Hyeon{-}Min Bae and Jonathan B. Ashbrook and Jinki Park and Naresh R. Shanbhag and Andrew C. Singer and Sanjiv Chopra}, title = {An {MLSE} receiver for electronic-dispersion compensation of {OC-192} fiber links}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {874--883}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696128}, doi = {10.1109/ISSCC.2006.1696128}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeAPSSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JaussiBJCMKSM05, author = {James E. Jaussi and Ganesh Balamurugan and David R. Johnson and Bryan Casper and Aaron Martin and Joseph T. Kennedy and Naresh R. Shanbhag and Randy Mooney}, title = {8-Gb/s source-synchronous {I/O} link with adaptive receiver equalization, offset cancellation, and clock de-skew}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {1}, pages = {80--88}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.838009}, doi = {10.1109/JSSC.2004.838009}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/JaussiBJCMKSM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeSS05, author = {Seok{-}Jun Lee and Naresh R. Shanbhag and Andrew C. Singer}, title = {A 285-MHz pipelined {MAP} decoder in 0.18-{\(\mu\)}m {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {8}, pages = {1718--1725}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2005.852002}, doi = {10.1109/JSSC.2005.852002}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/LeeSS05, author = {Seok{-}Jun Lee and Andrew C. Singer and Naresh R. Shanbhag}, title = {Linear turbo equalization analysis via {BER} transfer and {EXIT} charts}, journal = {{IEEE} Trans. Signal Process.}, volume = {53}, number = {8-1}, pages = {2883--2897}, year = {2005}, url = {https://doi.org/10.1109/TSP.2005.850375}, doi = {10.1109/TSP.2005.850375}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/LeeSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SridharaS05, author = {Srinivasa R. Sridhara and Naresh R. Shanbhag}, title = {Coding for system-on-chip networks: a unified framework}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {13}, number = {6}, pages = {655--667}, year = {2005}, url = {https://doi.org/10.1109/TVLSI.2005.848816}, doi = {10.1109/TVLSI.2005.848816}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SridharaS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeSS05, author = {Seok{-}Jun Lee and Naresh R. Shanbhag and Andrew C. Singer}, title = {Area-efficient high-throughput {MAP} decoder architectures}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {13}, number = {8}, pages = {921--933}, year = {2005}, url = {https://doi.org/10.1109/TVLSI.2005.853604}, doi = {10.1109/TVLSI.2005.853604}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ShanbhagP05, author = {Naresh R. Shanbhag and Keshab K. Parhi}, title = {Guest Editorial}, journal = {J. {VLSI} Signal Process.}, volume = {39}, number = {1-2}, pages = {5--6}, year = {2005}, url = {https://doi.org/10.1023/B:VLSI.0000047267.81368.52}, doi = {10.1023/B:VLSI.0000047267.81368.52}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/ShanbhagP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LeeSS05, author = {Seok{-}Jun Lee and Naresh R. Shanbhag and Andrew C. Singer}, title = {Energy Efficient {VLSI} Architecture for Linear Turbo Equalizer}, journal = {J. {VLSI} Signal Process.}, volume = {39}, number = {1-2}, pages = {49--62}, year = {2005}, url = {https://doi.org/10.1023/B:VLSI.0000047271.93455.b8}, doi = {10.1023/B:VLSI.0000047271.93455.B8}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/LeeSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/MansourS05, author = {Mohammad M. Mansour and Naresh R. Shanbhag}, title = {A Novel Design Methodology for High-Performance Programmable Decoder Cores for {AA-LDPC} Codes}, journal = {J. {VLSI} Signal Process.}, volume = {40}, number = {3}, pages = {371--382}, year = {2005}, url = {https://doi.org/10.1007/s11265-005-5271-5}, doi = {10.1007/S11265-005-5271-5}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/MansourS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangS05, author = {Ming Zhang and Naresh R. Shanbhag}, title = {An energy-efficient circuit technique for single event transient noise-tolerance}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {636--639}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464668}, doi = {10.1109/ISCAS.2005.1464668}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SridharaS05, author = {Srinivasa R. Sridhara and Naresh R. Shanbhag}, editor = {Kaushik Roy and Vivek Tiwari}, title = {A low-power bus design using joint repeater insertion and coding}, booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}, pages = {99--102}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1077603.1077629}, doi = {10.1145/1077603.1077629}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SridharaS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SridharaSB05, author = {Srinivasa R. Sridhara and Naresh R. Shanbhag and Ganesh Balamurugan}, title = {Joint Equalization and Coding for On-Chip Bus Communication}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {642--647}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.73}, doi = {10.1109/ISQED.2005.73}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/SridharaSB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SridharaS05, author = {Srinivasa R. Sridhara and Naresh R. Shanbhag}, title = {Coding for Reliable On-Chip Buses: Fundamental Limits and Practical Codes}, booktitle = {18th International Conference on {VLSI} Design {(VLSI} Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}, pages = {417--422}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICVD.2005.65}, doi = {10.1109/ICVD.2005.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SridharaS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/Shanbhag04, author = {Naresh R. Shanbhag}, title = {Reliable and Efficient System-on-Chip Design}, journal = {Computer}, volume = {37}, number = {3}, pages = {42--50}, year = {2004}, url = {https://doi.org/10.1109/MC.2004.1274003}, doi = {10.1109/MC.2004.1274003}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/Shanbhag04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HegdeS04, author = {Rajamohana Hegde and Naresh R. Shanbhag}, title = {A voltage overscaled low-power digital filter {IC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {2}, pages = {388--391}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2003.821775}, doi = {10.1109/JSSC.2003.821775}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HegdeS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ShimSS04, author = {Byonghyo Shim and Srinivasa R. Sridhara and Naresh R. Shanbhag}, title = {Reliable low-power digital signal processing via reduced precision redundancy}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {12}, number = {5}, pages = {497--510}, year = {2004}, url = {https://doi.org/10.1109/TVLSI.2004.826201}, doi = {10.1109/TVLSI.2004.826201}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ShimSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Shanbhag04, author = {Naresh R. Shanbhag}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {A communication-theoretic design paradigm for reliable SOCs}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {76}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996589}, doi = {10.1145/996566.996589}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Shanbhag04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SridharaS04, author = {Srinivasa R. Sridhara and Naresh R. Shanbhag}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Coding for system-on-chip networks: a unified framework}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {103--106}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996600}, doi = {10.1145/996566.996600}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SridharaS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeSS04, author = {Seok{-}Jun Lee and Andrew C. Singer and Naresh R. Shanbhag}, title = {Switching {LMS} linear turbo equalization}, booktitle = {2004 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2004, Montreal, Quebec, Canada, May 17-21, 2004}, pages = {641--644}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICASSP.2004.1326908}, doi = {10.1109/ICASSP.2004.1326908}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/AhmedKS04, author = {Arshad Ahmed and Ralf Koetter and Naresh R. Shanbhag}, title = {{VLSI} architectures for soft-decision decoding of Reed-Solomon codes}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2004, Paris, France, 20-24 June 2004}, pages = {2584--2590}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICC.2004.1312999}, doi = {10.1109/ICC.2004.1312999}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/AhmedKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangS04, author = {Ming Zhang and Naresh R. Shanbhag}, title = {A soft error rate analysis {(SERA)} methodology}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {111--118}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382553}, doi = {10.1109/ICCAD.2004.1382553}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SridharaAS04, author = {Srinivasa R. Sridhara and Arshad Ahmed and Naresh R. Shanbhag}, title = {Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses}, booktitle = {22nd {IEEE} International Conference on Computer Design: {VLSI} in Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings}, pages = {12--17}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICCD.2004.1347891}, doi = {10.1109/ICCD.2004.1347891}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SridharaAS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeSS04, author = {Seok{-}Jun Lee and Naresh R. Shanbhag and Andrew C. Singer}, title = {Switching methods for linear turbo equalization}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {601--604}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISCAS.2004.1328818}, doi = {10.1109/ISCAS.2004.1328818}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/AhmedKS04, author = {Arshad Ahmed and Ralf Koetter and Naresh R. Shanbhag}, title = {Reduced complexity interpolation for soft-decoding of reed-solomon codes}, booktitle = {Proceedings of the 2004 {IEEE} International Symposium on Information Theory, {ISIT} 2004, Chicago Downtown Marriott, Chicago, Illinois, USA, June 27 - July 2, 2004}, pages = {385}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISIT.2004.1365422}, doi = {10.1109/ISIT.2004.1365422}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/AhmedKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ShimS03, author = {Byonghyo Shim and Naresh R. Shanbhag}, title = {Complexity analysis of multicarrier and single-carrier systems for very high-speed digital subscriber line}, journal = {{IEEE} Trans. Signal Process.}, volume = {51}, number = {1}, pages = {282--292}, year = {2003}, url = {https://doi.org/10.1109/TSP.2002.806583}, doi = {10.1109/TSP.2002.806583}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ShimS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/0003S03, author = {Lei Wang and Naresh R. Shanbhag}, title = {Low-power filtering via adaptive error-cancellation}, journal = {{IEEE} Trans. Signal Process.}, volume = {51}, number = {2}, pages = {575--583}, year = {2003}, url = {https://doi.org/10.1109/TSP.2002.806989}, doi = {10.1109/TSP.2002.806989}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/0003S03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WangS03, author = {Lei Wang and Naresh R. Shanbhag}, title = {Energy-efficiency bounds for deep submicron {VLSI} systems in the presence of noise}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {2}, pages = {254--269}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.810783}, doi = {10.1109/TVLSI.2003.810783}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WangS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WangS03a, author = {Lei Wang and Naresh R. Shanbhag}, title = {Low-power {MIMO} signal processing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {3}, pages = {434--445}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.812367}, doi = {10.1109/TVLSI.2003.812367}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WangS03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MansourS03, author = {Mohammad M. Mansour and Naresh R. Shanbhag}, title = {{VLSI} architectures for {SISO-APP} decoders}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {4}, pages = {627--650}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.816136}, doi = {10.1109/TVLSI.2003.816136}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MansourS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MansourS03a, author = {Mohammad M. Mansour and Naresh R. Shanbhag}, title = {High-throughput {LDPC} decoders}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {6}, pages = {976--996}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.817545}, doi = {10.1109/TVLSI.2003.817545}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MansourS03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeSS03, author = {Seok{-}Jun Lee and Andrew C. Singer and Naresh R. Shanbhag}, title = {Analysis of linear turbo equalizer via {EXIT} chart}, booktitle = {Proceedings of the Global Telecommunications Conference, 2003. {GLOBECOM} '03, San Francisco, CA, USA, 1-5 December 2003}, pages = {2237--2242}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/GLOCOM.2003.1258633}, doi = {10.1109/GLOCOM.2003.1258633}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LeeSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BalamuruganS03, author = {Ganesh Balamurugan and Naresh R. Shanbhag}, title = {Modeling and Mitigation of Jitter in Multi-Gbps Source-Synchronous {I/O} Links}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, pages = {254--260}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICCD.2003.1240903}, doi = {10.1109/ICCD.2003.1240903}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BalamuruganS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MansourS03, author = {Mohammad M. Mansour and Naresh R. Shanbhag}, title = {Architecture-aware low-density parity-check codes}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {57--60}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205886}, doi = {10.1109/ISCAS.2003.1205886}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MansourS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShimS03, author = {Byonghyo Shim and Naresh R. Shanbhag}, title = {Performance analysis of algorithmic noise-tolerance techniques}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {113--116}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205786}, doi = {10.1109/ISCAS.2003.1205786}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShimS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaeS03, author = {Hyeon{-}Min Bae and Naresh R. Shanbhag}, title = {High bandwidth transimpedance amplifier design using active transmission lines}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {253--256}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205548}, doi = {10.1109/ISCAS.2003.1205548}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaeS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LeeSS03, author = {Seok{-}Jun Lee and Naresh R. Shanbhag and Andrew C. Singer}, editor = {Ingrid Verbauwhede and Hyung Roh}, title = {A low-power {VLSI} architecture for turbo decoding}, booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, pages = {366--371}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/871506.871599}, doi = {10.1145/871506.871599}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LeeSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KrishnamurthyAB02, author = {Ram K. Krishnamurthy and Atila Alvandpour and Ganesh Balamurugan and Naresh R. Shanbhag and Krishnamurthy Soumyanath and Shekhar Y. Borkar}, title = {A 130-nm 6-GHz 256 {\texttimes} 32 bit leakage-tolerant register file}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {5}, pages = {624--632}, year = {2002}, url = {https://doi.org/10.1109/4.997856}, doi = {10.1109/4.997856}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KrishnamurthyAB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Shanbhag02, author = {Naresh R. Shanbhag}, title = {Reliable and energy-efficient digital signal processing}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {830--835}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514124}, doi = {10.1145/513918.514124}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Shanbhag02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/MansourS02, author = {Mohammad M. Mansour and Naresh R. Shanbhag}, title = {Turbo decoder architectures for low-density parity-check codes}, booktitle = {Proceedings of the Global Telecommunications Conference, 2002. {GLOBECOM} '02, Taipei, Taiwan, 17-21 November, 2002}, pages = {1383--1388}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/GLOCOM.2002.1188425}, doi = {10.1109/GLOCOM.2002.1188425}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/MansourS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/MansourS02, author = {Mohammad M. Mansour and Naresh R. Shanbhag}, title = {Design methodology for high-speed iterative decoder architectures}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2002, May 13-17 2002, Orlando, Florida, {USA}}, pages = {3085--3088}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICASSP.2002.5745301}, doi = {10.1109/ICASSP.2002.5745301}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/MansourS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MansourS02, author = {Mohammad M. Mansour and Naresh R. Shanbhag}, title = {Simplified current and delay models for deep submicron {CMOS} digital circuits}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {109--112}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010652}, doi = {10.1109/ISCAS.2002.1010652}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MansourS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MansourS02, author = {Mohammad M. Mansour and Naresh R. Shanbhag}, editor = {Vivek De and Mary Jane Irwin and Ingrid Verbauwhede and Christian Piguet}, title = {Low-power {VLSI} decoder architectures for {LDPC} codes}, booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}, pages = {284--289}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/566408.566483}, doi = {10.1145/566408.566483}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/MansourS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BalamuruganS01, author = {Ganesh Balamurugan and Naresh R. Shanbhag}, title = {The twin-transistor noise-tolerant dynamic circuit technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {2}, pages = {273--280}, year = {2001}, url = {https://doi.org/10.1109/4.902768}, doi = {10.1109/4.902768}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BalamuruganS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SarwateS01, author = {Dilip V. Sarwate and Naresh R. Shanbhag}, title = {High-speed architectures for Reed-Solomon decoders}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {5}, pages = {641--655}, year = {2001}, url = {https://doi.org/10.1109/92.953498}, doi = {10.1109/92.953498}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SarwateS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HegdeS01, author = {Rajamohana Hegde and Naresh R. Shanbhag}, title = {Soft digital signal processing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {6}, pages = {813--823}, year = {2001}, url = {https://doi.org/10.1109/92.974895}, doi = {10.1109/92.974895}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HegdeS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/AppadwedulaGSJR01, author = {Swaroop Appadwedula and Manish Goel and Naresh R. Shanbhag and Douglas L. Jones and Kannan Ramchandran}, title = {Total System Energy Minimization for Wireless Image Transmission}, journal = {J. {VLSI} Signal Process.}, volume = {27}, number = {1-2}, pages = {99--117}, year = {2001}, url = {https://doi.org/10.1023/A:1008127715523}, doi = {10.1023/A:1008127715523}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/AppadwedulaGSJR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/BurlesonS01, author = {Wayne P. Burleson and Naresh R. Shanbhag}, title = {Guest Editorial: Reconfigurable Signal Processing Systems}, journal = {J. {VLSI} Signal Process.}, volume = {28}, number = {1-2}, pages = {5--6}, year = {2001}, url = {https://doi.org/10.1023/A:1008171903873}, doi = {10.1023/A:1008171903873}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/BurlesonS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/AshbrookSKB01, author = {Jonathan B. Ashbrook and Naresh R. Shanbhag and Ralf Koetter and Richard E. Blahut}, title = {Implementation of a Hermitian decoder {IC} in 0.35 {\(\mu\)}m {CMOS}}, booktitle = {Proceedings of the {IEEE} 2001 Custom Integrated Circuits Conference, {CICC} 2001, San Diego, CA, USA, May 6-9, 2001}, pages = {297--300}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/CICC.2001.929782}, doi = {10.1109/CICC.2001.929782}, timestamp = {Mon, 10 Oct 2022 09:13:22 +0200}, biburl = {https://dblp.org/rec/conf/cicc/AshbrookSKB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HegdeS01, author = {Rajamohana Hegde and Naresh R. Shanbhag}, title = {A low-power digital filter {IC} via soft {DSP}}, booktitle = {Proceedings of the {IEEE} 2001 Custom Integrated Circuits Conference, {CICC} 2001, San Diego, CA, USA, May 6-9, 2001}, pages = {309--312}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/CICC.2001.929788}, doi = {10.1109/CICC.2001.929788}, timestamp = {Mon, 10 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HegdeS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/WangS01, author = {Lei Wang and Naresh R. Shanbhag}, editor = {Enrico Macii and Vivek De and Mary Jane Irwin}, title = {Low-power AEC-based {MIMO} signal processing for gigabit ethernet 1000Base-T transceivers}, booktitle = {Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001, Huntington Beach, California, USA, 2001}, pages = {334--339}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/383082.383179}, doi = {10.1145/383082.383179}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/WangS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HegdeS00, author = {Rajamohana Hegde and Naresh R. Shanbhag}, title = {Toward achieving energy efficiency in presence of deep submicron noise}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {8}, number = {4}, pages = {379--391}, year = {2000}, url = {https://doi.org/10.1109/92.863617}, doi = {10.1109/92.863617}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HegdeS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/BalamuruganS00, author = {Ganesh Balamurugan and Naresh R. Shanbhag}, title = {A noise-tolerant dynamic circuit design technique}, booktitle = {Proceedings of the {IEEE} 2000 Custom Integrated Circuits Conference, {CICC} 2000, Orlando, FL, USA, May 21-24, 2000}, pages = {425--428}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CICC.2000.852700}, doi = {10.1109/CICC.2000.852700}, timestamp = {Mon, 10 Oct 2022 09:13:21 +0200}, biburl = {https://dblp.org/rec/conf/cicc/BalamuruganS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/HegdeS00, author = {Rajamohana Hegde and Naresh R. Shanbhag}, title = {Algorithmic noise-tolerance for low-power signal processing in the deep submicron era}, booktitle = {10th European Signal Processing Conference, {EUSIPCO} 2000, Tampere, Finland, September 4-8, 2000}, pages = {1--4}, publisher = {{IEEE}}, year = {2000}, url = {https://ieeexplore.ieee.org/document/7075727/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/HegdeS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HegdeS00, author = {Rajamohana Hegde and Naresh R. Shanbhag}, title = {Low-power digital filtering via soft {DSP}}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing. {ICASSP} 2000, 5-9 June, 2000, Hilton Hotel and Convention Center, Istanbul, Turkey}, pages = {3243--3246}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICASSP.2000.860091}, doi = {10.1109/ICASSP.2000.860091}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/HegdeS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimBSLK00, author = {Ki{-}Wook Kim and Kwang{-}Hyun Baek and Naresh R. Shanbhag and C. L. Liu and Sung{-}Mo Kang}, editor = {Ellen Sentovich}, title = {Coupling-Driven Signal Encoding Scheme for Low-Power Interface Design}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {318--321}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896492}, doi = {10.1109/ICCAD.2000.896492}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimBSLK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/MansourMHS00, author = {Mohammad M. Mansour and Makram M. Mansour and Ibrahim N. Hajj and Naresh R. Shanbhag}, title = {Instruction scheduling for low power on dynamically variable voltage processors}, booktitle = {Proceedings of the 2000 7th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2000, Jounieh, Lebanon, December 17-20, 2000}, pages = {613--618}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICECS.2000.911614}, doi = {10.1109/ICECS.2000.911614}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/MansourMHS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeeSF00, author = {Dongwon Seo and Naresh R. Shanbhag and Milton Feng}, title = {Low-power decimation filters for oversampling ADCs via the decorrelating {(DECOR)} transform}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {9--12}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855982}, doi = {10.1109/ISCAS.2000.855982}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SeeSF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShanbhagW00, author = {Naresh R. Shanbhag and Lei Wang}, title = {Energy-efficiency bounds for noise-tolerant dynamic circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {273--276}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858741}, doi = {10.1109/ISCAS.2000.858741}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShanbhagW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SharmaS00, author = {Manish Sharma and Naresh R. Shanbhag}, title = {Architecture driven filter transformations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {601--604}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857507}, doi = {10.1109/ISCAS.2000.857507}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SharmaS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ShanbhagSM00, author = {Naresh R. Shanbhag and Krishnamurthy Soumyanath and Samuel Martin}, editor = {David T. Blaauw and Christian C. Enz and Thaddeus Gabara and Enrico Macii}, title = {Reliable low-power design in the presence of deep submicron noise (embedded tutorial session)}, booktitle = {Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000}, pages = {295--302}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/344166.344642}, doi = {10.1145/344166.344642}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ShanbhagSM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/HedgeS99, author = {Rajamohana Hegde and Naresh R. Shanbhag}, title = {A low-power phase-splitting adaptive equalizer for high bit-rate communication systems}, journal = {{IEEE} Trans. Signal Process.}, volume = {47}, number = {3}, pages = {911--915}, year = {1999}, url = {https://doi.org/10.1109/78.747803}, doi = {10.1109/78.747803}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/HedgeS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/GoelS99, author = {Manish Goel and Naresh R. Shanbhag}, title = {Dynamic algorithm transforms for low-power reconfigurable adaptive equalizers}, journal = {{IEEE} Trans. Signal Process.}, volume = {47}, number = {10}, pages = {2821--2832}, year = {1999}, url = {https://doi.org/10.1109/78.790662}, doi = {10.1109/78.790662}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/GoelS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RamprasadSH99, author = {Sumant Ramprasad and Naresh R. Shanbhag and Ibrahim N. Hajj}, title = {A coding framework for low-power address and data busses}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {7}, number = {2}, pages = {212--221}, year = {1999}, url = {https://doi.org/10.1109/92.766748}, doi = {10.1109/92.766748}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RamprasadSH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RamprasadSH99a, author = {Sumant Ramprasad and Naresh R. Shanbhag and Ibrahim N. Hajj}, title = {Information-theoretic bounds on average signal transition activity {[VLSI} systems]}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {7}, number = {3}, pages = {359--368}, year = {1999}, url = {https://doi.org/10.1109/92.784097}, doi = {10.1109/92.784097}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RamprasadSH99a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/GoelS99, author = {Manish Goel and Naresh R. Shanbhag}, title = {Dynamic algorithm transformations (DAT)-a systematic approach to low-power reconfigurable signal processing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {7}, number = {4}, pages = {463--476}, year = {1999}, url = {https://doi.org/10.1109/92.805753}, doi = {10.1109/92.805753}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/GoelS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GoelS99, author = {Manish Goel and Naresh R. Shanbhag}, title = {Low-power channel coding via dynamic reconfiguration}, booktitle = {Proceedings of the 1999 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '99, Phoenix, Arizona, USA, March 15-19, 1999}, pages = {1893--1896}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICASSP.1999.758293}, doi = {10.1109/ICASSP.1999.758293}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/GoelS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HegdeS99, author = {Rajamohana Hegde and Naresh R. Shanbhag}, title = {Lower bounds on energy dissipation and noise-tolerance for deep submicron {VLSI}}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {334--337}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780163}, doi = {10.1109/ISCAS.1999.780163}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HegdeS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RamprasadSH99, author = {Sumant Ramprasad and Naresh R. Shanbhag and Ibrahim N. Hajj}, title = {Low-power distributed arithmetic architectures using nonuniform memory partitioning}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {470--473}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.778885}, doi = {10.1109/ISCAS.1999.778885}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RamprasadSH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangS99, author = {Lei Wang and Naresh R. Shanbhag}, title = {Noise-tolerant dynamic circuit design}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {549--552}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.777950}, doi = {10.1109/ISCAS.1999.777950}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BalamuruganS99, author = {Ganesh Balamurugan and Naresh R. Shanbhag}, editor = {Farid N. Najm and Jason Cong and David T. Blaauw}, title = {Energy-efficient dynamic circuit design in the presence of crosstalk noise}, booktitle = {Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999}, pages = {24--29}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/313817.313833}, doi = {10.1145/313817.313833}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/BalamuruganS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/HegdeS99, author = {Rajamohana Hegde and Naresh R. Shanbhag}, editor = {Farid N. Najm and Jason Cong and David T. Blaauw}, title = {Energy-efficient signal processing via algorithmic noise-tolerance}, booktitle = {Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999}, pages = {30--35}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/313817.313834}, doi = {10.1145/313817.313834}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/HegdeS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/MinochaS99, author = {Jayanto Minocha and Naresh R. Shanbhag}, editor = {K. J. Ray Liu and J{\"{o}}rn Ostermann and Ed F. Deprettere and W. Bastiaan Kleijn and John Aasted S{\o}rensen}, title = {A low power data-adaptive motion estimation algorithm}, booktitle = {Third {IEEE} Workshop on Multimedia Signal Processing, {MMSP} 1999, Copenhagen, Denmark, September 13-15, 1999}, pages = {685--690}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/MMSP.1999.793945}, doi = {10.1109/MMSP.1999.793945}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/mmsp/MinochaS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/BobbaHS99, author = {Sudhakar Bobba and Ibrahim N. Hajj and Naresh R. Shanbhag}, title = {Analytical Expressions for Power Dissipation of Macro-blocks in {DSP} Architectures}, booktitle = {12th International Conference on {VLSI} Design {(VLSI} Design 1999), 10-13 January 1999, Goa, India}, pages = {358}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICVD.1999.745182}, doi = {10.1109/ICVD.1999.745182}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/BobbaHS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwin/Shanbhag98, author = {Naresh R. Shanbhag}, title = {Algorithms Transformation Techniques for Low-Power Wireless {VLSI} Systems Design}, journal = {Int. J. Wirel. Inf. Networks}, volume = {5}, number = {2}, pages = {147--171}, year = {1998}, url = {https://doi.org/10.1023/A:1018869519651}, doi = {10.1023/A:1018869519651}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwin/Shanbhag98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ShanbhagI98, author = {Naresh R. Shanbhag and Gi{-}Hong Im}, title = {{VLSI} systems design of 51.84 Mb/s transceivers for {ATM-LAN} and broadband access}, journal = {{IEEE} Trans. Signal Process.}, volume = {46}, number = {5}, pages = {1403--1416}, year = {1998}, url = {https://doi.org/10.1109/78.668802}, doi = {10.1109/78.668802}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ShanbhagI98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/GoelS98, author = {Manish Goel and Naresh R. Shanbhag}, title = {Finite-precision analysis of the pipelined strength-reduced adaptive filter}, journal = {{IEEE} Trans. Signal Process.}, volume = {46}, number = {6}, pages = {1763--1769}, year = {1998}, url = {https://doi.org/10.1109/78.678521}, doi = {10.1109/78.678521}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/GoelS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ImS98, author = {Gi{-}Hong Im and Naresh R. Shanbhag}, title = {A pipelined adaptive {NEXT} canceller}, journal = {{IEEE} Trans. Signal Process.}, volume = {46}, number = {8}, pages = {2252--2258}, year = {1998}, url = {https://doi.org/10.1109/78.705453}, doi = {10.1109/78.705453}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ImS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/AggarwalSA98, author = {Manoj Aggarwal and Naresh R. Shanbhag and Narendra Ahuja}, title = {Improving the throughput of flexible-precision {DSPS} via algorithm transformation}, booktitle = {Proceedings of the 1998 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} '98, Seattle, Washington, USA, May 12-15, 1998}, pages = {3069--3072}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICASSP.1998.678174}, doi = {10.1109/ICASSP.1998.678174}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/AggarwalSA98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GoelS98, author = {Manish Goel and Naresh R. Shanbhag}, title = {Low-power reconfigurable signal processing via dynamic algorithm transformations {(DAT)}}, booktitle = {Proceedings of the 1998 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} '98, Seattle, Washington, USA, May 12-15, 1998}, pages = {3081--3084}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICASSP.1998.678177}, doi = {10.1109/ICASSP.1998.678177}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/GoelS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HegdeS98, author = {Rajamohana Hegde and Naresh R. Shanbhag}, editor = {Hiroto Yasuura}, title = {Energy-efficiency in presence of deep submicron noise}, booktitle = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998}, pages = {228--234}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/288548.288618}, doi = {10.1145/288548.288618}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HegdeS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RamprasadSH98, author = {Sumant Ramprasad and Naresh R. Shanbhag and Ibrahim N. Hajj}, editor = {Anantha P. Chandrakasan and Sayfe Kiaei}, title = {Decorrelating {(DECOR)} transformations for low-power adaptive filters}, booktitle = {Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998}, pages = {250--255}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/280756.280923}, doi = {10.1145/280756.280923}, timestamp = {Mon, 27 Sep 2021 11:47:11 +0200}, biburl = {https://dblp.org/rec/conf/islped/RamprasadSH98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/AppadwedulaGJRS98, author = {Swaroop Appadwedula and Manish Goel and Douglas L. Jones and Kannan Ramchandran and Naresh R. Shanbhag}, editor = {Ping Wah Wong and Abeer Alwan and Antonio Ortega and C.{-}C. Jay Kuo and C. L. Max Nikias}, title = {Efficient wireless image transmission under a total power constraint}, booktitle = {Second {IEEE} Workshop on Multimedia Signal Processing, {MMSP} 1998, Redondo Beach, California, USA, December 7-9, 1998}, pages = {573--578}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/MMSP.1998.739042}, doi = {10.1109/MMSP.1998.739042}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/mmsp/AppadwedulaGJRS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RamprasadSH98, author = {Sumant Ramprasad and Naresh R. Shanbhag and Ibrahim N. Hajj}, title = {Coding for Low-Power Address and Data Busses: {A} Source-Coding Framework and Applications}, booktitle = {11th International Conference on {VLSI} Design {(VLSI} Design 1991), 4-7 January 1998, Chennai, India}, pages = {18--23}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICVD.1998.646572}, doi = {10.1109/ICVD.1998.646572}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RamprasadSH98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RamprasadSH97, author = {Sumant Ramprasad and Naresh R. Shanbhag and Ibrahim N. Hajj}, title = {Analytical estimation of signal transition activity from word-level statistics}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {7}, pages = {718--733}, year = {1997}, url = {https://doi.org/10.1109/43.644033}, doi = {10.1109/43.644033}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RamprasadSH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ShanbhagG97, author = {Naresh R. Shanbhag and Manish Goel}, title = {Low-power adaptive filter architectures and their application to 51.84 Mb/s {ATM-LAN}}, journal = {{IEEE} Trans. Signal Process.}, volume = {45}, number = {5}, pages = {1276--1290}, year = {1997}, url = {https://doi.org/10.1109/78.575700}, doi = {10.1109/78.575700}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ShanbhagG97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RamprasadSH97, author = {Sumant Ramprasad and Naresh R. Shanbhag and Ibrahim N. Hajj}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {Analytical Estimation of Transition Activity From Word-Level Signal Statistics}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {582--587}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266289}, doi = {10.1145/266021.266289}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RamprasadSH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RamprasadSH97, author = {Sumant Ramprasad and Naresh R. Shanbhag and Ibrahim N. Hajj}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Achievable bounds on signal transition activity}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {126--129}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643387}, doi = {10.1109/ICCAD.1997.643387}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RamprasadSH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/GoelS97, author = {Manish Goel and Naresh R. Shanbhag}, editor = {Brock Barton and Massoud Pedram and Anantha P. Chandrakasan and Sayfe Kiaei}, title = {Dynamic algorithm transformation {(DAT)} for low-power adaptive signal processing}, booktitle = {Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997}, pages = {161--166}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/263272.263316}, doi = {10.1145/263272.263316}, timestamp = {Mon, 27 Sep 2021 11:47:11 +0200}, biburl = {https://dblp.org/rec/conf/islped/GoelS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ShanbhagI96, author = {Naresh R. Shanbhag and Gi{-}Hong Im}, title = {Pipelined adaptive {IIR} filter architectures using scattered and relaxed look-ahead transformations}, journal = {{IEEE} Trans. Signal Process.}, volume = {44}, number = {7}, pages = {1841--1847}, year = {1996}, url = {https://doi.org/10.1109/78.510634}, doi = {10.1109/78.510634}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ShanbhagI96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Shanbhag96, author = {Naresh R. Shanbhag}, editor = {Mark Horowitz and Jan M. Rabaey and Brock Barton and Massoud Pedram}, title = {Lower bounds on power dissipation for {DSP} algorithms}, booktitle = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}, pages = {43--48}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/LPE.1996.542728}, doi = {10.1109/LPE.1996.542728}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/islped/Shanbhag96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/GoelS96, author = {Manish Goel and Naresh R. Shanbhag}, editor = {Mark Horowitz and Jan M. Rabaey and Brock Barton and Massoud Pedram}, title = {Low-power adaptive filter architectures via strength reduction}, booktitle = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}, pages = {217--220}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/LPE.1996.547511}, doi = {10.1109/LPE.1996.547511}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/GoelS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ShanbhagP95, author = {Naresh R. Shanbhag and Keshab K. Parhi}, title = {Pipelined adaptive {DFE} architectures using relaxed look-ahead}, journal = {{IEEE} Trans. Signal Process.}, volume = {43}, number = {6}, pages = {1368--1385}, year = {1995}, url = {https://doi.org/10.1109/78.388851}, doi = {10.1109/78.388851}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ShanbhagP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShanbhagI95, author = {Naresh R. Shanbhag and Gi{-}Hong Im}, title = {Pipelined Adaptive {IIR} Filter Architecture}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {558--561}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ISCAS.1995.521574}, doi = {10.1109/ISCAS.1995.521574}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShanbhagI95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ShanbhagP93, author = {Naresh R. Shanbhag and Keshab K. Parhi}, title = {A pipelined adaptive lattice filter architecture}, journal = {{IEEE} Trans. Signal Process.}, volume = {41}, number = {5}, pages = {1925--1939}, year = {1993}, url = {https://doi.org/10.1109/78.215309}, doi = {10.1109/78.215309}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ShanbhagP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShanbhagP93, author = {Naresh R. Shanbhag and Keshab K. Parhi}, title = {Roundoff error analysis of the pipelined {ADPCM} coder}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {886--889}, publisher = {{IEEE}}, year = {1993}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShanbhagP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShanbhagP93a, author = {Naresh R. Shanbhag and Keshab K. Parhi}, title = {A Pipelined Adaptive Differential Vector Quantizer for Low-power Speech Coding Applications}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {1956--1958}, publisher = {{IEEE}}, year = {1993}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShanbhagP93a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/Shanbhag91, author = {Naresh R. Shanbhag}, title = {An improved systolic architecture for 2-D digital filters}, journal = {{IEEE} Trans. Signal Process.}, volume = {39}, number = {5}, pages = {1195--1202}, year = {1991}, url = {https://doi.org/10.1109/78.80972}, doi = {10.1109/78.80972}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/Shanbhag91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.