BibTeX records: Gustavo E. Téllez

download as .bib file

@inproceedings{DBLP:conf/ispd/PandeyTL22,
  author       = {Diwesh Pandey and
                  Gustavo E. T{\'{e}}llez and
                  James Leland},
  editor       = {Laleh Behjat and
                  Stephen Yang},
  title        = {{LEO:} Line End Optimizer for Sub-7nm Technology Nodes},
  booktitle    = {{ISPD} 2022: International Symposium on Physical Design, Virtual Event,
                  Canada, March 27 - 30, 2022},
  pages        = {117--125},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3505170.3506726},
  doi          = {10.1145/3505170.3506726},
  timestamp    = {Thu, 14 Apr 2022 14:53:52 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/PandeyTL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HuangTNC20,
  author       = {Chau{-}Chin Huang and
                  Gustavo E. T{\'{e}}llez and
                  Gi{-}Joon Nam and
                  Yao{-}Wen Chang},
  title        = {Latch Clustering for Timing-Power Co-Optimization},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218617},
  doi          = {10.1109/DAC18072.2020.9218617},
  timestamp    = {Wed, 14 Oct 2020 10:56:17 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HuangTNC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/XiangNTRX20,
  author       = {Hua Xiang and
                  Gi{-}Joon Nam and
                  Gustavo E. T{\'{e}}llez and
                  Shyam Ramji and
                  Xiaoqing Xu},
  title        = {Self-Aligned Double-Patterning Aware Legalization},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1145--1150},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116527},
  doi          = {10.23919/DATE48585.2020.9116527},
  timestamp    = {Thu, 02 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/XiangNTRX20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/HuZWQRTN18,
  author       = {Jiang Hu and
                  Ying Zhou and
                  Yaoguang Wei and
                  Stephen T. Quay and
                  Lakshmi N. Reddy and
                  Gustavo E. T{\'{e}}llez and
                  Gi{-}Joon Nam},
  editor       = {Chris Chu and
                  Ismail Bustany},
  title        = {Interconnect Optimization Considering Multiple Critical Paths},
  booktitle    = {Proceedings of the 2018 International Symposium on Physical Design,
                  {ISPD} 2018, Monterey, CA, USA, March 25-28, 2018},
  pages        = {132--138},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3177540.3178237},
  doi          = {10.1145/3177540.3178237},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/HuZWQRTN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/LvovTN18,
  author       = {Alexey Lvov and
                  Gustavo E. T{\'{e}}llez and
                  Gi{-}Joon Nam},
  editor       = {Chris Chu and
                  Ismail Bustany},
  title        = {On Coloring and Colorability Analysis of Integrated Circuits with
                  Triple and Quadruple Patterning Techniques},
  booktitle    = {Proceedings of the 2018 International Symposium on Physical Design,
                  {ISPD} 2018, Monterey, CA, USA, March 25-28, 2018},
  pages        = {152--159},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3177540.3178241},
  doi          = {10.1145/3177540.3178241},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/LvovTN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AhrensGK0P0T15,
  author       = {Markus Ahrens and
                  Michael Gester and
                  Niko Klewinghaus and
                  Dirk M{\"{u}}ller and
                  Sven Peyer and
                  Christian Schulte and
                  Gustavo E. T{\'{e}}llez},
  title        = {Detailed Routing Algorithms for Advanced Technology Nodes},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {4},
  pages        = {563--576},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2014.2385755},
  doi          = {10.1109/TCAD.2014.2385755},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AhrensGK0P0T15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/WeiSVLARHTKS14,
  author       = {Yaoguang Wei and
                  Cliff C. N. Sze and
                  Natarajan Viswanathan and
                  Zhuo Li and
                  Charles J. Alpert and
                  Lakshmi N. Reddy and
                  Andrew D. Huber and
                  Gustavo E. T{\'{e}}llez and
                  Douglas Keller and
                  Sachin S. Sapatnekar},
  title        = {Techniques for scalable and effective routability evaluation},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {19},
  number       = {2},
  pages        = {17:1--17:37},
  year         = {2014},
  url          = {https://doi.org/10.1145/2566663},
  doi          = {10.1145/2566663},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/WeiSVLARHTKS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WeiSVLARHTKS12,
  author       = {Yaoguang Wei and
                  Cliff C. N. Sze and
                  Natarajan Viswanathan and
                  Zhuo Li and
                  Charles J. Alpert and
                  Lakshmi N. Reddy and
                  Andrew D. Huber and
                  Gustavo E. T{\'{e}}llez and
                  Douglas Keller and
                  Sachin S. Sapatnekar},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {{GLARE:} global and local wiring aware routability evaluation},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {768--773},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228499},
  doi          = {10.1145/2228360.2228499},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WeiSVLARHTKS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ibmrd/FriedrichPBBDHHKKKLLMNOPQRRRRRRST11,
  author       = {Joshua Friedrich and
                  Ruchir Puri and
                  Uwe Brandt and
                  Markus B{\"{u}}hler and
                  Jack DiLullo and
                  Jeremy Hopkins and
                  Mozammel Hossain and
                  Michael A. Kazda and
                  Joachim Keinert and
                  Zahi M. Kurzum and
                  Douglass Lamb and
                  Alice Lee and
                  Frank Musante and
                  Jens Noack and
                  Peter J. Osler and
                  Stephen D. Posluszny and
                  Haifeng Qian and
                  Shyam Ramji and
                  Vasant B. Rao and
                  Lakshmi N. Reddy and
                  Haoxing Ren and
                  Thomas E. Rosser and
                  Benjamin R. Russell and
                  Cliff C. N. Sze and
                  Gustavo E. T{\'{e}}llez},
  title        = {Design methodology for the {IBM} {POWER7} microprocessor},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {55},
  number       = {3},
  pages        = {9},
  year         = {2011},
  url          = {https://doi.org/10.1147/JRD.2011.2105692},
  doi          = {10.1147/JRD.2011.2105692},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ibmrd/FriedrichPBBDHHKKKLLMNOPQRRRRRRST11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/AlpertLMNRT10,
  author       = {Charles J. Alpert and
                  Zhuo Li and
                  Michael D. Moffitt and
                  Gi{-}Joon Nam and
                  Jarrod A. Roy and
                  Gustavo E. T{\'{e}}llez},
  editor       = {Prashant Saxena and
                  Yao{-}Wen Chang},
  title        = {What makes a design difficult to route},
  booktitle    = {Proceedings of the 2010 International Symposium on Physical Design,
                  {ISPD} 2010, San Francisco, California, USA, March 14-17, 2010},
  pages        = {7--12},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1735023.1735028},
  doi          = {10.1145/1735023.1735028},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/AlpertLMNRT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/FarrahiCSTS01,
  author       = {Amir H. Farrahi and
                  Chunhong Chen and
                  Ankur Srivastava and
                  Gustavo E. T{\'{e}}llez and
                  Majid Sarrafzadeh},
  title        = {Activity-driven clock design},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {20},
  number       = {6},
  pages        = {705--714},
  year         = {2001},
  url          = {https://doi.org/10.1109/43.924824},
  doi          = {10.1109/43.924824},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/FarrahiCSTS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DarringerDHKLMRRSTT00,
  author       = {John A. Darringer and
                  Evan E. Davidson and
                  David J. Hathaway and
                  Bernd Koenemann and
                  Mark A. Lavin and
                  Joseph K. Morrell and
                  Khalid Rahmat and
                  Wolfgang Roesner and
                  Erich C. Schanzenbach and
                  Gustavo E. T{\'{e}}llez and
                  Louise Trevillyan},
  title        = {{EDA} in {IBM:} past, present, and future},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {19},
  number       = {12},
  pages        = {1476--1497},
  year         = {2000},
  url          = {https://doi.org/10.1109/43.898827},
  doi          = {10.1109/43.898827},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/DarringerDHKLMRRSTT00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/TellezS98,
  author       = {Gustavo E. T{\'{e}}llez and
                  Majid Sarrafzadeh},
  title        = {On Rectilinear Distance-Preserving Trees},
  journal      = {{VLSI} Design},
  volume       = {7},
  number       = {1},
  pages        = {15--30},
  year         = {1998},
  url          = {https://doi.org/10.1155/1998/26574},
  doi          = {10.1155/1998/26574},
  timestamp    = {Mon, 08 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/TellezS98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/FarrahiTS98,
  author       = {Amir H. Farrahi and
                  Gustavo E. T{\'{e}}llez and
                  Majid Sarrafzadeh},
  title        = {Exploiting Sleep Mode for Memory Partitioning and Other Applications},
  journal      = {{VLSI} Design},
  volume       = {7},
  number       = {3},
  pages        = {271--287},
  year         = {1998},
  url          = {https://doi.org/10.1155/1998/50491},
  doi          = {10.1155/1998/50491},
  timestamp    = {Mon, 08 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/FarrahiTS98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/TellezS97,
  author       = {Gustavo E. T{\'{e}}llez and
                  Majid Sarrafzadeh},
  title        = {Minimal buffer insertion in clock trees with skew and slew rate constraints},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {16},
  number       = {4},
  pages        = {333--342},
  year         = {1997},
  url          = {https://doi.org/10.1109/43.602470},
  doi          = {10.1109/43.602470},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/TellezS97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SarrafzadehKT97,
  author       = {Majid Sarrafzadeh and
                  David A. Knol and
                  Gustavo E. T{\'{e}}llez},
  title        = {A delay budgeting algorithm ensuring maximum flexibility in placement},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {16},
  number       = {11},
  pages        = {1332--1341},
  year         = {1997},
  url          = {https://doi.org/10.1109/43.663823},
  doi          = {10.1109/43.663823},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/SarrafzadehKT97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SarrafzadehKT97,
  author       = {Majid Sarrafzadeh and
                  David A. Knol and
                  Gustavo E. T{\'{e}}llez},
  editor       = {Ellen J. Yoffa and
                  Giovanni De Micheli and
                  Jan M. Rabaey},
  title        = {Unification of Budgeting and Placement},
  booktitle    = {Proceedings of the 34st Conference on Design Automation, Anaheim,
                  California, USA, Anaheim Convention Center, June 9-13, 1997},
  pages        = {758--761},
  publisher    = {{ACM} Press},
  year         = {1997},
  url          = {https://doi.org/10.1145/266021.266364},
  doi          = {10.1145/266021.266364},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SarrafzadehKT97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/HengCT97,
  author       = {Fook{-}Luen Heng and
                  Zhan Chen and
                  Gustavo E. T{\'{e}}llez},
  editor       = {Andrew B. Kahng and
                  Majid Sarrafzadeh},
  title        = {A {VLSI} artwork legalization technique based on a new criterion of
                  minimum layout perturbation},
  booktitle    = {Proceedings of the 1997 International Symposium on Physical Design,
                  {ISPD} 1997, Napa Valley, California, USA, April 14-16, 1997},
  pages        = {116--121},
  publisher    = {{ACM}},
  year         = {1997},
  url          = {https://doi.org/10.1145/267665.267701},
  doi          = {10.1145/267665.267701},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/HengCT97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/FarrahiTS95,
  author       = {Amir H. Farrahi and
                  Gustavo E. T{\'{e}}llez and
                  Majid Sarrafzadeh},
  editor       = {Bryan Preas},
  title        = {Memory Segmentation to Exploit Sleep Mode Operation},
  booktitle    = {Proceedings of the 32st Conference on Design Automation, San Francisco,
                  California, USA, Moscone Center, June 12-16, 1995},
  pages        = {36--41},
  publisher    = {{ACM} Press},
  year         = {1995},
  url          = {https://doi.org/10.1145/217474.217503},
  doi          = {10.1145/217474.217503},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/FarrahiTS95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TellezFS95,
  author       = {Gustavo E. T{\'{e}}llez and
                  Amir H. Farrahi and
                  Majid Sarrafzadeh},
  editor       = {Richard L. Rudell},
  title        = {Activity-driven clock design for low power circuits},
  booktitle    = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995},
  pages        = {62--65},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1995},
  url          = {https://doi.org/10.1109/ICCAD.1995.479992},
  doi          = {10.1109/ICCAD.1995.479992},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/TellezFS95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TellezS95,
  author       = {Gustavo E. T{\'{e}}llez and
                  Majid Sarrafzadeh},
  title        = {On Rectilinear Distance-Preserving Trees},
  booktitle    = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  1995, Seattle, Washington, USA, April 30 - May 3, 1995},
  pages        = {163--166},
  publisher    = {{IEEE}},
  year         = {1995},
  url          = {https://doi.org/10.1109/ISCAS.1995.521476},
  doi          = {10.1109/ISCAS.1995.521476},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TellezS95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TellezS94,
  author       = {Gustavo E. T{\'{e}}llez and
                  Majid Sarrafzadeh},
  editor       = {Jochen A. G. Jess and
                  Richard L. Rudell},
  title        = {Clock period constrained minimal buffer insertion in clock trees},
  booktitle    = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994},
  pages        = {219--223},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1994},
  url          = {https://dl.acm.org/citation.cfm?id=191413},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/TellezS94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}