BibTeX records: Hao Yu 0001

download as .bib file

@article{DBLP:journals/ojcands/DuNLPLMY24,
  author       = {Laimin Du and
                  Leibin Ni and
                  Xiong Liu and
                  Guanqi Peng and
                  Kai Li and
                  Wei Mao and
                  Hao Yu},
  title        = {A Low-Power {DNN} Accelerator With Mean-Error-Minimized Approximate
                  Signed Multiplier},
  journal      = {{IEEE} Open J. Circuits Syst.},
  volume       = {5},
  pages        = {57--68},
  year         = {2024},
  url          = {https://doi.org/10.1109/OJCAS.2023.3279251},
  doi          = {10.1109/OJCAS.2023.3279251},
  timestamp    = {Mon, 22 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ojcands/DuNLPLMY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/LiLZRMYW24,
  author       = {Boyu Li and
                  Kai Li and
                  Jiajun Zhou and
                  Yuan Ren and
                  Wei Mao and
                  Hao Yu and
                  Ngai Wong},
  title        = {A Reconfigurable Processing Element for Multiple-Precision Floating/Fixed-Point
                  {HPC}},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {71},
  number       = {3},
  pages        = {1401--1405},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCSII.2023.3322259},
  doi          = {10.1109/TCSII.2023.3322259},
  timestamp    = {Sat, 16 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasII/LiLZRMYW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2402-14866,
  author       = {Ziyi Guan and
                  Hantao Huang and
                  Yupeng Su and
                  Hong Huang and
                  Ngai Wong and
                  Hao Yu},
  title        = {{APTQ:} Attention-aware Post-Training Mixed-Precision Quantization
                  for Large Language Models},
  journal      = {CoRR},
  volume       = {abs/2402.14866},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.14866},
  doi          = {10.48550/ARXIV.2402.14866},
  eprinttype    = {arXiv},
  eprint       = {2402.14866},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-14866.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/ChengHMSDYH23,
  author       = {Quan Cheng and
                  Mingqiang Huang and
                  Changhai Man and
                  Ao Shen and
                  Liuyao Dai and
                  Hao Yu and
                  Masanori Hashimoto},
  title        = {Reliability Exploration of System-on-Chip With Multi-Bit-Width Accelerator
                  for Multi-Precision Deep Neural Networks},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {70},
  number       = {10},
  pages        = {3978--3991},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSI.2023.3300899},
  doi          = {10.1109/TCSI.2023.3300899},
  timestamp    = {Sat, 28 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasI/ChengHMSDYH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/HuangLDWHY23,
  author       = {Mingqiang Huang and
                  Junyi Luo and
                  Chenchen Ding and
                  Zikun Wei and
                  Sixiao Huang and
                  Hao Yu},
  title        = {An Integer-Only and Group-Vector Systolic Accelerator for Efficiently
                  Mapping Vision Transformer on Edge},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {70},
  number       = {12},
  pages        = {5289--5301},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSI.2023.3312775},
  doi          = {10.1109/TCSI.2023.3312775},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/HuangLDWHY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/ChengDHSMHY23,
  author       = {Quan Cheng and
                  Liuyao Dai and
                  Mingqiang Huang and
                  Ao Shen and
                  Wei Mao and
                  Masanori Hashimoto and
                  Hao Yu},
  title        = {A Low-Power Sparse Convolutional Neural Network Accelerator With Pre-Encoding
                  Radix-4 Booth Multiplier},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {70},
  number       = {6},
  pages        = {2246--2250},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSII.2022.3231361},
  doi          = {10.1109/TCSII.2022.3231361},
  timestamp    = {Thu, 15 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/ChengDHSMHY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/ShiFYSC23,
  author       = {Jie Shi and
                  Xiaohu Fang and
                  Hao Yu and
                  Jiangwei Sui and
                  Kwok{-}Keung Michael Cheng},
  title        = {Novel Wideband Millimeter-Wave GaN Power Amplifier Design Using Transistors
                  With Large Drain Capacitance and High Optimum Load Impedance},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {70},
  number       = {12},
  pages        = {4309--4313},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSII.2023.3291383},
  doi          = {10.1109/TCSII.2023.3291383},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasII/ShiFYSC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aicas/ZhouHLLXLLLMY23,
  author       = {Haoxiang Zhou and
                  Haiqiao Hong and
                  Dingbang Liu and
                  Hang Liu and
                  Yu Xia and
                  Kai Li and
                  Jun Liu and
                  Shaobo Luo and
                  Wei Mao and
                  Hao Yu},
  title        = {{RISC-V} based Fully-Parallel {SRAM} Computing-in-Memory Accelerator
                  with High Hardware Utilization and Data Reuse Rate},
  booktitle    = {5th {IEEE} International Conference on Artificial Intelligence Circuits
                  and Systems, {AICAS} 2023, Hangzhou, China, June 11-13, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/AICAS57966.2023.10168630},
  doi          = {10.1109/AICAS57966.2023.10168630},
  timestamp    = {Mon, 24 Jul 2023 15:56:17 +0200},
  biburl       = {https://dblp.org/rec/conf/aicas/ZhouHLLXLLLMY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HeSLC023,
  author       = {Zicheng He and
                  Ao Shen and
                  Qiufeng Li and
                  Quan Cheng and
                  Hao Yu},
  editor       = {Atsushi Takahashi},
  title        = {Agile Hardware and Software Co-Design for RISC-V-Based Multi-Precision
                  Deep Learning Microprocessor},
  booktitle    = {Proceedings of the 28th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023},
  pages        = {490--495},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3566097.3567871},
  doi          = {10.1145/3566097.3567871},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HeSLC023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ManCDSRGCLZWY23,
  author       = {Changhai Man and
                  Cheng Chang and
                  Chenchen Ding and
                  Ao Shen and
                  Hongwei Ren and
                  Ziyi Guan and
                  Yuan Cheng and
                  Shaobo Luo and
                  Rumin Zhang and
                  Ngai Wong and
                  Hao Yu},
  title        = {RankSearch: An Automatic Rank Search Towards Optimal Tensor Compression
                  for Video {LSTM} Networks on Edge},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137115},
  doi          = {10.23919/DATE56975.2023.10137115},
  timestamp    = {Wed, 07 Jun 2023 22:08:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ManCDSRGCLZWY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/HuangLHLW023,
  author       = {Mingqiang Huang and
                  Yucen Liu and
                  Sixiao Huang and
                  Kai Li and
                  Qiuping Wu and
                  Hao Yu},
  editor       = {Paolo Ienne and
                  Zhiru Zhang},
  title        = {Multi-bit-width {CNN} Accelerator with Systolic-in-Systolic Dataflow
                  and Single {DSP} Multiple Multiplication Scheme},
  booktitle    = {Proceedings of the 2023 {ACM/SIGDA} International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2023, Monterey, CA, USA, February
                  12-14, 2023},
  pages        = {229},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3543622.3573209},
  doi          = {10.1145/3543622.3573209},
  timestamp    = {Sat, 25 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/HuangLHLW023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icarm/DingRGBMWLLZY23,
  author       = {Chenchen Ding and
                  Hongwei Ren and
                  Zhiru Guo and
                  Minjie Bi and
                  Changhai Man and
                  Tingting Wang and
                  Shuwei Li and
                  Shaobo Luo and
                  Rumin Zhang and
                  Hao Yu},
  title        = {{TT-LCD:} Tensorized-Transformer based Loop Closure Detection for
                  Robotic Visual {SLAM} on Edge},
  booktitle    = {International Conference on Advanced Robotics and Mechatronics, {ICARM}
                  2023, Sanya, China, July 8-10, 2023},
  pages        = {166--172},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICARM58088.2023.10218828},
  doi          = {10.1109/ICARM58088.2023.10218828},
  timestamp    = {Wed, 06 Sep 2023 16:07:25 +0200},
  biburl       = {https://dblp.org/rec/conf/icarm/DingRGBMWLLZY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/LyuAZMY22,
  author       = {Haoran Lyu and
                  Fengwei An and
                  Shirui Zhao and
                  Wei Mao and
                  Hao Yu},
  title        = {A 703.4-GOPs/W Binary SegNet Processor With Computing-Near-Memory
                  Architecture for Road Detection},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {2},
  pages        = {74--83},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2020.3034041},
  doi          = {10.1109/MDAT.2020.3034041},
  timestamp    = {Thu, 29 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/LyuAZMY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/LiuZMLHMWGHLLCY22,
  author       = {Dingbang Liu and
                  Haoxiang Zhou and
                  Wei Mao and
                  Jun Liu and
                  Yuliang Han and
                  Changhai Man and
                  Qiuping Wu and
                  Zhiru Guo and
                  Mingqiang Huang and
                  Shaobo Luo and
                  Mingsong Lv and
                  Quan Chen and
                  Hao Yu},
  title        = {An Energy-Efficient Mixed-Bit {CNN} Accelerator With Column Parallel
                  Readout for ReRAM-Based In-Memory Computing},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {12},
  number       = {4},
  pages        = {821--834},
  year         = {2022},
  url          = {https://doi.org/10.1109/JETCAS.2022.3212314},
  doi          = {10.1109/JETCAS.2022.3212314},
  timestamp    = {Sun, 15 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/esticas/LiuZMLHMWGHLLCY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ChenLZMGTYZ22,
  author       = {Yufei Chen and
                  Tingtao Li and
                  Qinming Zhang and
                  Wei Mao and
                  Nan Guan and
                  Mei Tian and
                  Hao Yu and
                  Cheng Zhuo},
  title        = {ANT-UNet: Accurate and Noise-Tolerant Segmentation for Pathology Image
                  Processing},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {18},
  number       = {2},
  pages        = {27:1--27:17},
  year         = {2022},
  url          = {https://doi.org/10.1145/3451213},
  doi          = {10.1145/3451213},
  timestamp    = {Sun, 15 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/ChenLZMGTYZ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/HuangLMLCMY22,
  author       = {Mingqiang Huang and
                  Yucen Liu and
                  Changhai Man and
                  Kai Li and
                  Quan Cheng and
                  Wei Mao and
                  Hao Yu},
  title        = {A High Performance Multi-Bit-Width Booth Vector Systolic Accelerator
                  for {NAS} Optimized Deep Learning Neural Networks},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {69},
  number       = {9},
  pages        = {3619--3631},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSI.2022.3178474},
  doi          = {10.1109/TCSI.2022.3178474},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasI/HuangLMLCMY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/LiMZLYYDHY22,
  author       = {Kai Li and
                  Wei Mao and
                  Junzhuo Zhou and
                  Boyu Li and
                  Zhengke Yang and
                  Shuxing Yang and
                  Laimin Du and
                  Sixiao Huang and
                  Hao Yu},
  title        = {A Vector Systolic Accelerator for Multi-Precision Floating-Point High-Performance
                  Computing},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {69},
  number       = {10},
  pages        = {4123--4127},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSII.2022.3183007},
  doi          = {10.1109/TCSII.2022.3183007},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/LiMZLYYDHY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/LiMSGMLZY22,
  author       = {Shuwei Li and
                  Changhai Man and
                  Ao Shen and
                  Ziyi Guan and
                  Wei Mao and
                  Shaobo Luo and
                  Rumin Zhang and
                  Hao Yu},
  title        = {A Fall Detection Network by 2D/3D Spatio-temporal Joint Models with
                  Tensor Compression on Edge},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {21},
  number       = {6},
  pages        = {83:1--83:19},
  year         = {2022},
  url          = {https://doi.org/10.1145/3531004},
  doi          = {10.1145/3531004},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/LiMSGMLZY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/SunCLCYY22,
  author       = {Qi Sun and
                  Tinghuan Chen and
                  Siting Liu and
                  Jianli Chen and
                  Hao Yu and
                  Bei Yu},
  title        = {Correlated Multi-objective Multi-fidelity Optimization for {HLS} Directives
                  Design},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {27},
  number       = {4},
  pages        = {31:1--31:27},
  year         = {2022},
  url          = {https://doi.org/10.1145/3503540},
  doi          = {10.1145/3503540},
  timestamp    = {Tue, 12 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/SunCLCYY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MaoLCDLXLLY22,
  author       = {Wei Mao and
                  Kai Li and
                  Quan Cheng and
                  Liuyao Dai and
                  Boyu Li and
                  Xinang Xie and
                  He Li and
                  Longyang Lin and
                  Hao Yu},
  title        = {A Configurable Floating-Point Multiple-Precision Processing Element
                  for {HPC} and {AI} Converged Computing},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {30},
  number       = {2},
  pages        = {213--226},
  year         = {2022},
  url          = {https://doi.org/10.1109/TVLSI.2021.3128435},
  doi          = {10.1109/TVLSI.2021.3128435},
  timestamp    = {Thu, 29 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MaoLCDLXLLY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MaoDLCWDLHY22,
  author       = {Wei Mao and
                  Liuyao Dai and
                  Kai Li and
                  Quan Cheng and
                  Yuhang Wang and
                  Laimin Du and
                  Shaobo Luo and
                  Mingqiang Huang and
                  Hao Yu},
  title        = {An Energy-Efficient Mixed-Bitwidth Systolic Accelerator for NAS-Optimized
                  Deep Neural Networks},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {30},
  number       = {12},
  pages        = {1878--1890},
  year         = {2022},
  url          = {https://doi.org/10.1109/TVLSI.2022.3210069},
  doi          = {10.1109/TVLSI.2022.3210069},
  timestamp    = {Sun, 15 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MaoDLCWDLHY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aicas/LiZLYHLMY22,
  author       = {Kai Li and
                  Junzhuo Zhou and
                  Boyu Li and
                  Shuxing Yang and
                  Sixiao Huang and
                  Shaobo Luo and
                  Wei Mao and
                  Hao Yu},
  title        = {A Vector Systolic Accelerator for Multi-Precision Floating-Point High-Performance
                  Computing},
  booktitle    = {4th {IEEE} International Conference on Artificial Intelligence Circuits
                  and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15,
                  2022},
  pages        = {226--229},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/AICAS54282.2022.9869969},
  doi          = {10.1109/AICAS54282.2022.9869969},
  timestamp    = {Thu, 29 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aicas/LiZLYHLMY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiuMZLWHY22,
  author       = {Dingbang Liu and
                  Wei Mao and
                  Haoxiang Zhou and
                  Jun Liu and
                  Qiuping Wu and
                  Haiqiao Hong and
                  Hao Yu},
  title        = {An Energy-Efficient Mixed-Bit ReRAM-based Computing-in-Memory {CNN}
                  Accelerator with Fully Parallel Readout},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2022,
                  Shenzhen, China, November 11-13, 2022},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/APCCAS55924.2022.10090365},
  doi          = {10.1109/APCCAS55924.2022.10090365},
  timestamp    = {Sat, 22 Apr 2023 16:25:51 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiuMZLWHY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LuoXCCYHLMMY22,
  author       = {Shaobo Luo and
                  Zhiyuan Xie and
                  Gengxin Chen and
                  Lei Cui and
                  Mei Yan and
                  Xiwei Huang and
                  Shuwei Li and
                  Changhai Man and
                  Wei Mao and
                  Hao Yu},
  title        = {Hierarchical {DNN} with Heterogeneous Computing Enabled High-Performance
                  {DNA} Sequencing},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2022,
                  Shenzhen, China, November 11-13, 2022},
  pages        = {35--40},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/APCCAS55924.2022.10090281},
  doi          = {10.1109/APCCAS55924.2022.10090281},
  timestamp    = {Sat, 22 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LuoXCCYHLMMY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/DuNLMY22,
  author       = {Laimin Du and
                  Leibin Ni and
                  Xiong Liu and
                  Wei Mao and
                  Hao Yu},
  title        = {A Low-Power Approximate Multiplier with Sign-Focus Compressor and
                  Error Compensation},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2022,
                  Shenzhen, China, November 11-13, 2022},
  pages        = {226--230},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/APCCAS55924.2022.10090316},
  doi          = {10.1109/APCCAS55924.2022.10090316},
  timestamp    = {Sat, 22 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/DuNLMY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DaiCWHZLM022,
  author       = {Liuyao Dai and
                  Quan Cheng and
                  Yuhang Wang and
                  Gengbin Huang and
                  Junzhuo Zhou and
                  Kai Li and
                  Wei Mao and
                  Hao Yu},
  title        = {An Energy-Efficient Bit-Split-and-Combination Systolic Accelerator
                  for NAS-Based Multi-Precision Convolution Neural Networks},
  booktitle    = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2022, Taipei, Taiwan, January 17-20, 2022},
  pages        = {448--453},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ASP-DAC52403.2022.9712509},
  doi          = {10.1109/ASP-DAC52403.2022.9712509},
  timestamp    = {Thu, 29 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/DaiCWHZLM022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiZWLYYMHY22,
  author       = {Kai Li and
                  Junzhuo Zhou and
                  Yuhang Wang and
                  Junyi Luo and
                  Zhengke Yang and
                  Shuxin Yang and
                  Wei Mao and
                  Mingqiang Huang and
                  Hao Yu},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {A Precision-Scalable Energy-Efficient Bit-Split-and-Combination Vector
                  Systolic Accelerator for NAS-Optimized DNNs on Edge},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {730--735},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774679},
  doi          = {10.23919/DATE54114.2022.9774679},
  timestamp    = {Thu, 29 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiZWLYYMHY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/LiGSYMLY22,
  author       = {Shuwei Li and
                  Zhiru Guo and
                  Ao Shen and
                  Zheqi Yu and
                  Wei Mao and
                  Shaobo Luo and
                  Hao Yu},
  title        = {BaseFormer: Transformer based Base-Caller for Fast and Accurate Next
                  Generation Sequencing},
  booktitle    = {44th Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland,
                  United Kingdom, July 11-15, 2022},
  pages        = {463--466},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/EMBC48229.2022.9871730},
  doi          = {10.1109/EMBC48229.2022.9871730},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/embc/LiGSYMLY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/HuangLCYLLYLYM22,
  author       = {Mingqiang Huang and
                  Yucen Liu and
                  Quan Cheng and
                  Shuxin Yang and
                  Kai Li and
                  Junyi Luo and
                  Zhengke Yang and
                  Qiufeng Li and
                  Hao Yu and
                  Changhai Man},
  editor       = {Michael Adler and
                  Paolo Ienne},
  title        = {A High Throughput Multi-bit-width 3D Systolic Accelerator for {NAS}
                  Optimized Deep Neural Networks on {FPGA}},
  booktitle    = {{FPGA} '22: The 2022 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, Virtual Event, USA, 27 February 2022 - 1 March 2022},
  pages        = {50},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3490422.3502343},
  doi          = {10.1145/3490422.3502343},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/HuangLCYLLYLYM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icarm/LiRBDLZLY22,
  author       = {Chenghao Li and
                  Hongwei Ren and
                  Minjie Bi and
                  Chenchen Ding and
                  Wenjie Li and
                  Rumin Zhang and
                  Xiaoguang Liu and
                  Hao Yu},
  title        = {{TLCD:} {A} Transformer based Loop Closure Detection for Robotic Visual
                  {SLAM}},
  booktitle    = {International Conference on Advanced Robotics and Mechatronics , {ICARM}
                  2022, Guilin, China, July 9-11, 2022},
  pages        = {261--267},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICARM54641.2022.9959319},
  doi          = {10.1109/ICARM54641.2022.9959319},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icarm/LiRBDLZLY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wacv/ChengLZHNC0W22,
  author       = {Yuan Cheng and
                  Rui Lin and
                  Peining Zhen and
                  Tianshu Hou and
                  Chiu Wa Ng and
                  Hai{-}Bao Chen and
                  Hao Yu and
                  Ngai Wong},
  title        = {{FASSST:} Fast Attention Based Single-Stage Segmentation Net for Real-Time
                  Instance Segmentation},
  booktitle    = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV}
                  2022, Waikoloa, HI, USA, January 3-8, 2022},
  pages        = {2714--2722},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/WACV51458.2022.00277},
  doi          = {10.1109/WACV51458.2022.00277},
  timestamp    = {Thu, 17 Feb 2022 14:50:06 +0100},
  biburl       = {https://dblp.org/rec/conf/wacv/ChengLZHNC0W22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/aisy/LiuYC21,
  author       = {Dingbang Liu and
                  Hao Yu and
                  Yang Chai},
  title        = {Low-Power Computing with Neuromorphic Engineering},
  journal      = {Adv. Intell. Syst.},
  volume       = {3},
  number       = {2},
  pages        = {2000150},
  year         = {2021},
  url          = {https://doi.org/10.1002/aisy.202000150},
  doi          = {10.1002/AISY.202000150},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/aisy/LiuYC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/DinakarraoHY21,
  author       = {Sai Manoj Pudukotai Dinakarrao and
                  Hantao Huang and
                  Hao Yu},
  title        = {Energy-Efficient and Error-Resilient Cognitive {I/O} for 3-D-Integrated
                  Manycore Microprocessors},
  journal      = {{IEEE} Des. Test},
  volume       = {38},
  number       = {6},
  pages        = {88--95},
  year         = {2021},
  url          = {https://doi.org/10.1109/MDAT.2020.3043232},
  doi          = {10.1109/MDAT.2020.3043232},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/DinakarraoHY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/lgrs/ChenJHZY21,
  author       = {Hai{-}Bao Chen and
                  Shan Jiang and
                  Guanghui He and
                  Bingyi Zhang and
                  Hao Yu},
  title        = {{TEANS:} {A} Target Enhancement and Attenuated Nonmaximum Suppression
                  Object Detector for Remote Sensing Images},
  journal      = {{IEEE} Geosci. Remote. Sens. Lett.},
  volume       = {18},
  number       = {4},
  pages        = {632--636},
  year         = {2021},
  url          = {https://doi.org/10.1109/LGRS.2020.2983070},
  doi          = {10.1109/LGRS.2020.2983070},
  timestamp    = {Fri, 18 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/lgrs/ChenJHZY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tiot/ZhenCCJLY21,
  author       = {Peining Zhen and
                  Hai{-}Bao Chen and
                  Yuan Cheng and
                  Zhigang Ji and
                  Bin Liu and
                  Hao Yu},
  title        = {Fast Video Facial Expression Recognition by a Deeply Tensor-Compressed
                  {LSTM} Neural Network for Mobile Devices},
  journal      = {{ACM} Trans. Internet Things},
  volume       = {2},
  number       = {4},
  pages        = {23:1--23:26},
  year         = {2021},
  url          = {https://doi.org/10.1145/3464941},
  doi          = {10.1145/3464941},
  timestamp    = {Tue, 31 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tiot/ZhenCCJLY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tist/ChengYCWY21,
  author       = {Yuan Cheng and
                  Yuchao Yang and
                  Hai{-}Bao Chen and
                  Ngai Wong and
                  Hao Yu},
  title        = {S3-Net: {A} Fast Scene Understanding Network by Single-Shot Segmentation
                  for Autonomous Driving},
  journal      = {{ACM} Trans. Intell. Syst. Technol.},
  volume       = {12},
  number       = {5},
  pages        = {58:1--58:19},
  year         = {2021},
  url          = {https://doi.org/10.1145/3470660},
  doi          = {10.1145/3470660},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tist/ChengYCWY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aicas/LiMXCXDY21,
  author       = {Kai Li and
                  Wei Mao and
                  Xinang Xie and
                  Quan Cheng and
                  Huan Xie and
                  Zhenjiang Dong and
                  Hao Yu},
  title        = {Multiple-Precision Floating-Point Dot Product Unit for Efficient Convolution
                  Computation},
  booktitle    = {3rd {IEEE} International Conference on Artificial Intelligence Circuits
                  and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/AICAS51828.2021.9458534},
  doi          = {10.1109/AICAS51828.2021.9458534},
  timestamp    = {Thu, 29 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aicas/LiMXCXDY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SunCLMCY021,
  author       = {Qi Sun and
                  Tinghuan Chen and
                  Siting Liu and
                  Jin Miao and
                  Jianli Chen and
                  Hao Yu and
                  Bei Yu},
  title        = {Correlated Multi-objective Multi-fidelity Optimization for {HLS} Directives
                  Design},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {46--51},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474241},
  doi          = {10.23919/DATE51398.2021.9474241},
  timestamp    = {Tue, 12 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/SunCLMCY021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GuanLCMMW021,
  author       = {Ziyi Guan and
                  Shuwei Li and
                  Yuan Cheng and
                  Changhai Man and
                  Wei Mao and
                  Ngai Wong and
                  Hao Yu},
  title        = {A Video-based Fall Detection Network by Spatio-temporal Joint-point
                  Model on Edge Devices},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {422--427},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474206},
  doi          = {10.23919/DATE51398.2021.9474206},
  timestamp    = {Thu, 29 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GuanLCMMW021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MaoLXZLY21,
  author       = {Wei Mao and
                  Kai Li and
                  Xinang Xie and
                  Shirui Zhao and
                  He Li and
                  Hao Yu},
  title        = {A Reconfigurable Multiple-Precision Floating-Point Dot Product Unit
                  for High-Performance Computing},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1793--1798},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473928},
  doi          = {10.23919/DATE51398.2021.9473928},
  timestamp    = {Thu, 23 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MaoLXZLY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/YangRLDY21,
  author       = {Yuchao Yang and
                  Hongwei Ren and
                  Chenghao Li and
                  Chenchen Ding and
                  Hao Yu},
  title        = {An Edge-device Based Fast Fall Detection Using Spatio-temporal Optical
                  Flow Model},
  booktitle    = {43rd Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5,
                  2021},
  pages        = {5067--5071},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/EMBC46164.2021.9629840},
  doi          = {10.1109/EMBC46164.2021.9629840},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/embc/YangRLDY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wacv/ChengYCW021,
  author       = {Yuan Cheng and
                  Yuchao Yang and
                  Hai{-}Bao Chen and
                  Ngai Wong and
                  Hao Yu},
  title        = {S3-Net: {A} Fast and Lightweight Video Scene Understanding Network
                  by Single-shot Segmentation},
  booktitle    = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV}
                  2021, Waikoloa, HI, USA, January 3-8, 2021},
  pages        = {3328--3336},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/WACV48630.2021.00337},
  doi          = {10.1109/WACV48630.2021.00337},
  timestamp    = {Fri, 18 Jun 2021 10:51:54 +0200},
  biburl       = {https://dblp.org/rec/conf/wacv/ChengYCW021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/MaWCWWTYYSMRB20,
  author       = {Shunli Ma and
                  Yan Wang and
                  Xinyu Chen and
                  Tianxiang Wu and
                  Xi Wang and
                  Hongwei Tang and
                  Yuting Yao and
                  Hao Yu and
                  Yaochen Sheng and
                  Jingyi Ma and
                  Junyan Ren and
                  Wenzhong Bao},
  title        = {Analog Integrated Circuits Based on Wafer-Level Two-Dimensional MoS\({}_{\mbox{2}}\)
                  Materials With Physical and {SPICE} Model},
  journal      = {{IEEE} Access},
  volume       = {8},
  pages        = {197287--197299},
  year         = {2020},
  url          = {https://doi.org/10.1109/ACCESS.2020.3034321},
  doi          = {10.1109/ACCESS.2020.3034321},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/MaWCWWTYYSMRB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ChengLWCY20,
  author       = {Yuan Cheng and
                  Guangya Li and
                  Ngai Wong and
                  Hai{-}Bao Chen and
                  Hao Yu},
  title        = {{DEEPEYE:} {A} Deeply Tensor-Compressed Neural Network for Video Comprehension
                  on Terminal Devices},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {19},
  number       = {3},
  pages        = {18:1--18:25},
  year         = {2020},
  url          = {https://doi.org/10.1145/3381805},
  doi          = {10.1145/3381805},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/ChengLWCY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChengHZLCWY20,
  author       = {Yuan Cheng and
                  Guangtai Huang and
                  Peining Zhen and
                  Bin Liu and
                  Hai{-}Bao Chen and
                  Ngai Wong and
                  Hao Yu},
  title        = {An Anomaly Comprehension Neural Network for Surveillance Videos on
                  Terminal Devices},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1396--1401},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116533},
  doi          = {10.23919/DATE48585.2020.9116533},
  timestamp    = {Fri, 31 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ChengHZLCWY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MaoXXRLZA020,
  author       = {Wei Mao and
                  Zhihua Xiao and
                  Peng Xu and
                  Hongwei Ren and
                  Dingbang Liu and
                  Shirui Zhao and
                  Fengwei An and
                  Hao Yu},
  editor       = {Tinoosh Mohsenin and
                  Weisheng Zhao and
                  Yiran Chen and
                  Onur Mutlu},
  title        = {Energy-Efficient Machine Learning Accelerator for Binary Neural Networks},
  booktitle    = {{GLSVLSI} '20: Great Lakes Symposium on {VLSI} 2020, Virtual Event,
                  China, September 7-9, 2020},
  pages        = {77--82},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3386263.3407582},
  doi          = {10.1145/3386263.3407582},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MaoXXRLZA020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2002-12663,
  author       = {Rui Lin and
                  Ching{-}Yun Ko and
                  Zhuolun He and
                  Cong Chen and
                  Yuan Cheng and
                  Hao Yu and
                  Graziano Chesi and
                  Ngai Wong},
  title        = {{HOTCAKE:} Higher Order Tucker Articulated Kernels for Deeper {CNN}
                  Compression},
  journal      = {CoRR},
  volume       = {abs/2002.12663},
  year         = {2020},
  url          = {https://arxiv.org/abs/2002.12663},
  eprinttype    = {arXiv},
  eprint       = {2002.12663},
  timestamp    = {Fri, 31 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2002-12663.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-02265,
  author       = {Yuan Cheng and
                  Yuchao Yang and
                  Hai{-}Bao Chen and
                  Ngai Wong and
                  Hao Yu},
  title        = {S3-Net: {A} Fast and Lightweight Video Scene Understanding Network
                  by Single-shot Segmentation},
  journal      = {CoRR},
  volume       = {abs/2011.02265},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.02265},
  eprinttype    = {arXiv},
  eprint       = {2011.02265},
  timestamp    = {Fri, 06 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-02265.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/ChengWCY19,
  author       = {Yuan Cheng and
                  Chao Wang and
                  Hai{-}Bao Chen and
                  Hao Yu},
  title        = {A large-scale in-memory computing for deep neural network with trained
                  quantization},
  journal      = {Integr.},
  volume       = {69},
  pages        = {345--355},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.08.004},
  doi          = {10.1016/J.VLSI.2019.08.004},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/ChengWCY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/Ma0GR19,
  author       = {Shunli Ma and
                  Hao Yu and
                  Qun Jane Gu and
                  Junyan Ren},
  title        = {A 5-10-Gb/s 12.5-mW Source Synchronous {I/O} Interface With 3-D Flip
                  Chip Package},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {2},
  pages        = {555--568},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2018.2867623},
  doi          = {10.1109/TCSI.2018.2867623},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/Ma0GR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcom/JoyEYM19,
  author       = {Soumitra Roy Joy and
                  Mikhail Erementchouk and
                  Hao Yu and
                  Pinaki Mazumder},
  title        = {Spoof Plasmon Interconnects - Communications Beyond {RC} Limit},
  journal      = {{IEEE} Trans. Commun.},
  volume       = {67},
  number       = {1},
  pages        = {599--610},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCOMM.2018.2874242},
  doi          = {10.1109/TCOMM.2018.2874242},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcom/JoyEYM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetci/HuangEKTY19,
  author       = {Guang{-}Bin Huang and
                  E. S. Eleftheriou and
                  Dhireesha Kudithipudi and
                  Jonathan Tapson and
                  Hao Yu},
  title        = {Guest Editorial: Special Issue on New Trends in Smart Chips and Smart
                  Hardware},
  journal      = {{IEEE} Trans. Emerg. Top. Comput. Intell.},
  volume       = {3},
  number       = {1},
  pages        = {1--3},
  year         = {2019},
  url          = {https://doi.org/10.1109/TETCI.2018.2890048},
  doi          = {10.1109/TETCI.2018.2890048},
  timestamp    = {Wed, 01 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tetci/HuangEKTY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tie/LiLLJWGYR19,
  author       = {Yixing Li and
                  Zichuan Liu and
                  Wenye Liu and
                  Yu Jiang and
                  Yongliang Wang and
                  Wang Ling Goh and
                  Hao Yu and
                  Fengbo Ren},
  title        = {A 34-FPS 698-GOP/s/W Binarized Deep Neural Network-Based Natural Scene
                  Text Interpretation Accelerator for Mobile Edge Computing},
  journal      = {{IEEE} Trans. Ind. Electron.},
  volume       = {66},
  number       = {9},
  pages        = {7407--7416},
  year         = {2019},
  url          = {https://doi.org/10.1109/TIE.2018.2875643},
  doi          = {10.1109/TIE.2018.2875643},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tie/LiLLJWGYR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tnn/HuangY19,
  author       = {Hantao Huang and
                  Hao Yu},
  title        = {{LTNN:} {A} Layerwise Tensorized Compression of Multilayer Neural
                  Network},
  journal      = {{IEEE} Trans. Neural Networks Learn. Syst.},
  volume       = {30},
  number       = {5},
  pages        = {1497--1511},
  year         = {2019},
  url          = {https://doi.org/10.1109/TNNLS.2018.2869974},
  doi          = {10.1109/TNNLS.2018.2869974},
  timestamp    = {Sat, 30 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tnn/HuangY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiangBLTNKWZY19,
  author       = {Yuan Liang and
                  Chirn Chye Boon and
                  Chenyang Li and
                  Xiao{-}Lan Tang and
                  Herman Jalli Ng and
                  Dietmar Kissinger and
                  Yong Wang and
                  Qingfeng Zhang and
                  Hao Yu},
  title        = {Design and Analysis of {\textdollar}D{\textdollar} -Band On-Chip Modulator
                  and Signal Source Based on Split-Ring Resonator},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {7},
  pages        = {1513--1526},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2906680},
  doi          = {10.1109/TVLSI.2019.2906680},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiangBLTNKWZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChengWLNCY19,
  author       = {Yuan Cheng and
                  Ngai Wong and
                  Xiong Liu and
                  Leibin Ni and
                  Hai{-}Bao Chen and
                  Hao Yu},
  title        = {A Low-Power High-Throughput In-Memory CMOS-ReRAM Accelerator for Large-Scale
                  Deep Residual Neural Networks},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983497},
  doi          = {10.1109/ASICON47005.2019.8983497},
  timestamp    = {Wed, 12 Feb 2020 16:13:42 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChengWLNCY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/ChenZL0TZ19,
  author       = {Yufei Chen and
                  Qinming Zhang and
                  Tingtao Li and
                  Hao Yu and
                  Mei Tian and
                  Cheng Zhuo},
  title        = {ANT-UNet: Accurate and Noise-Tolerant Segmentation for Pathology Image
                  Processing},
  booktitle    = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019,
                  Nara, Japan, October 17-19, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/BIOCAS.2019.8919150},
  doi          = {10.1109/BIOCAS.2019.8919150},
  timestamp    = {Mon, 16 Dec 2019 13:05:43 +0100},
  biburl       = {https://dblp.org/rec/conf/biocas/ChenZL0TZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/edge/ZhenLCCY19,
  author       = {Peining Zhen and
                  Bin Liu and
                  Yuan Cheng and
                  Hai{-}Bao Chen and
                  Hao Yu},
  editor       = {Songqing Chen and
                  Ryokichi Onishi and
                  Ganesh Ananthanarayanan and
                  Qun Li},
  title        = {Fast video facial expression recognition by deeply tensor-compressed
                  {LSTM} neural network on mobile device},
  booktitle    = {Proceedings of the 4th {ACM/IEEE} Symposium on Edge Computing, {SEC}
                  2019, Arlington, Virginia, USA, November 7-9, 2019},
  pages        = {298--300},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3318216.3363322},
  doi          = {10.1145/3318216.3363322},
  timestamp    = {Thu, 21 Sep 2023 13:15:55 +0200},
  biburl       = {https://dblp.org/rec/conf/edge/ZhenLCCY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChengLWCY19,
  author       = {Yuan Cheng and
                  Guangya Li and
                  Ngai Wong and
                  Hai{-}Bao Chen and
                  Hao Yu},
  editor       = {David Z. Pan},
  title        = {{DEEPEYE:} {A} Deeply Tensor-Compressed Neural Network Hardware Accelerator:
                  Invited Paper},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942052},
  doi          = {10.1109/ICCAD45719.2019.8942052},
  timestamp    = {Wed, 19 Feb 2020 16:38:01 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChengLWCY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icfpt/ZhaoA019,
  author       = {Shirui Zhao and
                  Fengwei An and
                  Hao Yu},
  title        = {A 307-fps 351.7-GOPs/W Deep Learning {FPGA} Accelerator for Real-Time
                  Scene Text Recognition},
  booktitle    = {International Conference on Field-Programmable Technology, {FPT} 2019,
                  Tianjin, China, December 9-13, 2019},
  pages        = {263--266},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICFPT47387.2019.00043},
  doi          = {10.1109/ICFPT47387.2019.00043},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icfpt/ZhaoA019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/LuoHFAFXHY18,
  author       = {Jiang Luo and
                  Jin He and
                  Guangyin Feng and
                  Alit Apriyana and
                  Ya Fang and
                  Zhe Xue and
                  Qijun Huang and
                  Hao Yu},
  title        = {A D-Band Amplifier in 65 nm Bulk {CMOS} for Short-Distance Data Center
                  Communication},
  journal      = {{IEEE} Access},
  volume       = {6},
  pages        = {53191--53200},
  year         = {2018},
  url          = {https://doi.org/10.1109/ACCESS.2018.2871047},
  doi          = {10.1109/ACCESS.2018.2871047},
  timestamp    = {Tue, 19 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/LuoHFAFXHY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/XuYHDY18,
  author       = {Dongjun Xu and
                  Ningmei Yu and
                  Hantao Huang and
                  Sai Manoj Pudukotai Dinakarrao and
                  Hao Yu},
  title        = {Q-Learning-Based Voltage-Swing Tuning and Compensation for 2.5-D Memory-Logic
                  Integration},
  journal      = {{IEEE} Des. Test},
  volume       = {35},
  number       = {2},
  pages        = {91--99},
  year         = {2018},
  url          = {https://doi.org/10.1109/MDAT.2017.2764075},
  doi          = {10.1109/MDAT.2017.2764075},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/XuYHDY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/LiLXYR18,
  author       = {Yixing Li and
                  Zichuan Liu and
                  Kai Xu and
                  Hao Yu and
                  Fengbo Ren},
  title        = {A GPU-Outperforming {FPGA} Accelerator Architecture for Binary Convolutional
                  Neural Networks},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {14},
  number       = {2},
  pages        = {18:1--18:16},
  year         = {2018},
  url          = {https://doi.org/10.1145/3154839},
  doi          = {10.1145/3154839},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/LiLXYR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/JiangLDHFZY18,
  author       = {Yu Jiang and
                  Xu Liu and
                  Tran Chien Dang and
                  Xiwei Huang and
                  Hao Feng and
                  Qing Zhang and
                  Hao Yu},
  title        = {A High-Sensitivity Potentiometric 65-nm {CMOS} {ISFET} Sensor for
                  Rapid E. coli Screening},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {12},
  number       = {2},
  pages        = {402--415},
  year         = {2018},
  url          = {https://doi.org/10.1109/TBCAS.2018.2793861},
  doi          = {10.1109/TBCAS.2018.2793861},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tbcas/JiangLDHFZY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/HuangXCRY18,
  author       = {Hantao Huang and
                  Hang Xu and
                  Yuehua Cai and
                  Suleman Khalid Rai and
                  Hao Yu},
  title        = {Distributed Machine Learning on Smart-Gateway Network toward Real-Time
                  Smart-Grid Energy Management with Behavior Cognition},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {23},
  number       = {5},
  pages        = {56:1--56:26},
  year         = {2018},
  url          = {https://doi.org/10.1145/3209888},
  doi          = {10.1145/3209888},
  timestamp    = {Sat, 18 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/HuangXCRY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aaai/LiuLRGY18,
  author       = {Zichuan Liu and
                  Yixing Li and
                  Fengbo Ren and
                  Wang Ling Goh and
                  Hao Yu},
  editor       = {Sheila A. McIlraith and
                  Kilian Q. Weinberger},
  title        = {SqueezedText: {A} Real-Time Scene Text Recognition by Binary Convolutional
                  Encoder-Decoder Network},
  booktitle    = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence,
                  (AAAI-18), the 30th innovative Applications of Artificial Intelligence
                  (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in
                  Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February
                  2-7, 2018},
  pages        = {7194--7201},
  publisher    = {{AAAI} Press},
  year         = {2018},
  url          = {https://doi.org/10.1609/aaai.v32i1.12252},
  doi          = {10.1609/AAAI.V32I1.12252},
  timestamp    = {Mon, 04 Sep 2023 12:29:24 +0200},
  biburl       = {https://dblp.org/rec/conf/aaai/LiuLRGY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/0001S18,
  author       = {Hao Yu and
                  Guoyong Shi},
  title        = {Symbolic Circuit Reduction for Multistage Amplifier Macromodeling},
  booktitle    = {2018 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2018, Chengdu, China, October 26-30, 2018},
  pages        = {247--250},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/APCCAS.2018.8605611},
  doi          = {10.1109/APCCAS.2018.8605611},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/0001S18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/0004YFHXLNDDZ18,
  author       = {Yu Jiang and
                  Hao Yu and
                  Xiaojian Fu and
                  Chathuranga Hettiarachchi and
                  He Xu and
                  Ye Li and
                  Tien{-}Hoa Nguyen and
                  Longtao Dong and
                  Cuong Dang and
                  Qing Zhang},
  title        = {A Nano-Filter-Integrated {CMOS} Image Sensor for Fluorescent Biomedical
                  Imaging},
  booktitle    = {2018 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2018,
                  Cleveland, OH, USA, October 17-19, 2018},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/BIOCAS.2018.8584706},
  doi          = {10.1109/BIOCAS.2018.8584706},
  timestamp    = {Tue, 19 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/biocas/0004YFHXLNDDZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/LiangYBLKW18,
  author       = {Yuan Liang and
                  Hao Yu and
                  Chirn Chye Boon and
                  Chenyang Li and
                  Dietmar Kissinger and
                  Yong Wang},
  title        = {D-Band Surface-Wave Modulator and Signal Source with 40 dB Extinction
                  Ratio and 3.7mW Output Power in 65 nm {CMOS}},
  booktitle    = {44th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2018,
                  Dresden, Germany, September 3-6, 2018},
  pages        = {142--145},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ESSCIRC.2018.8494264},
  doi          = {10.1109/ESSCIRC.2018.8494264},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/LiangYBLKW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1805-07935,
  author       = {Yuan Cheng and
                  Guangya Li and
                  Hai{-}Bao Chen and
                  Sheldon X.{-}D. Tan and
                  Hao Yu},
  title        = {{DEEPEYE:} {A} Compact and Accurate Video Comprehension at Terminal
                  Devices Compressed with Quantization and Tensorization},
  journal      = {CoRR},
  volume       = {abs/1805.07935},
  year         = {2018},
  url          = {http://arxiv.org/abs/1805.07935},
  eprinttype    = {arXiv},
  eprint       = {1805.07935},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1805-07935.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cps/KPPKY17,
  author       = {Srikanth Reddy K and
                  Lokesh Kumar Panwar and
                  Bijaya K. Panigrahi and
                  Rajesh Kumar and
                  Hao Yu},
  title        = {Demand side management with consumer clusters in cyber-physical smart
                  distribution system considering price-based and reward-based scheduling
                  programs},
  journal      = {{IET} Cyper-Phys. Syst.: Theory {\&} Appl.},
  volume       = {2},
  number       = {2},
  pages        = {75--83},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cps.2017.0008},
  doi          = {10.1049/IET-CPS.2017.0008},
  timestamp    = {Tue, 19 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cps/KPPKY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/NiHLJY17,
  author       = {Leibin Ni and
                  Hantao Huang and
                  Zichuan Liu and
                  Rajiv V. Joshi and
                  Hao Yu},
  title        = {Distributed In-Memory Computing on Binary {RRAM} Crossbar},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {13},
  number       = {3},
  pages        = {36:1--36:18},
  year         = {2017},
  url          = {https://doi.org/10.1145/2996192},
  doi          = {10.1145/2996192},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/NiHLJY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JeonDKWCYBS17,
  author       = {Dongsuk Jeon and
                  Qing Dong and
                  Yejoong Kim and
                  Xiaolong Wang and
                  Shuai Chen and
                  Hao Yu and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A 23-mW Face Recognition Processor with Mostly-Read 5T Memory in 40-nm
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1628--1642},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2661838},
  doi          = {10.1109/JSSC.2017.2661838},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JeonDKWCYBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/WangLXRY17,
  author       = {Yuhao Wang and
                  Xin Li and
                  Kai Xu and
                  Fengbo Ren and
                  Hao Yu},
  title        = {Data-Driven Sampling Matrix Boolean Optimization for Energy-Efficient
                  Biomedical Signal Acquisition by Compressive Sensing},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {11},
  number       = {2},
  pages        = {255--266},
  year         = {2017},
  url          = {https://doi.org/10.1109/TBCAS.2016.2597310},
  doi          = {10.1109/TBCAS.2016.2597310},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tbcas/WangLXRY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/LiuHJXGHYY17,
  author       = {Xu Liu and
                  Xiwei Huang and
                  Yu Jiang and
                  Hang Xu and
                  Jing Guo and
                  Han Wei Hou and
                  Mei Yan and
                  Hao Yu},
  title        = {A Microfluidic Cytometer for Complete Blood Count With a 3.2-Megapixel,
                  1.1- {\(\mu\)}m-Pitch Super-Resolution Image Sensor in 65-nm {BSI}
                  {CMOS}},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {11},
  number       = {4},
  pages        = {794--803},
  year         = {2017},
  url          = {https://doi.org/10.1109/TBCAS.2017.2697451},
  doi          = {10.1109/TBCAS.2017.2697451},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tbcas/LiuHJXGHYY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/HuangCXY17,
  author       = {Hantao Huang and
                  Yuehua Cai and
                  Hang Xu and
                  Hao Yu},
  title        = {A Multiagent Minority-Game-Based Demand-Response Management of Smart
                  Buildings Toward Peak Load Reduction},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {4},
  pages        = {573--585},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2571847},
  doi          = {10.1109/TCAD.2016.2571847},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/HuangCXY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/DLZYLHSZYYY17,
  author       = {Sai Manoj P. D. and
                  Jie Lin and
                  Shikai Zhu and
                  Yingying Yin and
                  Xu Liu and
                  Xiwei Huang and
                  Chongshen Song and
                  Wenqi Zhang and
                  Mei Yan and
                  Zhiyi Yu and
                  Hao Yu},
  title        = {A Scalable Network-on-Chip Microprocessor With 2.5D Integrated Memory
                  and Accelerator},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {64-I},
  number       = {6},
  pages        = {1432--1443},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCSI.2016.2647322},
  doi          = {10.1109/TCSI.2016.2647322},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/DLZYLHSZYYY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/JiangCY17,
  author       = {Yu Jiang and
                  Philippe Coquet and
                  Hao Yu},
  title        = {Fast food safety screening with {CMOS} high-sensitivity large-arrayed
                  {ISFET} sensor},
  booktitle    = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2017, Torino,
                  Italy, October 19-21, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/BIOCAS.2017.8325174},
  doi          = {10.1109/BIOCAS.2017.8325174},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/biocas/JiangCY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/ApriyanaFSWSY17,
  author       = {Alit Apriyana and
                  Guangyin Feng and
                  Yang Shang and
                  Jincai Wen and
                  Lingling Sun and
                  Hao Yu},
  title        = {An efficient 4-way-combined 291 GHz signal source with 1.75 mW peak
                  output power in 65 nm {CMOS}},
  booktitle    = {2017 {IEEE} Custom Integrated Circuits Conference, {CICC} 2017, Austin,
                  TX, USA, April 30 - May 3, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/CICC.2017.7993665},
  doi          = {10.1109/CICC.2017.7993665},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/ApriyanaFSWSY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/HuangRLY17,
  author       = {Hantao Huang and
                  Suleman Khalid Rai and
                  Wenye Liu and
                  Hao Yu},
  title        = {A fast online sequential learning accelerator for IoT network intrusion
                  detection: work-in-progress},
  booktitle    = {Proceedings of the Twelfth {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS}
                  2017, Seoul, Republic of Korea, October 15-20, 2017},
  pages        = {18:1--18:2},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3125502.3125532},
  doi          = {10.1145/3125502.3125532},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/HuangRLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/LiuHXNCBY17,
  author       = {Zichuan Liu and
                  Yifei Hu and
                  Hang Xu and
                  Lamees Nasser and
                  Philippe Coquet and
                  Thomas Boudier and
                  Hao Yu},
  title        = {NucleiNet: {A} convolutional encoder-decoder network for bio-image
                  denoising},
  booktitle    = {2017 39th Annual International Conference of the {IEEE} Engineering
                  in Medicine and Biology Society (EMBC), Jeju Island, South Korea,
                  July 11-15, 2017},
  pages        = {1986--1989},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/EMBC.2017.8037240},
  doi          = {10.1109/EMBC.2017.8037240},
  timestamp    = {Sat, 18 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/embc/LiuHXNCBY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/LiLXYR17,
  author       = {Yixing Li and
                  Zichuan Liu and
                  Kai Xu and
                  Hao Yu and
                  Fengbo Ren},
  editor       = {Jonathan W. Greene and
                  Jason Helge Anderson},
  title        = {A 7.663-TOPS 8.2-W Energy-efficient {FPGA} Accelerator for Binary
                  Convolutional Neural Networks (Abstract Only)},
  booktitle    = {Proceedings of the 2017 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, {FPGA} 2017, Monterey, CA, USA, February 22-24, 2017},
  pages        = {290--291},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {http://dl.acm.org/citation.cfm?id=3021786},
  timestamp    = {Tue, 19 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/LiLXYR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NiLSYYWW17,
  author       = {Leibin Ni and
                  Zichuan Liu and
                  Wenhao Song and
                  J. Joshua Yang and
                  Hao Yu and
                  Kanwen Wang and
                  Yuangang Wang},
  title        = {An energy-efficient and high-throughput bitwise {CNN} on sneak-path-free
                  digital ReRAM crossbar},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009177},
  doi          = {10.1109/ISLPED.2017.8009177},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NiLSYYWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/smacd/0001S17,
  author       = {Hao Yu and
                  Guoyong Shi},
  title        = {Developing a web-based symbolic circuit analysis tool for learning
                  and design aid},
  booktitle    = {14th International Conference on Synthesis, Modeling, Analysis and
                  Simulation Methods and Applications to Circuit Design, {SMACD} 2017,
                  Giardini Naxos, Italy, June 12-15, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/SMACD.2017.7981587},
  doi          = {10.1109/SMACD.2017.7981587},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/smacd/0001S17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/HuangNY17,
  author       = {Hantao Huang and
                  Leibin Ni and
                  Hao Yu},
  editor       = {Massimo Alioto and
                  Hai Helen Li and
                  J{\"{u}}rgen Becker and
                  Ulf Schlichtmann and
                  Ramalingam Sridhar},
  title        = {{LTNN:} An energy-efficient machine learning accelerator on 3D {CMOS-RRAM}
                  for layer-wise tensorized neural network},
  booktitle    = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017,
                  Munich, Germany, September 5-8, 2017},
  pages        = {280--285},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/SOCC.2017.8226058},
  doi          = {10.1109/SOCC.2017.8226058},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/HuangNY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/Yu17,
  author       = {Hao Yu},
  title        = {Energy efficient {VLSI} circuits for machine learning on-chip},
  booktitle    = {2017 International Symposium on {VLSI} Design, Automation and Test,
                  {VLSI-DAT} 2017, Hsinchu, Taiwan, April 24-27, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/VLSI-DAT.2017.7939671},
  doi          = {10.1109/VLSI-DAT.2017.7939671},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/Yu17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/LiLXYR17,
  author       = {Yixing Li and
                  Zichuan Liu and
                  Kai Xu and
                  Hao Yu and
                  Fengbo Ren},
  title        = {A 7.663-TOPS 8.2-W Energy-efficient {FPGA} Accelerator for Binary
                  Convolutional Neural Networks},
  journal      = {CoRR},
  volume       = {abs/1702.06392},
  year         = {2017},
  url          = {http://arxiv.org/abs/1702.06392},
  eprinttype    = {arXiv},
  eprint       = {1702.06392},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/LiLXYR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:series/synthesis/2016Yu2,
  author       = {Hao Yu and
                  Leibin Ni and
                  Yuhao Wang},
  title        = {Non-Volatile In-Memory Computing by Spintronics},
  series       = {Synthesis Lectures on Emerging Engineering Technologies},
  publisher    = {Morgan {\&} Claypool Publishers},
  year         = {2016},
  url          = {https://doi.org/10.2200/S00736ED1V01Y201609EET008},
  doi          = {10.2200/S00736ED1V01Y201609EET008},
  isbn         = {978-3-031-00904-4},
  timestamp    = {Fri, 20 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/series/synthesis/2016Yu2.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcsc/ChangKY16,
  author       = {Chip{-}Hong Chang and
                  Tae{-}Hyoung Kim and
                  Hao Yu},
  title        = {Editorial},
  journal      = {J. Circuits Syst. Comput.},
  volume       = {25},
  number       = {1},
  pages        = {1602001:1--1602001:5},
  year         = {2016},
  url          = {https://doi.org/10.1142/S0218126616020011},
  doi          = {10.1142/S0218126616020011},
  timestamp    = {Tue, 25 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jcsc/ChangKY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/HuangJLXHRYYY16,
  author       = {Xiwei Huang and
                  Yu Jiang and
                  Xu Liu and
                  Hang Xu and
                  Zhi Han and
                  Hailong Rong and
                  Haiping Yang and
                  Mei Yan and
                  Hao Yu},
  title        = {Machine Learning Based Single-Frame Super-Resolution Processing for
                  Lensless Blood Cell Counting},
  journal      = {Sensors},
  volume       = {16},
  number       = {11},
  pages        = {1836},
  year         = {2016},
  url          = {https://doi.org/10.3390/s16111836},
  doi          = {10.3390/S16111836},
  timestamp    = {Sat, 18 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sensors/HuangJLXHRYYY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/DYHX16,
  author       = {Sai Manoj P. D. and
                  Hao Yu and
                  Hantao Huang and
                  Dongjun Xu},
  title        = {A Q-Learning Based Self-Adaptive {I/O} Communication for 2.5D Integrated
                  Many-Core Microprocessor and Memory},
  journal      = {{IEEE} Trans. Computers},
  volume       = {65},
  number       = {4},
  pages        = {1185--1196},
  year         = {2016},
  url          = {https://doi.org/10.1109/TC.2015.2439255},
  doi          = {10.1109/TC.2015.2439255},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/DYHX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/NiDSGY16,
  author       = {Leibin Ni and
                  Sai Manoj P. D. and
                  Yang Song and
                  Chenjie Gu and
                  Hao Yu},
  title        = {A Zonotoped Macromodeling for Eye-Diagram Verification of High-Speed
                  {I/O} Links With Jitter and Parameter Variations},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {6},
  pages        = {1040--1051},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2015.2481873},
  doi          = {10.1109/TCAD.2015.2481873},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/NiDSGY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tifs/WangNCY16,
  author       = {Yuhao Wang and
                  Leibin Ni and
                  Chip{-}Hong Chang and
                  Hao Yu},
  title        = {{DW-AES:} {A} Domain-Wall Nanowire-Based {AES} for High Throughput
                  and Energy-Efficient Data Encryption in Non-Volatile Memory},
  journal      = {{IEEE} Trans. Inf. Forensics Secur.},
  volume       = {11},
  number       = {11},
  pages        = {2426--2440},
  year         = {2016},
  url          = {https://doi.org/10.1109/TIFS.2016.2576903},
  doi          = {10.1109/TIFS.2016.2576903},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tifs/WangNCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/3dic/HuangNWYWCH16,
  author       = {Hantao Huang and
                  Leibin Ni and
                  Yuhao Wang and
                  Hao Yu and
                  Zongwei Wang and
                  Yimao Cai and
                  Ru Huang},
  title        = {A 3D multi-layer {CMOS-RRAM} accelerator for neural network},
  booktitle    = {2016 {IEEE} International 3D Systems Integration Conference, 3DIC
                  2016, San Francisco, CA, USA, November 8-11, 2016},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/3DIC.2016.7970014},
  doi          = {10.1109/3DIC.2016.7970014},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/3dic/HuangNWYWCH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NiWYYWZ16,
  author       = {Leibin Ni and
                  Yuhao Wang and
                  Hao Yu and
                  Wei Yang and
                  Chuliang Weng and
                  Junfeng Zhao},
  title        = {An energy-efficient matrix multiplication accelerator by distributed
                  in-memory computing on binary {RRAM} crossbar},
  booktitle    = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2016, Macao, Macao, January 25-28, 2016},
  pages        = {280--285},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASPDAC.2016.7428024},
  doi          = {10.1109/ASPDAC.2016.7428024},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/NiWYYWZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/Huang0XLHYY16,
  author       = {Xiwei Huang and
                  Yu Jiang and
                  Hang Xu and
                  Xu Liu and
                  Han Wei Hou and
                  Mei Yan and
                  Hao Yu},
  title        = {A convolutional neural network based single-frame super-resolution
                  for lensless blood cell counting},
  booktitle    = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai,
                  China, October 17-19, 2016},
  pages        = {168--171},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/BioCAS.2016.7833758},
  doi          = {10.1109/BIOCAS.2016.7833758},
  timestamp    = {Sat, 18 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/biocas/Huang0XLHYY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HuangCY16,
  author       = {Hantao Huang and
                  Yuehua Cai and
                  Hao Yu},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {Distributed-neuron-network based machine learning on smart-gateway
                  network towards real-time indoor data analytics},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {720--725},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459402/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/HuangCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NiHY16,
  author       = {Leibin Ni and
                  Hantao Huang and
                  Hao Yu},
  title        = {On-line machine learning accelerator on digital RRAM-crossbar},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {113--116},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527183},
  doi          = {10.1109/ISCAS.2016.7527183},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NiHY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangLHSYY16,
  author       = {Yu Jiang and
                  Xu Liu and
                  Xiwei Huang and
                  Yang Shang and
                  Mei Yan and
                  Hao Yu},
  title        = {Lab-on-CMOS: {A} multi-modal {CMOS} sensor platform towards personalized
                  {DNA} sequencing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2266--2269},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539035},
  doi          = {10.1109/ISCAS.2016.7539035},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangLHSYY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isicir/ChenHLWCHYY16,
  author       = {Pengwei Chen and
                  Jin He and
                  Jiang Luo and
                  Hao Wang and
                  Sheng Chang and
                  Qijun Huang and
                  Hao Yu and
                  Xiaopeng Yu},
  title        = {Fully integrated pseudo differential K-band power amplifier in 0.13um
                  standard {CMOS}},
  booktitle    = {International Symposium on Integrated Circuits, {ISIC} 2016, Singapore,
                  December 12-14, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISICIR.2016.7829728},
  doi          = {10.1109/ISICIR.2016.7829728},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isicir/ChenHLWCHYY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PangHAY16,
  author       = {Wei Pang and
                  Hantao Huang and
                  Fengwei An and
                  Hao Yu},
  title        = {Low-power and real-time computer vision on-chip},
  booktitle    = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea,
                  October 23-26, 2016},
  pages        = {43--44},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISOCC.2016.7799731},
  doi          = {10.1109/ISOCC.2016.7799731},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/PangHAY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/HuangYZR16,
  author       = {Hantao Huang and
                  Hao Yu and
                  Cheng Zhuo and
                  Fengbo Ren},
  editor       = {Evangeline F. Y. Young and
                  Mustafa Ozdal},
  title        = {A Compressive-sensing based Testing Vehicle for 3D {TSV} Pre-bond
                  and Post-bond Testing Data},
  booktitle    = {Proceedings of the 2016 on International Symposium on Physical Design,
                  {ISPD} 2016, Santa Rosa, CA, USA, April 3-6, 2016},
  pages        = {19--25},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2872334.2872351},
  doi          = {10.1145/2872334.2872351},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/HuangYZR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/DebCY16,
  author       = {Suman Deb and
                  Anupam Chattopadhyay and
                  Hao Yu},
  title        = {Energy Optimization of Racetrack Memory-Based {SIMON} Block Cipher},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {431--436},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.103},
  doi          = {10.1109/ISVLSI.2016.103},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/DebCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/NiHY16,
  author       = {Leibin Ni and
                  Hantao Huang and
                  Hao Yu},
  title        = {A memristor network with coupled oscillator and crossbar towards L2-norm
                  based machine learning},
  booktitle    = {{IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2016, Beijing, China, July 18-20, 2016},
  pages        = {179--184},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2950067.2950083},
  doi          = {10.1145/2950067.2950083},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/nanoarch/NiHY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanocom/LiuLLFYC16,
  author       = {Zichuan Liu and
                  Yuan Liang and
                  Nan Li and
                  Guangyin Feng and
                  Hao Yu and
                  Shaojie Chen},
  editor       = {Wolfgang H. Gerstacker and
                  Yevgeni Koucheryavy and
                  Giacomo Morabito},
  title        = {An Energy-efficient Adaptive Sub-THz Wireless Interconnect with MIMO-Beamforming
                  between Cores and DRAMs},
  booktitle    = {Proceedings of the 3rd {ACM} International Conference on Nanoscale
                  Computing and Communication, {NANOCOM} 2016, New York, NY, USA, September
                  28-30, 2016},
  pages        = {26:1--26:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2967446.2967454},
  doi          = {10.1145/2967446.2967454},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanocom/LiuLLFYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanocom/LiangFFY16,
  author       = {Yuan Liang and
                  Guangyin Feng and
                  Xiaojian Fu and
                  Hao Yu},
  editor       = {Wolfgang H. Gerstacker and
                  Yevgeni Koucheryavy and
                  Giacomo Morabito},
  title        = {An Energy Efficient {CMOS} Sub-THz Interconnect with Surface Plasmonic
                  Converter and Oscillator},
  booktitle    = {Proceedings of the 3rd {ACM} International Conference on Nanoscale
                  Computing and Communication, {NANOCOM} 2016, New York, NY, USA, September
                  28-30, 2016},
  pages        = {27:1--27:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2967446.2967456},
  doi          = {10.1145/2967446.2967456},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanocom/LiangFFY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/DebNYC16,
  author       = {Suman Deb and
                  Leibin Ni and
                  Hao Yu and
                  Anupam Chattopadhyay},
  editor       = {Walid A. Najjar and
                  Andreas Gerstlauer},
  title        = {Racetrack memory-based encoder/decoder for low-power interconnect
                  architectures},
  booktitle    = {International Conference on Embedded Computer Systems: Architectures,
                  Modeling and Simulation, {SAMOS} 2016, Agios Konstantinos, Samos Island,
                  Greece, July 17-21, 2016},
  pages        = {281--287},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SAMOS.2016.7818359},
  doi          = {10.1109/SAMOS.2016.7818359},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/DebNYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/smartgridcomm/XuHRY16,
  author       = {Hang Xu and
                  Hantao Huang and
                  Suleman Khalid Rai and
                  Hao Yu},
  title        = {Distributed machine learning based smart-grid energy management with
                  occupant cognition},
  booktitle    = {2016 {IEEE} International Conference on Smart Grid Communications,
                  SmartGridComm 2016, Sydney, Australia, November 6-9, 2016},
  pages        = {491--496},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SmartGridComm.2016.7778809},
  doi          = {10.1109/SMARTGRIDCOMM.2016.7778809},
  timestamp    = {Sat, 18 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/smartgridcomm/XuHRY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/LiuLRY16,
  author       = {Zichuan Liu and
                  Yixing Li and
                  Fengbo Ren and
                  Hao Yu},
  title        = {A Binary Convolutional Encoder-decoder Network for Real-time Natural
                  Scene Text Processing},
  journal      = {CoRR},
  volume       = {abs/1612.03630},
  year         = {2016},
  url          = {http://arxiv.org/abs/1612.03630},
  eprinttype    = {arXiv},
  eprint       = {1612.03630},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/LiuLRY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/XuDWYYY15,
  author       = {Dongjun Xu and
                  Sai Manoj P. D. and
                  Kanwen Wang and
                  Hao Yu and
                  Ningmei Yu and
                  Mingbin Yu},
  title        = {A 2.5-D Memory-Logic Integration With Data-Pattern-Aware Memory Controller},
  journal      = {{IEEE} Des. Test},
  volume       = {32},
  number       = {4},
  pages        = {49--58},
  year         = {2015},
  url          = {https://doi.org/10.1109/MDAT.2015.2440413},
  doi          = {10.1109/MDAT.2015.2440413},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/XuDWYYY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/HuangYLJY15,
  author       = {Xiwei Huang and
                  Hao Yu and
                  Xu Liu and
                  Yu Jiang and
                  Mei Yan},
  title        = {A Single-Frame Superresolution Algorithm for Lab-on-a-Chip Lensless
                  Microfluidic Imaging},
  journal      = {{IEEE} Des. Test},
  volume       = {32},
  number       = {6},
  pages        = {32--40},
  year         = {2015},
  url          = {https://doi.org/10.1109/MDAT.2015.2424418},
  doi          = {10.1109/MDAT.2015.2424418},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/HuangYLJY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/HuangWYY15,
  author       = {Xiwei Huang and
                  Xiaolong Wang and
                  Mei Yan and
                  Hao Yu},
  title        = {A robust recognition error recovery for micro-flow cytometer by machine-learning
                  enhanced single-frame super-resolution processing},
  journal      = {Integr.},
  volume       = {51},
  pages        = {208--218},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.vlsi.2014.07.004},
  doi          = {10.1016/J.VLSI.2014.07.004},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/HuangWYY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/CevikHYYA15,
  author       = {Ismail Cevik and
                  Xiwei Huang and
                  Hao Yu and
                  Mei Yan and
                  Suat U. Ay},
  title        = {An Ultra-Low Power {CMOS} Image Sensor with On-Chip Energy Harvesting
                  and Power Management Capability},
  journal      = {Sensors},
  volume       = {15},
  number       = {3},
  pages        = {5531--5554},
  year         = {2015},
  url          = {https://doi.org/10.3390/s150305531},
  doi          = {10.3390/S150305531},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sensors/CevikHYYA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbe/HuangYLJYW15,
  author       = {Xiwei Huang and
                  Hao Yu and
                  Xu Liu and
                  Yu Jiang and
                  Mei Yan and
                  Dongping Wu},
  title        = {A Dual-Mode Large-Arrayed {CMOS} {ISFET} Sensor for Accurate and High-Throughput
                  pH Sensing in Biomedical Diagnosis},
  journal      = {{IEEE} Trans. Biomed. Eng.},
  volume       = {62},
  number       = {9},
  pages        = {2224--2233},
  year         = {2015},
  url          = {https://doi.org/10.1109/TBME.2015.2419233},
  doi          = {10.1109/TBME.2015.2419233},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tbe/HuangYLJYW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/DYW15,
  author       = {Sai Manoj P. D. and
                  Hao Yu and
                  Kanwen Wang},
  title        = {3D Many-Core Microprocessor Power Management by Space-Time Multiplexing
                  Based Demand-Supply Matching},
  journal      = {{IEEE} Trans. Computers},
  volume       = {64},
  number       = {11},
  pages        = {3022--3036},
  year         = {2015},
  url          = {https://doi.org/10.1109/TC.2015.2389827},
  doi          = {10.1109/TC.2015.2389827},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/DYW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiuYT15,
  author       = {Xuexin Liu and
                  Hao Yu and
                  Sheldon X.{-}D. Tan},
  title        = {A GPU-Accelerated Parallel Shooting Algorithm for Analysis of Radio
                  Frequency and Microwave Integrated Circuits},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {23},
  number       = {3},
  pages        = {480--492},
  year         = {2015},
  url          = {https://doi.org/10.1109/TVLSI.2014.2309606},
  doi          = {10.1109/TVLSI.2014.2309606},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiuYT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/Huang0S0S15,
  author       = {Xiwei Huang and
                  Yu Jiang and
                  Yang Shang and
                  Hao Yu and
                  Lingling Sun},
  title        = {A {CMOS} THz-sensing system towards label-free {DNA} sequencing},
  booktitle    = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015,
                  Chengdu, China, November 3-6, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASICON.2015.7516976},
  doi          = {10.1109/ASICON.2015.7516976},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/Huang0S0S15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangGYY15,
  author       = {Xiwei Huang and
                  Jing Guo and
                  Mei Yan and
                  Hao Yu},
  title        = {A 64{\texttimes}64 1200fps dual-mode {CMOS} ion-image sensor for accurate
                  {DNA} sequencing},
  booktitle    = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2015, Chiba, Japan, January 19-22, 2015},
  pages        = {28--29},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASPDAC.2015.7058930},
  doi          = {10.1109/ASPDAC.2015.7058930},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangGYY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/JiangLHGYYHHC15,
  author       = {Yu Jiang and
                  Xu Liu and
                  Xiwei Huang and
                  Jing Guo and
                  Mei Yan and
                  Hao Yu and
                  Jui{-}Cheng Huang and
                  Kenny Cheng{-}Hsiang Hsieh and
                  Tung{-}Tsun Chen},
  title        = {A 201 mV/pH, 375 fps and 512{\texttimes}576 {CMOS} {ISFET} sensor
                  in 65nm {CMOS} technology},
  booktitle    = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San
                  Jose, CA, USA, September 28-30, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CICC.2015.7338427},
  doi          = {10.1109/CICC.2015.7338427},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/JiangLHGYYHHC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/LinZYXMY15,
  author       = {Jie Lin and
                  Shikai Zhu and
                  Zhiyi Yu and
                  Dongjun Xu and
                  Sai Manoj P. D. and
                  Hao Yu},
  title        = {A scalable and reconfigurable 2.5D integrated multicore processor
                  on silicon interposer},
  booktitle    = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San
                  Jose, CA, USA, September 28-30, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CICC.2015.7338447},
  doi          = {10.1109/CICC.2015.7338447},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/LinZYXMY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangHNYYWYZ15,
  author       = {Yuhao Wang and
                  Hantao Huang and
                  Leibin Ni and
                  Hao Yu and
                  Mei Yan and
                  Chuliang Weng and
                  Wei Yang and
                  Junfeng Zhao},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {An energy-efficient non-volatile in-memory accelerator for sparse-representation
                  based face recognition},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {932--935},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2757029},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WangHNYYWYZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipin/CaiRY15,
  author       = {Yuehua Cai and
                  Suleman Khalid Rai and
                  Hao Yu},
  title        = {Indoor positioning by distributed machine-learning based data analytics
                  on smart gateway network},
  booktitle    = {2015 International Conference on Indoor Positioning and Indoor Navigation,
                  {IPIN} 2015, Banff, AB, Canada, October 13-16, 2015},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/IPIN.2015.7346934},
  doi          = {10.1109/IPIN.2015.7346934},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipin/CaiRY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangCWYZT15,
  author       = {Lun Yang and
                  Yuanqing Cheng and
                  Yuhao Wang and
                  Hao Yu and
                  Weisheng Zhao and
                  Aida Todri{-}Sanial},
  title        = {A body-biasing of readout circuit for {STT-RAM} with improved thermal
                  reliability},
  booktitle    = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2015, Lisbon, Portugal, May 24-27, 2015},
  pages        = {1530--1533},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISCAS.2015.7168937},
  doi          = {10.1109/ISCAS.2015.7168937},
  timestamp    = {Tue, 19 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YangCWYZT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYLYYYJX15,
  author       = {Xu Liu and
                  Lei Yao and
                  Peng Li and
                  Mei Yan and
                  Shih{-}Cheng Yen and
                  Hao Yu and
                  Minkyu Je and
                  Yong Ping Xu},
  title        = {A 16-channel 24-V 1.8-mA power efficiency enhanced neural/muscular
                  stimulator with exponentially decaying stimulation current},
  booktitle    = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2015, Lisbon, Portugal, May 24-27, 2015},
  pages        = {2992--2995},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISCAS.2015.7169316},
  doi          = {10.1109/ISCAS.2015.7169316},
  timestamp    = {Tue, 17 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYLYYYJX15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangLYNYWZ15,
  author       = {Yuhao Wang and
                  Xin Li and
                  Hao Yu and
                  Leibin Ni and
                  Wei Yang and
                  Chuliang Weng and
                  Junfeng Zhao},
  title        = {Optimizing Boolean embedding matrix for compressive sensing in {RRAM}
                  crossbar},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {13--18},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273483},
  doi          = {10.1109/ISLPED.2015.7273483},
  timestamp    = {Sat, 18 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangLYNYWZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiangYZYW15,
  author       = {Yuan Liang and
                  Hao Yu and
                  Junfeng Zhao and
                  Wei Yang and
                  Yuangang Wang},
  title        = {An energy efficient and low cross-talk {CMOS} sub-THz {I/O} with surface-wave
                  modulator and interconnect},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {110--115},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273499},
  doi          = {10.1109/ISLPED.2015.7273499},
  timestamp    = {Tue, 25 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiangYZYW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/DWHY15,
  author       = {Sai Manoj P. D. and
                  Kanwen Wang and
                  Hantao Huang and
                  Hao Yu},
  title        = {Smart I/Os: a data-pattern aware 2.5D interconnect with space-time
                  multiplexing},
  booktitle    = {2015 {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2015, San Francisco, CA, USA, June 6, 2015},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/SLIP.2015.7171707},
  doi          = {10.1109/SLIP.2015.7171707},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/DWHY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/JeonDKWCYBS15,
  author       = {Dongsuk Jeon and
                  Qing Dong and
                  Yejoong Kim and
                  Xiaolong Wang and
                  Shuai Chen and
                  Hao Yu and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A 23mW face recognition accelerator in 40nm {CMOS} with mostly-read
                  5T memory},
  booktitle    = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19,
                  2015},
  pages        = {48},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSIC.2015.7231322},
  doi          = {10.1109/VLSIC.2015.7231322},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsic/JeonDKWCYBS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:books/daglib/0035474,
  author       = {Hao Yu and
                  Yuhao Wang},
  title        = {Design Exploration of Emerging Nano-scale Non-volatile Memory},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-1-4939-0551-5},
  doi          = {10.1007/978-1-4939-0551-5},
  isbn         = {978-1-4939-0550-8},
  timestamp    = {Tue, 19 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/books/daglib/0035474.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/GongYSH14,
  author       = {Fang Gong and
                  Hao Yu and
                  Yiyu Shi and
                  Lei He},
  title        = {Variability-Aware Parametric Yield Estimation for Analog/Mixed-Signal
                  Circuits: Concepts, Algorithms, and Challenges},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {4},
  pages        = {6--15},
  year         = {2014},
  url          = {https://doi.org/10.1109/MDAT.2014.2299279},
  doi          = {10.1109/MDAT.2014.2299279},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/GongYSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SongYD14,
  author       = {Yang Song and
                  Hao Yu and
                  Sai Manoj Pudukotai Dinakarrao},
  title        = {Reachability-Based Robustness Verification and Optimization of {SRAM}
                  Dynamic Stability Under Process Variations},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {33},
  number       = {4},
  pages        = {585--598},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCAD.2014.2304704},
  doi          = {10.1109/TCAD.2014.2304704},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/SongYD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/FeiYFRY14,
  author       = {Wei Fei and
                  Hao Yu and
                  Haipeng Fu and
                  Junyan Ren and
                  Kiat Seng Yeo},
  title        = {Design and Analysis of Wide Frequency-Tuning-Range {CMOS} 60 GHz {VCO}
                  by Switching Inductor Loaded Transformer},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {61-I},
  number       = {3},
  pages        = {699--711},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCSI.2013.2284000},
  doi          = {10.1109/TCSI.2013.2284000},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/FeiYFRY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangYZ14,
  author       = {Yuhao Wang and
                  Hao Yu and
                  Wei Zhang},
  title        = {Nonvolatile CBRAM-Crossbar-Based 3-D-Integrated Hybrid Memory for
                  Data Retention},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {22},
  number       = {5},
  pages        = {957--970},
  year         = {2014},
  url          = {https://doi.org/10.1109/TVLSI.2013.2265754},
  doi          = {10.1109/TVLSI.2013.2265754},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangYZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuWCFWZW14,
  author       = {Hao Yu and
                  Yuhao Wang and
                  Shuai Chen and
                  Wei Fei and
                  Chuliang Weng and
                  Junfeng Zhao and
                  Zhulin Wei},
  title        = {Energy efficient in-memory machine learning for data intensive image-processing
                  by non-volatile domain-wall memory},
  booktitle    = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2014, Singapore, January 20-23, 2014},
  pages        = {191--196},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASPDAC.2014.6742888},
  doi          = {10.1109/ASPDAC.2014.6742888},
  timestamp    = {Tue, 25 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuWCFWZW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SongDY14,
  author       = {Yang Song and
                  Sai Manoj Pudukotai Dinakarrao and
                  Hao Yu},
  title        = {A robustness optimization of {SRAM} dynamic stability by sensitivity-based
                  reachability analysis},
  booktitle    = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2014, Singapore, January 20-23, 2014},
  pages        = {461--466},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASPDAC.2014.6742934},
  doi          = {10.1109/ASPDAC.2014.6742934},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SongDY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/ShangYLBJ14,
  author       = {Yang Shang and
                  Hao Yu and
                  Peng Li and
                  Xiaojun Bi and
                  Minkyu Je},
  title        = {A 127-140GHz injection-locked signal source with 3.5mW peak output
                  power by zero-phase coupled oscillator network in 65nm {CMOS}},
  booktitle    = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference,
                  {CICC} 2014, San Jose, CA, USA, September 15-17, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/CICC.2014.6946099},
  doi          = {10.1109/CICC.2014.6946099},
  timestamp    = {Fri, 21 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/ShangYLBJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/ShangYYLL14,
  author       = {Yang Shang and
                  Hao Yu and
                  Chang Yang and
                  Yuan Liang and
                  Wei Meng Lim},
  title        = {A 239-281GHz Sub-THz imager with 100MHz resolution by {CMOS} direct-conversion
                  receiver with on-chip circular-polarized {SIW} antenna},
  booktitle    = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference,
                  {CICC} 2014, San Jose, CA, USA, September 15-17, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/CICC.2014.6945986},
  doi          = {10.1109/CICC.2014.6945986},
  timestamp    = {Tue, 24 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/ShangYYLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SongDY14,
  author       = {Yang Song and
                  Sai Manoj Pudukotai Dinakarrao and
                  Hao Yu},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Zonotope-based nonlinear model order reduction for fast performance
                  bound analysis of analog circuits with multiple-interval-valued parameter
                  variations},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.024},
  doi          = {10.7873/DATE.2014.024},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/SongDY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangYSK14,
  author       = {Yuhao Wang and
                  Hao Yu and
                  Dennis Sylvester and
                  Pingfan Kong},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Energy efficient in-memory {AES} encryption based on nonvolatile domain-wall
                  nanowire},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--4},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.196},
  doi          = {10.7873/DATE.2014.196},
  timestamp    = {Tue, 19 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/WangYSK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WuWDHYY14,
  author       = {Sih{-}Sian Wu and
                  Kanwen Wang and
                  Sai Manoj Pudukotai Dinakarrao and
                  Tsung{-}Yi Ho and
                  Mingbin Yu and
                  Hao Yu},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {A thermal resilient integration of many-core microprocessors and main
                  memory by 2.5D {TSI} I/Os},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--4},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.190},
  doi          = {10.7873/DATE.2014.190},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WuWDHYY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/MaYSLR14,
  author       = {Shunli Ma and
                  Hao Yu and
                  Yang Shang and
                  Wei Meng Lim and
                  Junyan Ren},
  title        = {A 131.5GHz, -84dBm sensitivity super-regenerative receiver by zero-phase-shifter
                  coupled oscillator network in 65nm {CMOS}},
  booktitle    = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice
                  Lido, Italy, September 22-26, 2014},
  pages        = {187--190},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ESSCIRC.2014.6942053},
  doi          = {10.1109/ESSCIRC.2014.6942053},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/MaYSLR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/ShangYYHJ14,
  author       = {Yang Shang and
                  Hao Yu and
                  Chang Yang and
                  Sanming Hu and
                  Minkyu Je},
  title        = {A high-sensitivity 135GHz millimeter-wave imager by differential transmission-line
                  loaded split-ring-resonator in 65nm {CMOS}},
  booktitle    = {44th European Solid State Device Research Conference, {ESSDERC} 2014,
                  Venice Lido, Italy, September 22-26, 2014},
  pages        = {166--169},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ESSDERC.2014.6948786},
  doi          = {10.1109/ESSDERC.2014.6948786},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/ShangYYHJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HantaoDXYH14,
  author       = {Hantao Huang and
                  Sai Manoj Pudukotai Dinakarrao and
                  Dongjun Xu and
                  Hao Yu and
                  Zhigang Hao},
  editor       = {Yao{-}Wen Chang},
  title        = {Reinforcement learning based self-adaptive voltage-swing adjustment
                  of 2.5D I/Os for many-core microprocessor and memory communication},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {224--229},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001356},
  doi          = {10.1109/ICCAD.2014.7001356},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HantaoDXYH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DinakarraoYGZ14,
  author       = {Sai Manoj Pudukotai Dinakarrao and
                  Hao Yu and
                  Chenjie Gu and
                  Cheng Zhuo},
  editor       = {Yao{-}Wen Chang},
  title        = {A zonotoped macromodeling for reachability verification of eye-diagram
                  in high-speed {I/O} links with jitter},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {696--701},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001428},
  doi          = {10.1109/ICCAD.2014.7001428},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/DinakarraoYGZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isicir/MaRY14,
  author       = {Shunli Ma and
                  Junyan Ren and
                  Hao Yu},
  title        = {An overview of new design techniques for high performance {CMOS} millimeter-wave
                  circuits},
  booktitle    = {2014 International Symposium on Integrated Circuits (ISIC), Singapore,
                  December 10-12, 2014},
  pages        = {292--295},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISICIR.2014.7029579},
  doi          = {10.1109/ISICIR.2014.7029579},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/isicir/MaRY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/XuDHYY14,
  author       = {Dongjun Xu and
                  Sai Manoj Pudukotai Dinakarrao and
                  Hantao Huang and
                  Ningmei Yu and
                  Hao Yu},
  editor       = {Yuan Xie and
                  Tanay Karnik and
                  Muhammad M. Khellah and
                  Renu Mehra},
  title        = {An energy-efficient 2.5D through-silicon interposer {I/O} with self-adaptive
                  adjustment of output-voltage swing},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'14,
                  La Jolla, CA, {USA} - August 11 - 13, 2014},
  pages        = {93--98},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2627369.2627614},
  doi          = {10.1145/2627369.2627614},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/XuDHYY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/HuangWGYYY14,
  author       = {Xiwei Huang and
                  Fei Wang and
                  Jing Guo and
                  Mei Yan and
                  Hao Yu and
                  Kiat Seng Yeo},
  title        = {A 64{\texttimes}64 1200fps {CMOS} ion-image sensor with suppressed
                  fixed-pattern-noise for accurate high-throughput {DNA} sequencing},
  booktitle    = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers,
                  Honolulu, HI, USA, June 10-13, 2014},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSIC.2014.6858409},
  doi          = {10.1109/VLSIC.2014.6858409},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsic/HuangWGYYY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/WuGKHY13,
  author       = {Wei Wu and
                  Fang Gong and
                  Rahul Krishnan and
                  Lei He and
                  Hao Yu},
  title        = {Exploiting Parallelism by Data Dependency Elimination: {A} Case Study
                  of Circuit Simulation Algorithms},
  journal      = {{IEEE} Des. Test},
  volume       = {30},
  number       = {1},
  pages        = {26--35},
  year         = {2013},
  url          = {https://doi.org/10.1109/MDT.2012.2226201},
  doi          = {10.1109/MDT.2012.2226201},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/WuGKHY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/ShangYF13,
  author       = {Yang Shang and
                  Hao Yu and
                  Wei Fei},
  title        = {Design and Analysis of CMOS-Based Terahertz Integrated Circuits by
                  Causal Fractional-Order {RLGC} Transmission Line Model},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {3},
  number       = {3},
  pages        = {355--366},
  year         = {2013},
  url          = {https://doi.org/10.1109/JETCAS.2013.2268948},
  doi          = {10.1109/JETCAS.2013.2268948},
  timestamp    = {Mon, 16 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/ShangYF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/Basir-KazeruniYGHLH13,
  author       = {Sina Basir{-}Kazeruni and
                  Hao Yu and
                  Fang Gong and
                  Yu Hu and
                  Chunchen Liu and
                  Lei He},
  title        = {{SPECO:} Stochastic Perturbation based Clock tree Optimization considering
                  temperature uncertainty},
  journal      = {Integr.},
  volume       = {46},
  number       = {1},
  pages        = {22--32},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.vlsi.2012.04.004},
  doi          = {10.1016/J.VLSI.2012.04.004},
  timestamp    = {Wed, 30 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/Basir-KazeruniYGHLH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/QianCY13,
  author       = {Hanhua Qian and
                  Chip{-}Hong Chang and
                  Hao Yu},
  title        = {An efficient channel clustering and flow rate allocation algorithm
                  for non-uniform microfluidic cooling of 3D integrated circuits},
  journal      = {Integr.},
  volume       = {46},
  number       = {1},
  pages        = {57--68},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.vlsi.2011.12.005},
  doi          = {10.1016/J.VLSI.2011.12.005},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/QianCY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GongBHY13,
  author       = {Fang Gong and
                  Sina Basir{-}Kazeruni and
                  Lei He and
                  Hao Yu},
  title        = {Stochastic Behavioral Modeling and Analysis for Analog/Mixed-Signal
                  Circuits},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {1},
  pages        = {24--33},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2012.2217961},
  doi          = {10.1109/TCAD.2012.2217961},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GongBHY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DinakarraoYSTL13,
  author       = {Sai Manoj Pudukotai Dinakarrao and
                  Hao Yu and
                  Yang Shang and
                  Chuan Seng Tan and
                  Sung Kyu Lim},
  title        = {Reliable 3-D Clock-Tree Synthesis Considering Nonlinear Capacitive
                  {TSV} Model With Electrical-Thermal-Mechanical Coupling},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {11},
  pages        = {1734--1747},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2013.2270285},
  doi          = {10.1109/TCAD.2013.2270285},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/DinakarraoYSTL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/CaiFRLLYY13,
  author       = {Deyun Cai and
                  Haipeng Fu and
                  Junyan Ren and
                  Wei Li and
                  Ning Li and
                  Hao Yu and
                  Kiat Seng Yeo},
  title        = {A Dividerless {PLL} With Low Power and Low Reference Spur by Aperture-Phase
                  Detector and Phase-to-Analog Converter},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {60-I},
  number       = {1},
  pages        = {37--50},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCSI.2012.2215751},
  doi          = {10.1109/TCSI.2012.2215751},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/CaiFRLLYY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/FeiYSCR13,
  author       = {Wei Fei and
                  Hao Yu and
                  Yang Shang and
                  Deyun Cai and
                  Junyan Ren},
  title        = {A 96-GHz Oscillator by High-Q Differential Transmission Line loaded
                  with Complementary Split-Ring Resonator in 65-nm {CMOS}},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {60-II},
  number       = {3},
  pages        = {127--131},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCSII.2013.2240813},
  doi          = {10.1109/TCSII.2013.2240813},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/FeiYSCR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/3dic/WangMDYWY13,
  author       = {Jiacheng Wang and
                  Shunli Ma and
                  Sai Manoj Pudukotai Dinakarrao and
                  Mingbin Yu and
                  Roshan Weerasekera and
                  Hao Yu},
  title        = {High-speed and low-power 2.5D {I/O} circuits for memory-logic-integration
                  by through-silicon interposer},
  booktitle    = {2013 {IEEE} International 3D Systems Integration Conference (3DIC),
                  San Francisco, CA, USA, October 2-4, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/3DIC.2013.6702326},
  doi          = {10.1109/3DIC.2013.6702326},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/3dic/WangMDYWY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/QianLCZY13,
  author       = {Hanhua Qian and
                  Hao Liang and
                  Chip{-}Hong Chang and
                  Wei Zhang and
                  Hao Yu},
  title        = {Thermal simulator of 3D-IC with modeling of anisotropic {TSV} conductance
                  and microchannel entrance effects},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {485--490},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509643},
  doi          = {10.1109/ASPDAC.2013.6509643},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/QianLCZY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShangZYTZL13,
  author       = {Yang Shang and
                  Chun Zhang and
                  Hao Yu and
                  Chuan Seng Tan and
                  Xin Zhao and
                  Sung Kyu Lim},
  title        = {Thermal-reliable 3D clock-tree synthesis considering nonlinear electrical-thermal-coupled
                  {TSV} model},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {693--698},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509681},
  doi          = {10.1109/ASPDAC.2013.6509681},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShangZYTZL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SongFYS13,
  author       = {Yang Song and
                  Haipeng Fu and
                  Hao Yu and
                  Guoyong Shi},
  title        = {Stable backward reachability correction for {PLL} verification with
                  consideration of environmental noise induced jitter},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {755--760},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509691},
  doi          = {10.1109/ASPDAC.2013.6509691},
  timestamp    = {Tue, 24 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SongFYS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/MaFYR13,
  author       = {Shunli Ma and
                  Wei Fei and
                  Hao Yu and
                  Junyan Ren},
  title        = {A 75.7GHz to 102GHz rotary-traveling-wave {VCO} by tunable composite
                  right /left hand T-line},
  booktitle    = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference,
                  {CICC} 2013, San Jose, CA, USA, September 22-25, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/CICC.2013.6658412},
  doi          = {10.1109/CICC.2013.6658412},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/MaFYR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DinakarraoWY13,
  author       = {Sai Manoj Pudukotai Dinakarrao and
                  Kanwen Wang and
                  Hao Yu},
  title        = {Peak power reduction and workload balancing by space-time multiplexing
                  based demand-supply matching for 3D thousand-core microprocessor},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {175:1--175:6},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488950},
  doi          = {10.1145/2463209.2488950},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DinakarraoWY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangYWZ13,
  author       = {Kanwen Wang and
                  Hao Yu and
                  Benfei Wang and
                  Chun Zhang},
  editor       = {Enrico Macii},
  title        = {3D reconfigurable power switch network for demand-supply matching
                  between multi-output power converters and many-core microprocessors},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {1643--1648},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.333},
  doi          = {10.7873/DATE.2013.333},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WangYWZ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/HuangCCYJY13,
  author       = {Xiwei Huang and
                  Jia Hao Cheong and
                  Hyouk{-}Kyu Cha and
                  Hongbin Yu and
                  Minkyu Je and
                  Hao Yu},
  title        = {A high-frequency transimpedance amplifier for {CMOS} integrated 2D
                  {CMUT} array towards 3D ultrasound imaging},
  booktitle    = {35th Annual International Conference of the {IEEE} Engineering in
                  Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7,
                  2013},
  pages        = {101--104},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/EMBC.2013.6609447},
  doi          = {10.1109/EMBC.2013.6609447},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/embc/HuangCCYJY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DinakarraoY13,
  author       = {Sai Manoj Pudukotai Dinakarrao and
                  Hao Yu},
  title        = {Cyber-physical management for heterogeneously integrated 3D thousand-core
                  on-chip microprocessor},
  booktitle    = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013),
                  Beijing, China, May 19-23, 2013},
  pages        = {533--536},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISCAS.2013.6571898},
  doi          = {10.1109/ISCAS.2013.6571898},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DinakarraoY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MesgaraniFYTYA13,
  author       = {Ali Mesgarani and
                  Haipeng Fu and
                  Mei Yan and
                  A. Tekin and
                  Hao Yu and
                  Suat U. Ay},
  title        = {A 5-bit 1.25GS/s 4.7mW delay-based pipelined {ADC} in 65nm {CMOS}},
  booktitle    = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013),
                  Beijing, China, May 19-23, 2013},
  pages        = {2018--2021},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISCAS.2013.6572267},
  doi          = {10.1109/ISCAS.2013.6572267},
  timestamp    = {Wed, 27 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MesgaraniFYTYA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangY13,
  author       = {Yuhao Wang and
                  Hao Yu},
  editor       = {Pai H. Chou and
                  Ru Huang and
                  Yuan Xie and
                  Tanay Karnik},
  title        = {An ultralow-power memory-based big-data computing platform by nonvolatile
                  domain-wall nanowire devices},
  booktitle    = {International Symposium on Low Power Electronics and Design (ISLPED),
                  Beijing, China, September 4-6, 2013},
  pages        = {329--334},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISLPED.2013.6629318},
  doi          = {10.1109/ISLPED.2013.6629318},
  timestamp    = {Tue, 19 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/SongYDS13,
  author       = {Yang Song and
                  Hao Yu and
                  Sai Manoj Pudukotai Dinakarrao and
                  Guoyong Shi},
  editor       = {Cheng{-}Kok Koh and
                  Cliff C. N. Sze},
  title        = {{SRAM} dynamic stability verification by reachability analysis with
                  consideration of threshold voltage variation},
  booktitle    = {International Symposium on Physical Design, ISPD'13, Stateline, NV,
                  USA, March 24-27, 2013},
  pages        = {43--49},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2451916.2451927},
  doi          = {10.1145/2451916.2451927},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/SongYDS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/ChouTYSCC13,
  author       = {Chung{-}Han Chou and
                  Nien{-}Yu Tsai and
                  Hao Yu and
                  Yiyu Shi and
                  Jui{-}Hung Chien and
                  Shih{-}Chieh Chang},
  title        = {On the futility of thermal through-silicon-vias},
  booktitle    = {2013 International Symposium on {VLSI} Design, Automation, and Test,
                  {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/VLDI-DAT.2013.6533886},
  doi          = {10.1109/VLDI-DAT.2013.6533886},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/ChouTYSCC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:books/daglib/0035475,
  author       = {Ruijing Shen and
                  Sheldon X.{-}D. Tan and
                  Hao Yu},
  title        = {Statistical Performance Analysis and Modeling Techniques for Nanometer
                  {VLSI} Designs},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-1-4614-0788-1},
  doi          = {10.1007/978-1-4614-0788-1},
  isbn         = {978-1-4614-0787-4},
  timestamp    = {Tue, 24 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/daglib/0035475.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/WangYT12,
  author       = {Hai Wang and
                  Hao Yu and
                  Sheldon X.{-}D. Tan},
  title        = {Fast timing analysis of clock networks considering environmental uncertainty},
  journal      = {Integr.},
  volume       = {45},
  number       = {4},
  pages        = {376--387},
  year         = {2012},
  url          = {https://doi.org/10.1016/j.vlsi.2011.03.001},
  doi          = {10.1016/J.VLSI.2011.03.001},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/WangYT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/ShangFY12,
  author       = {Yang Shang and
                  Wei Fei and
                  Hao Yu},
  title        = {Analysis and Modeling of Internal State Variables for Dynamic Effects
                  of Nonvolatile Memory Devices},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {59-I},
  number       = {9},
  pages        = {1906--1918},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCSI.2011.2180441},
  doi          = {10.1109/TCSI.2011.2180441},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/ShangFY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/GongLYTRH12,
  author       = {Fang Gong and
                  Xuexin Liu and
                  Hao Yu and
                  Sheldon X.{-}D. Tan and
                  Junyan Ren and
                  Lei He},
  title        = {A Fast Non-Monte-Carlo Yield Analysis and Optimization by Stochastic
                  Orthogonal Polynomials},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {17},
  number       = {1},
  pages        = {10:1--10:23},
  year         = {2012},
  url          = {https://doi.org/10.1145/2071356.2071366},
  doi          = {10.1145/2071356.2071366},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/GongLYTRH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/FeiYZY12,
  author       = {Wei Fei and
                  Hao Yu and
                  Wei Zhang and
                  Kiat Seng Yeo},
  title        = {Design Exploration of Hybrid {CMOS} and Memristor Circuit by New Modified
                  Nodal Analysis},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {6},
  pages        = {1012--1025},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2136443},
  doi          = {10.1109/TVLSI.2011.2136443},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/FeiYZY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GongYWH12,
  author       = {Fang Gong and
                  Hao Yu and
                  Lingli Wang and
                  Lei He},
  title        = {A Parallel and Incremental Extraction of Variational Capacitance With
                  Stochastic Geometric Moments},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {9},
  pages        = {1729--1737},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2161352},
  doi          = {10.1109/TVLSI.2011.2161352},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GongYWH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WangHYYYCA12,
  author       = {Tongxi Wang and
                  Xiwei Huang and
                  Mei Yan and
                  Hao Yu and
                  Kiat Seng Yeo and
                  Ismail Cevik and
                  Suat U. Ay},
  title        = {A 96{\texttimes}96 1V ultra-low power {CMOS} image sensor for biomedical
                  application},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012,
                  Kaohsiung, Taiwan, December 2-5, 2012},
  pages        = {13--16},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/APCCAS.2012.6418959},
  doi          = {10.1109/APCCAS.2012.6418959},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WangHYYYCA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShangFY12,
  author       = {Yang Shang and
                  Wei Fei and
                  Hao Yu},
  title        = {Fast simulation of hybrid {CMOS} and {STT-MTJ} circuits with identified
                  internal state variables},
  booktitle    = {Proceedings of the 17th Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012},
  pages        = {529--534},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ASPDAC.2012.6165009},
  doi          = {10.1109/ASPDAC.2012.6165009},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShangFY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/WangHJYYY12,
  author       = {Tongxi Wang and
                  Xiwei Huang and
                  Qixiang Jia and
                  Mei Yan and
                  Hao Yu and
                  Kiat Seng Yeo},
  title        = {A super-resolution {CMOS} image sensor for bio-microfluidic imaging},
  booktitle    = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012,
                  Hsinchu, Taiwan, November 28-30, 2012},
  pages        = {388--391},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/BioCAS.2012.6418415},
  doi          = {10.1109/BIOCAS.2012.6418415},
  timestamp    = {Thu, 23 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/biocas/WangHJYYY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhangWHY12,
  author       = {Chun Zhang and
                  Wei Wu and
                  Hantao Huang and
                  Hao Yu},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Fair energy resource allocation by minority game algorithm for smart
                  buildings},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {63--68},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176434},
  doi          = {10.1109/DATE.2012.6176434},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ZhangWHY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiuTWY12,
  author       = {Xuexin Liu and
                  Sheldon X.{-}D. Tan and
                  Hai Wang and
                  Hao Yu},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {A GPU-accelerated envelope-following method for switching power converter
                  simulation},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1349--1354},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176701},
  doi          = {10.1109/DATE.2012.6176701},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LiuTWY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ei-iss/YanHJNWS0JY12,
  author       = {Mei Yan and
                  Xiwei Huang and
                  Qixiang Jia and
                  Revanth Nadipalli and
                  Tongxi Wang and
                  Yang Shang and
                  Hao Yu and
                  Minkyu Je and
                  Kiat Seng Yeo},
  editor       = {Ralf Widenhorn and
                  Val{\'{e}}rie Nguyen and
                  Antoine Dupret},
  title        = {High-speed {CMOS} image sensor for high-throughput lensless microfluidic
                  imaging system},
  booktitle    = {Sensors, Cameras, and Systems for Industrial and Scientific Applications
                  XIII, Burlingame, California, USA, January 22-26, 2012},
  series       = {{SPIE} Proceedings},
  volume       = {8298},
  pages        = {829804},
  publisher    = {{SPIE}},
  year         = {2012},
  url          = {https://doi.org/10.1117/12.911962},
  doi          = {10.1117/12.911962},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ei-iss/YanHJNWS0JY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CuiZY12,
  author       = {Yingnan Cui and
                  Wei Zhang and
                  Hao Yu},
  title        = {Distributed thermal-aware task scheduling for 3D Network-on-Chip},
  booktitle    = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012,
                  Montreal, QC, Canada, September 30 - Oct. 3, 2012},
  pages        = {494--495},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICCD.2012.6378690},
  doi          = {10.1109/ICCD.2012.6378690},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CuiZY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiZY12,
  author       = {Yingnan Cui and
                  Wei Zhang and
                  Hao Yu},
  title        = {Decentralized agent based re-clustering for task mapping of tera-scale
                  network-on-chip system},
  booktitle    = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2012, Seoul, Korea (South), May 20-23, 2012},
  pages        = {2437--2440},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISCAS.2012.6271791},
  doi          = {10.1109/ISCAS.2012.6271791},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiZY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangZYZ12,
  author       = {Yuhao Wang and
                  Chun Zhang and
                  Hao Yu and
                  Wei Zhang},
  editor       = {Naresh R. Shanbhag and
                  Massimo Poncino and
                  Pai H. Chou and
                  Ajith Amerasekera},
  title        = {Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar
                  with block-level data-retention},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'12,
                  Redondo Beach, CA, {USA} - July 30 - August 01, 2012},
  pages        = {197--202},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2333660.2333709},
  doi          = {10.1145/2333660.2333709},
  timestamp    = {Tue, 19 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangZYZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/WangY12,
  author       = {Yuhao Wang and
                  Hao Yu},
  editor       = {Csaba Andras Moritz},
  title        = {Design exploration of ultra-low power non-volatile memory based on
                  topological insulator},
  booktitle    = {Proceedings of the 2012 {IEEE/ACM} International Symposium on Nanoscale
                  Architectures, {NANOARCH} 2012, Amsterdam, The Netherlands, July 4-6,
                  2012},
  pages        = {30--35},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2765491.2765498},
  doi          = {10.1145/2765491.2765498},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nanoarch/WangY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/QianHYC11,
  author       = {Hanhua Qian and
                  Xiwei Huang and
                  Hao Yu and
                  Chip{-}Hong Chang},
  title        = {Cyber-Physical Thermal Management of 3D Multi-Core Cache-Processor
                  System with Microfluidic Cooling},
  journal      = {J. Low Power Electron.},
  volume       = {7},
  number       = {1},
  pages        = {110--121},
  year         = {2011},
  url          = {https://doi.org/10.1166/jolpe.2011.1121},
  doi          = {10.1166/JOLPE.2011.1121},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/QianHYC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/ChenWWYY11,
  author       = {Xiaoming Chen and
                  Wei Wu and
                  Yu Wang and
                  Hao Yu and
                  Huazhong Yang},
  title        = {An EScheduler-Based Data Dependence Analysis and Task Scheduling for
                  Parallel Circuit Simulation},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {58-II},
  number       = {10},
  pages        = {702--706},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCSII.2011.2164148},
  doi          = {10.1109/TCSII.2011.2164148},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/ChenWWYY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/3dic/NadipalliFLWYT11,
  author       = {Revanth Nadipalli and
                  Ji Fan and
                  Holden King Ho Li and
                  Keng Hoong Wee and
                  Hao Yu and
                  Chuan Seng Tan},
  editor       = {Mitsumasa Koyanagi and
                  Morihiro Kada},
  title        = {3D integration of {MEMS} and {CMOS} via Cu-Cu bonding with simultaneous
                  formation of electrical, mechanical and hermetic bonds},
  booktitle    = {2011 {IEEE} International 3D Systems Integration Conference (3DIC),
                  Osaka, Japan, January 31 - February 2, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/3DIC.2012.6262956},
  doi          = {10.1109/3DIC.2012.6262956},
  timestamp    = {Tue, 19 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/3dic/NadipalliFLWYT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/3dic/WangZNYW11,
  author       = {Yuhao Wang and
                  Chun Zhang and
                  Revanth Nadipalli and
                  Hao Yu and
                  Roshan Weerasekera},
  editor       = {Mitsumasa Koyanagi and
                  Morihiro Kada},
  title        = {Design exploration of 3D stacked non-volatile memory by conductive
                  bridge based crossbar},
  booktitle    = {2011 {IEEE} International 3D Systems Integration Conference (3DIC),
                  Osaka, Japan, January 31 - February 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/3DIC.2012.6263047},
  doi          = {10.1109/3DIC.2012.6263047},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/3dic/WangZNYW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiuYRT11,
  author       = {Xuexin Liu and
                  Hao Yu and
                  Jacob Relles and
                  Sheldon X.{-}D. Tan},
  title        = {A structured parallel periodic Arnoldi shooting algorithm for {RF-PSS}
                  analysis based on {GPU} platforms},
  booktitle    = {Proceedings of the 16th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011},
  pages        = {13--18},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASPDAC.2011.5722172},
  doi          = {10.1109/ASPDAC.2011.5722172},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiuYRT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/CaiFR0LYY11,
  author       = {Deyun Cai and
                  Haipeng Fu and
                  Junyan Ren and
                  Wei Li and
                  Ning Li and
                  Hao Yu and
                  Kiat Seng Yeo},
  title        = {A 2.1-GHz {PLL} with -80dBc/-74dBc reference spur based on aperture-phase
                  detector and phase-to-analog converter},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju,
                  South Korea, November 14-16, 2011},
  pages        = {141--144},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASSCC.2011.6123622},
  doi          = {10.1109/ASSCC.2011.6123622},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/CaiFR0LYY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GongYH11,
  author       = {Fang Gong and
                  Hao Yu and
                  Lei He},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {Fast non-monte-carlo transient noise analysis for high-precision analog/RF
                  circuits by stochastic orthogonal polynomials},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {298--303},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024797},
  doi          = {10.1145/2024724.2024797},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GongYH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChouTYLSC11,
  author       = {Chung{-}Han Chou and
                  Nien{-}Yu Tsai and
                  Hao Yu and
                  Che{-}Rung Lee and
                  Yiyu Shi and
                  Shih{-}Chieh Chang},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {On the preconditioner of conjugate gradient method - {A} power grid
                  simulation perspective},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {494--497},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105374},
  doi          = {10.1109/ICCAD.2011.6105374},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChouTYLSC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/GongYH11,
  author       = {Fang Gong and
                  Hao Yu and
                  Lei He},
  editor       = {Yao{-}Wen Chang and
                  Jiang Hu},
  title        = {Stochastic analog circuit behavior modeling by point estimation method},
  booktitle    = {Proceedings of the 2011 International Symposium on Physical Design,
                  {ISPD} 2011, Santa Barbara, California, USA, March 27-30, 2011},
  pages        = {175--182},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1960397.1960437},
  doi          = {10.1145/1960397.1960437},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/GongYH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/HuangYZ11,
  author       = {Xiwei Huang and
                  Hao Yu and
                  Wei Zhang},
  title        = {{NEMS} based thermal management for 3D many-core system},
  booktitle    = {Proceedings of the 2011 {IEEE/ACM} International Symposium on Nanoscale
                  Architectures, {NANOARCH} 2011, San Diego, CA, USA, June 8-9, 2011},
  pages        = {218--223},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/NANOARCH.2011.5941507},
  doi          = {10.1109/NANOARCH.2011.5941507},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/HuangYZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YuCSSHT10,
  author       = {Hao Yu and
                  Chunta Chu and
                  Yiyu Shi and
                  David Smart and
                  Lei He and
                  Sheldon X.{-}D. Tan},
  title        = {Fast Analysis of a Large-Scale Inductive Interconnect by Block-Structure-Preserved
                  Macromodeling},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {18},
  number       = {10},
  pages        = {1399--1411},
  year         = {2010},
  url          = {https://doi.org/10.1109/TVLSI.2009.2024343},
  doi          = {10.1109/TVLSI.2009.2024343},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YuCSSHT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/3dic/QianHYC10,
  author       = {Hanhua Qian and
                  Xiwei Huang and
                  Hao Yu and
                  Chip{-}Hong Chang},
  title        = {Real-time thermal management of 3D multi-core system with fine-grained
                  cooling control},
  booktitle    = {{IEEE} International Conference on 3D System Integration, 3DIC 2010,
                  Munich, Germany, 16-18 November 2010},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/3DIC.2010.5751460},
  doi          = {10.1109/3DIC.2010.5751460},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/3dic/QianHYC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuLWT10,
  author       = {Hao Yu and
                  Xuexin Liu and
                  Hai Wang and
                  Sheldon X.{-}D. Tan},
  title        = {A fast analog mismatch analysis by an incremental and stochastic trajectory
                  piecewise linear macromodel},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {211--216},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419894},
  doi          = {10.1109/ASPDAC.2010.5419894},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuLWT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GongYSKRH10,
  author       = {Fang Gong and
                  Hao Yu and
                  Yiyu Shi and
                  Daesoo Kim and
                  Junyan Ren and
                  Lei He},
  editor       = {Sachin S. Sapatnekar},
  title        = {QuickYield: an efficient global-search based parametric yield estimation
                  with performance constraints},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {392--397},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837372},
  doi          = {10.1145/1837274.1837372},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GongYSKRH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuYT10,
  author       = {Xuexin Liu and
                  Hao Yu and
                  Sheldon X.{-}D. Tan},
  editor       = {Sachin S. Sapatnekar},
  title        = {A robust periodic arnoldi shooting algorithm for efficient analysis
                  of large-scale {RF/MM} ICs},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {573--578},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837415},
  doi          = {10.1145/1837274.1837415},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiuYT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuF10,
  author       = {Hao Yu and
                  Wei Fei},
  title        = {A new modified nodal analysis for nano-scale memristor circuit simulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {3148--3151},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537950},
  doi          = {10.1109/ISCAS.2010.5537950},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/YuHC09,
  author       = {Hao Yu and
                  Lei He and
                  Mau{-}Chung Frank Chang},
  title        = {Robust On-Chip Signaling by Staggered and Twisted Bundle},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {26},
  number       = {5},
  pages        = {92--104},
  year         = {2009},
  url          = {https://doi.org/10.1109/MDT.2009.121},
  doi          = {10.1109/MDT.2009.121},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/YuHC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/YuHH09,
  author       = {Hao Yu and
                  Joanna Ho and
                  Lei He},
  title        = {Allocating power ground vias in 3D ICs for simultaneous power and
                  thermal integrity},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {14},
  number       = {3},
  pages        = {41:1--41:31},
  year         = {2009},
  url          = {https://doi.org/10.1145/1529255.1529263},
  doi          = {10.1145/1529255.1529263},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/YuHH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangYT09,
  author       = {Hai Wang and
                  Hao Yu and
                  Sheldon X.{-}D. Tan},
  editor       = {Kazutoshi Wakabayashi},
  title        = {Fast analysis of nontree-clock network considering environmental uncertainty
                  by parameterized and incremental macromodeling},
  booktitle    = {Proceedings of the 14th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009},
  pages        = {379--384},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ASPDAC.2009.4796510},
  doi          = {10.1109/ASPDAC.2009.4796510},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangYT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GongYH09,
  author       = {Fang Gong and
                  Hao Yu and
                  Lei He},
  title        = {PiCAP: a parallel and incremental capacitance extraction considering
                  stochastic process variation},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {764--769},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1630109},
  doi          = {10.1145/1629911.1630109},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GongYH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YuSHK08,
  author       = {Hao Yu and
                  Yiyu Shi and
                  Lei He and
                  Tanay Karnik},
  title        = {Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially
                  Variant Thermal Power},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {16},
  number       = {12},
  pages        = {1609--1619},
  year         = {2008},
  url          = {https://doi.org/10.1109/TVLSI.2008.2001297},
  doi          = {10.1109/TVLSI.2008.2001297},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YuSHK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ShiMYH07,
  author       = {Yiyu Shi and
                  Paul Mesa and
                  Hao Yu and
                  Lei He},
  title        = {Circuit-simulated obstacle-aware Steiner routing},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {12},
  number       = {3},
  pages        = {28:1--28:18},
  year         = {2007},
  url          = {https://doi.org/10.1145/1255456.1255465},
  doi          = {10.1145/1255456.1255465},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/ShiMYH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuCH07,
  author       = {Hao Yu and
                  Chunta Chu and
                  Lei He},
  title        = {Off-chip Decoupling Capacitor Allocation for Chip Package Co-Design},
  booktitle    = {Proceedings of the 44th Design Automation Conference, {DAC} 2007,
                  San Diego, CA, USA, June 4-8, 2007},
  pages        = {618--621},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278480.1278635},
  doi          = {10.1145/1278480.1278635},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuCH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/YuHLH07,
  author       = {Hao Yu and
                  Yu Hu and
                  Chunchen Liu and
                  Lei He},
  editor       = {Patrick H. Madden and
                  David Z. Pan},
  title        = {Minimal skew clock embedding considering time variant temperature
                  gradient},
  booktitle    = {Proceedings of the 2007 International Symposium on Physical Design,
                  {ISPD} 2007, Austin, Texas, USA, March 18-21, 2007},
  pages        = {173--180},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1231996.1232036},
  doi          = {10.1145/1231996.1232036},
  timestamp    = {Wed, 30 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/YuHLH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MiYTFY07,
  author       = {Ning Mi and
                  Boyuan Yan and
                  Sheldon X.{-}D. Tan and
                  Jeffrey Fan and
                  Hao Yu},
  title        = {General Block Structure-Preserving Reduced Order Modeling of Linear
                  Dynamic Circuits},
  booktitle    = {8th International Symposium on Quality of Electronic Design {(ISQED}
                  2007), 26-28 March 2007, San Jose, CA, {USA}},
  pages        = {633--638},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISQED.2007.85},
  doi          = {10.1109/ISQED.2007.85},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MiYTFY07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/QiYLTH06,
  author       = {Zhenyu Qi and
                  Hao Yu and
                  Pu Liu and
                  Sheldon X.{-}D. Tan and
                  Lei He},
  title        = {Wideband passive multiport model order reduction and realization of
                  {RLCM} circuits},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {8},
  pages        = {1496--1509},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2005.855937},
  doi          = {10.1109/TCAD.2005.855937},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/QiYLTH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuSH06,
  author       = {Hao Yu and
                  Yiyu Shi and
                  Lei He},
  editor       = {Ellen Sentovich},
  title        = {Fast analysis of structured power grid by triangularization based
                  structure preserving model order reduction},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {205--210},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1146965},
  doi          = {10.1145/1146909.1146965},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuSH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShiMYH06,
  author       = {Yiyu Shi and
                  Paul Mesa and
                  Hao Yu and
                  Lei He},
  editor       = {Ellen Sentovich},
  title        = {Circuit simulation based obstacle-aware Steiner routing},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {385--388},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147011},
  doi          = {10.1145/1146909.1147011},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShiMYH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuSHS06,
  author       = {Hao Yu and
                  Yiyu Shi and
                  Lei He and
                  David Smart},
  editor       = {Soha Hassoun},
  title        = {A fast block structure preserving model order reduction for inverse
                  inductance circuits},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {7--12},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233504},
  doi          = {10.1145/1233501.1233504},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuSHS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuHH06,
  author       = {Hao Yu and
                  Joanna Ho and
                  Lei He},
  editor       = {Soha Hassoun},
  title        = {Simultaneous power and thermal integrity driven via stapling in 3D
                  ICs},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {802--808},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233666},
  doi          = {10.1145/1233501.1233666},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuHH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YuSHK06,
  author       = {Hao Yu and
                  Yiyu Shi and
                  Lei He and
                  Tanay Karnik},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Thermal via allocation for 3D ICs considering temporally and spatially
                  variant thermal power},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {156--161},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165611},
  doi          = {10.1145/1165573.1165611},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YuSHK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ShiYH06,
  author       = {Yiyu Shi and
                  Hao Yu and
                  Lei He},
  editor       = {Louis Scheffer},
  title        = {{SAMSON:} a generalized second-order arnoldi method for reducing multiple
                  source linear network with susceptance},
  booktitle    = {Proceedings of the 2006 International Symposium on Physical Design,
                  {ISPD} 2006, San Jose, California, USA, April 9-12, 2006},
  pages        = {25--32},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1123008.1123014},
  doi          = {10.1145/1123008.1123014},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ShiYH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YuH05,
  author       = {Hao Yu and
                  Lei He},
  title        = {A provably passive and cost-efficient model for inductive interconnects},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {24},
  number       = {8},
  pages        = {1283--1294},
  year         = {2005},
  url          = {https://doi.org/10.1109/TCAD.2005.850820},
  doi          = {10.1109/TCAD.2005.850820},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YuH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuHQT05,
  author       = {Hao Yu and
                  Lei He and
                  Zhenyu Qi and
                  Sheldon X.{-}D. Tan},
  editor       = {Tingao Tang},
  title        = {A wideband hierarchical circuit reduction for massively coupled interconnects},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {111--114},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120758},
  doi          = {10.1145/1120725.1120758},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuHQT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/QiTYH05,
  author       = {Zhenyu Qi and
                  Sheldon X.{-}D. Tan and
                  Hao Yu and
                  Lei He},
  editor       = {Tingao Tang},
  title        = {Wideband modeling of RF/Analog circuits via hierarchical multi-point
                  model order reduction},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {224--229},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120811},
  doi          = {10.1145/1120725.1120811},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/QiTYH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuH05,
  author       = {Hao Yu and
                  Lei He},
  title        = {A sparsified vector potential equivalent circuit model for massively
                  coupled interconnects},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {105--108},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1464535},
  doi          = {10.1109/ISCAS.2005.1464535},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YuH05,
  author       = {Hao Yu and
                  Lei He},
  title        = {Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction},
  booktitle    = {6th International Symposium on Quality of Electronic Design {(ISQED}
                  2005), 21-23 March 2005, San Jose, CA, {USA}},
  pages        = {682--687},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISQED.2005.112},
  doi          = {10.1109/ISQED.2005.112},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YuH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuH03,
  author       = {Hao Yu and
                  Lei He},
  title        = {Vector potential equivalent circuit based on {PEEC} inversion},
  booktitle    = {Proceedings of the 40th Design Automation Conference, {DAC} 2003,
                  Anaheim, CA, USA, June 2-6, 2003},
  pages        = {718--723},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/775832.776016},
  doi          = {10.1145/775832.776016},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics