"AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors."

Dmitry Ponomarev, Gurhan Kucuk, Kanad Ghose (2002)

Details and statistics

DOI: 10.1109/DATE.2002.998259

access: closed

type: Conference or Workshop Paper

metadata version: 2023-03-24

a service of  Schloss Dagstuhl - Leibniz Center for Informatics