"Row-buffer decoupling: A case for low-latency DRAM microarchitecture."

Seongil O et al. (2014)

Details and statistics

DOI: 10.1109/ISCA.2014.6853230

access: closed

type: Conference or Workshop Paper

metadata version: 2023-03-24

a service of  Schloss Dagstuhl - Leibniz Center for Informatics