:facetid:toc:\"db/conf/islped/islped2014.bht\"OK:facetid:toc:db/conf/islped/islped2014.bhtBorislav AlexandrovKhondker Z. AhmedSaibal MukhopadhyayAn on-chip autonomous thermoelectric energy management system for energy-efficient active cooling.ISLPED51-562014Conference and Workshop Papersclosedconf/islped/AlexandrovAM1410.1145/2627369.2627634https://doi.org/10.1145/2627369.2627634https://dblp.org/rec/conf/islped/AlexandrovAM14URL#3904962Karim ArabiLow power design techniques in mobile processes.ISLPED1-22014Conference and Workshop Papersclosedconf/islped/Arabi1410.1145/2627369.2631634https://doi.org/10.1145/2627369.2631634https://dblp.org/rec/conf/islped/Arabi14URL#3904963Reza AzimiXin ZhanSherief RedaThermal-aware layout planning for heterogeneous datacenters.ISLPED245-2502014Conference and Workshop Papersclosedconf/islped/AzimiZR1410.1145/2627369.2627639https://doi.org/10.1145/2627369.2627639https://dblp.org/rec/conf/islped/AzimiZR14URL#3904964Majed Valad BeigiGokhan MemikMIN: a power efficient mechanism to mitigate the impact of process variations on nanophotonic networks.ISLPED299-3022014Conference and Workshop Papersclosedconf/islped/BeigiM1410.1145/2627369.2627660https://doi.org/10.1145/2627369.2627660https://dblp.org/rec/conf/islped/BeigiM14URL#3904965Peter BeshayVikas ChandraRob AitkenBenton H. CalhounA digital dynamic write margin sensor for low power read/write operations in 28nm SRAM.ISLPED307-3102014Conference and Workshop Papersclosedconf/islped/BeshayCAC1410.1145/2627369.2627662https://doi.org/10.1145/2627369.2627662https://dblp.org/rec/conf/islped/BeshayCAC14URL#3904966Daniele BortolottiHossein MamaghanianAndrea BartoliniMaryam AshoueiJan StuijtDavid AtienzaPierre VandergheynstLuca BeniniApproximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor.ISLPED45-502014Conference and Workshop Papersclosedconf/islped/BortolottiMBASAVB1410.1145/2627369.2627629https://doi.org/10.1145/2627369.2627629https://dblp.org/rec/conf/islped/BortolottiMBASAVB14URL#3904967Sou-Chi ChangAhmet CeyhanVachan KumarAzad NaeemiPerformance modeling for emerging interconnect technologies in CMOS and beyond-CMOS circuits.ISLPED63-682014Conference and Workshop Papersclosedconf/islped/ChangCKN1410.1145/2627369.2631638https://doi.org/10.1145/2627369.2631638https://dblp.org/rec/conf/islped/ChangCKN14URL#3904968Yin-Nien ChenMing-Long FanVita Pi-Ho HuPin SuChing-Te ChuangUltra-low voltage mixed TFET-MOSFET 8T SRAM cell.ISLPED255-2582014Conference and Workshop Papersclosedconf/islped/ChenFHSC1410.1145/2627369.2627649https://doi.org/10.1145/2627369.2627649https://dblp.org/rec/conf/islped/ChenFHSC14URL#3904969Hsiang-Yun ChengMatthew PorembaNarges ShahidiIvan StalevMary Jane IrwinMahmut T. KandemirJack SampsonYuan Xie 0001EECache: exploiting design choices in energy-efficient last-level caches for chip multiprocessors.ISLPED303-3062014Conference and Workshop Papersclosedconf/islped/ChengPSSIKSX1410.1145/2627369.2627661https://doi.org/10.1145/2627369.2627661https://dblp.org/rec/conf/islped/ChengPSSIKSX14URL#3904970Ping ChiWang-Chien LeeYuan Xie 0001Making B+-tree efficient in PCM-based main memory.ISLPED69-742014Conference and Workshop Papersclosedconf/islped/ChiLX1410.1145/2627369.2627630https://doi.org/10.1145/2627369.2627630https://dblp.org/rec/conf/islped/ChiLX14URL#3904971Vinay K. ChippaSwagath VenkataramaniKaushik Roy 0001Anand RaghunathanStoRM: a stochastic recognition and mining processor.ISLPED39-442014Conference and Workshop Papersclosedconf/islped/ChippaVRR1410.1145/2627369.2627645https://doi.org/10.1145/2627369.2627645https://dblp.org/rec/conf/islped/ChippaVRR14URL#3904972Kyungsang ChoYongjun LeeYoung H. OhGyoo-Cheol HwangJae W. LeeeDRAM-based tiered-reliability memory with applications to low-power frame buffers.ISLPED333-3382014Conference and Workshop Papersclosedconf/islped/ChoLOHL1410.1145/2627369.2627626https://doi.org/10.1145/2627369.2627626https://dblp.org/rec/conf/islped/ChoLOHL14URL#3904973Pilsoon ChoiJason H. Gao 0001Nadesh RamanathanMengda MaoShipeng XuChirn Chye BoonSuhaib A. FahmyLi-Shiuan PehA case for leveraging 802.11p for direct phone-to-phone communications.ISLPED207-2122014Conference and Workshop Papersclosedconf/islped/ChoiGRMXBFP1410.1145/2627369.2627644https://doi.org/10.1145/2627369.2627644https://dblp.org/rec/conf/islped/ChoiGRMXBFP14URL#3904974Jason CongAccelerator-rich architectures: from single-chip to datacenters.ISLPED139-1402014Conference and Workshop Papersclosedconf/islped/Cong1410.1145/2627369.2631636https://doi.org/10.1145/2627369.2631636https://dblp.org/rec/conf/islped/Cong14URL#3904975Trang Le Dinh DangIk Joon ChangJinsang Kima-SAD: power efficient SAD calculator for real time H.264 video encoder using MSB-approximation technique.ISLPED259-2622014Conference and Workshop Papersclosedconf/islped/DangCK1410.1145/2627369.2627650https://doi.org/10.1145/2627369.2627650https://dblp.org/rec/conf/islped/DangCK14URL#3904976Yigit DemirNikos HardavellasEcoLaser: an adaptive laser control for energy-efficient on-chip photonic interconnects.ISLPED3-82014Conference and Workshop Papersclosedconf/islped/DemirH1410.1145/2627369.2627620https://doi.org/10.1145/2627369.2627620https://dblp.org/rec/conf/islped/DemirH14URL#3904977Nachiket V. DesaiYogesh K. RamadassAnantha P. ChandrakasanA bipolar ±40 MV self-starting boost converter with transformer reuse for thermoelectric energy harvesting.ISLPED221-2262014Conference and Workshop Papersclosedconf/islped/DesaiRC1410.1145/2627369.2627609https://doi.org/10.1145/2627369.2627609https://dblp.org/rec/conf/islped/DesaiRC14URL#3904978Reef EilersMalte MetzdorfDomenik HelmsWolfgang NebelEfficient NBTI modeling technique considering recovery effects.ISLPED177-1822014Conference and Workshop Papersclosedconf/islped/EilersMHN1410.1145/2627369.2627618https://doi.org/10.1145/2627369.2627618https://dblp.org/rec/conf/islped/EilersMHN14URL#3904979Amr FahimChallenges in low-power analog circuit design for sub-28nm CMOS technologies.ISLPED123-1262014Conference and Workshop Papersclosedconf/islped/Fahim1410.1145/2627369.2631639https://doi.org/10.1145/2627369.2631639https://dblp.org/rec/conf/islped/Fahim14URL#3904980Philippe FlatresseProcess and design solutions for exploiting FD-SOI technology towards energy efficient SOCs.ISLPED127-1302014Conference and Workshop Papersclosedconf/islped/Flatresse1410.1145/2627369.2631640https://doi.org/10.1145/2627369.2631640https://dblp.org/rec/conf/islped/Flatresse14URL#3904981Francesco FraternaliAndrea BartoliniCarlo CavazzoniGiampietro TecchiolliLuca BeniniQuantifying the impact of variability on the energy efficiency for a next-generation ultra-green supercomputer.ISLPED295-2982014Conference and Workshop Papersclosedconf/islped/FraternaliBCTB1410.1145/2627369.2627659https://doi.org/10.1145/2627369.2627659https://dblp.org/rec/conf/islped/FraternaliBCTB14URL#3904982Chenchen FuMengying ZhaoChun Jason XueAlex OrailogluSleep-aware variable partitioning for energy-efficient hybrid PRAM and DRAM main memory.ISLPED75-802014Conference and Workshop Papersclosedconf/islped/FuZXO1410.1145/2627369.2627616https://doi.org/10.1145/2627369.2627616https://dblp.org/rec/conf/islped/FuZXO14URL#3904983Hao HeGongming YangJiang HuAlgorithms for power-efficient QoS in application specific NoCs.ISLPED165-1702014Conference and Workshop Papersclosedconf/islped/HeYH1410.1145/2627369.2627607https://doi.org/10.1145/2627369.2627607https://dblp.org/rec/conf/islped/HeYH14URL#3904984Yongbing HuangMingyu Chen 0001Lixin Zhang 0002Shihai XiaoJunfeng Zhao 0003Zhulin WeiIntelligent frame refresh for energy-aware display subsystems in mobile devices.ISLPED369-3742014Conference and Workshop Papersclosedconf/islped/HuangC0XZW1410.1145/2627369.2627612https://doi.org/10.1145/2627369.2627612https://dblp.org/rec/conf/islped/HuangC0XZW14URL#3904985Hans M. JacobsonArun JosephDharmesh ParikhPradip BoseAlper BuyuktosunogluEmpirically derived abstractions in uncore power modeling for a server-class processor chip.ISLPED147-1522014Conference and Workshop Papersclosedconf/islped/JacobsonJPBB1410.1145/2627369.2627619https://doi.org/10.1145/2627369.2627619https://dblp.org/rec/conf/islped/JacobsonJPBB14URL#3904986Hrishikesh JayakumarKangwoo LeeWoo Suk LeeArnab RahaYounghyun Kim 0001Vijay RaghunathanPowering the internet of things.ISLPED375-3802014Conference and Workshop Papersclosedconf/islped/JayakumarLLRKR1410.1145/2627369.2631644https://doi.org/10.1145/2627369.2631644https://dblp.org/rec/conf/islped/JayakumarLLRKR14URL#3904987Monodeep KarSergio CarloHarish Kumar KrishnamurthySaibal MukhopadhyayImpact of process variation in inductive integrated voltage regulator on delay and power of digital circuits.ISLPED227-2322014Conference and Workshop Papersclosedconf/islped/KarCKM1410.1145/2627369.2627637https://doi.org/10.1145/2627369.2627637https://dblp.org/rec/conf/islped/KarCKM14URL#3904988Hoda Aghaei KhouzaniYuan XueChengmo YangArchana PandurangiProlonging PCM lifetime through energy-efficient, segment-aware, and wear-resistant page allocation.ISLPED327-3302014Conference and Workshop Papersclosedconf/islped/KhouzaniXYP1410.1145/2627369.2627667https://doi.org/10.1145/2627369.2627667https://dblp.org/rec/conf/islped/KhouzaniXYP14URL#3904989Sehwan KimMinseok LeePai H. ChouEnergy harvesting from anti-corrosion power sources.ISLPED363-3682014Conference and Workshop Papersclosedconf/islped/KimLC1410.1145/2627369.2627624https://doi.org/10.1145/2627369.2627624https://dblp.org/rec/conf/islped/KimLC14URL#3904990Jaemin KimAlma PröbstlSamarjit ChakrabortyNaehyuck ChangAging mitigation of power supply-connected batteries.ISLPED233-2382014Conference and Workshop Papersclosedconf/islped/KimPCC1410.1145/2627369.2627622https://doi.org/10.1145/2627369.2627622https://dblp.org/rec/conf/islped/KimPCC14URL#3904991Seongjong KimMingoo SeokReconfigurable regenerator-based interconnect design for ultra-dynamic-voltage-scaling systems.ISLPED99-1042014Conference and Workshop Papersclosedconf/islped/KimS1410.1145/2627369.2627632https://doi.org/10.1145/2627369.2627632https://dblp.org/rec/conf/islped/KimS14URL#3904992Seongjong KimMingoo SeokAnalysis and optimization of in-situ error detection techniques in ultra-low-voltage pipeline.ISLPED291-2942014Conference and Workshop Papersclosedconf/islped/KimS14a10.1145/2627369.2627658https://doi.org/10.1145/2627369.2627658https://dblp.org/rec/conf/islped/KimS14aURL#3904993Jaemin KimYanzhi WangMassoud PedramNaehyuck ChangFast photovoltaic array reconfiguration for partial solar powered vehicles.ISLPED357-3622014Conference and Workshop Papersclosedconf/islped/KimWPC1410.1145/2627369.2627623https://doi.org/10.1145/2627369.2627623https://dblp.org/rec/conf/islped/KimWPC14URL#3904994Rick KosterSushma Honnavara PrasadShreedhar RamachandraFailing to fail: achieving success in advanced low power design using UPF.ISLPED137-1382014Conference and Workshop Papersclosedconf/islped/KosterPR1410.1145/2627369.2631637https://doi.org/10.1145/2627369.2631637https://dblp.org/rec/conf/islped/KosterPR14URL#3904995Vivek Joy KozhikkottuSwagath VenkataramaniSujit DeyAnand RaghunathanVariation tolerant design of a vector processor for recognition, mining and synthesis.ISLPED239-2442014Conference and Workshop Papersclosedconf/islped/KozhikkottuVDR1410.1145/2627369.2627636https://doi.org/10.1145/2627369.2627636https://dblp.org/rec/conf/islped/KozhikkottuVDR14URL#3904996Kangho LeeJimmy J. KanSeung H. KangUnified embedded non-volatile memory for emerging mobile markets.ISLPED131-1362014Conference and Workshop Papersclosedconf/islped/LeeKK1410.1145/2627369.2631641https://doi.org/10.1145/2627369.2631641https://dblp.org/rec/conf/islped/LeeKK14URL#3904997Wonjun LeeChannoh KimHoup SongJae W. LeeQPR.js: a runtime framework for QoS-aware power optimization for parallel JavaScript programs.ISLPED251-2542014Conference and Workshop Papersclosedconf/islped/LeeKSL1410.1145/2627369.2627648https://doi.org/10.1145/2627369.2627648https://dblp.org/rec/conf/islped/LeeKSL14URL#3904998Woojoo LeeYanzhi WangTiansong CuiShahin NazarianMassoud PedramDynamic thermal management for FinFET-based circuits exploiting the temperature effect inversion phenomenon.ISLPED105-1102014Conference and Workshop Papersclosedconf/islped/LeeWCNP1410.1145/2627369.2627608https://doi.org/10.1145/2627369.2627608https://dblp.org/rec/conf/islped/LeeWCNP14URL#3904999Jingwen LengYazhou ZuMinsoo RhuMeeta Sharma GuptaVijay Janapa ReddiGPUVolt: modeling and characterizing voltage noise in GPU architectures.ISLPED141-1462014Conference and Workshop Papersclosedconf/islped/LengZRGR1410.1145/2627369.2627605https://doi.org/10.1145/2627369.2627605https://dblp.org/rec/conf/islped/LengZRGR14URL#3905000Chung-Wei LinTzu-Hsuan HsuXin-Wei ShihYao-Wen ChangBuffered clock tree synthesis considering self-heating effects.ISLPED111-1162014Conference and Workshop Papersclosedconf/islped/LinHSC1410.1145/2627369.2627640https://doi.org/10.1145/2627369.2627640https://dblp.org/rec/conf/islped/LinHSC14URL#3905001Huichu LiuMahsa ShoaranXueqing LiSuman DattaAlexandre SchmidVijaykrishnan NarayananTunnel FET-based ultra-low power, low-noise amplifier design for bio-signal acquisition.ISLPED57-622014Conference and Workshop Papersclosedconf/islped/LiuSLDSN1410.1145/2627369.2627631https://doi.org/10.1145/2627369.2627631https://dblp.org/rec/conf/islped/LiuSLDSN14URL#3905002Gai LiuYe TaoMingxing TanZhiru ZhangCASA: correlation-aware speculative adders.ISLPED189-1942014Conference and Workshop Papersclosedconf/islped/LiuTTZ1410.1145/2627369.2627635https://doi.org/10.1145/2627369.2627635https://dblp.org/rec/conf/islped/LiuTTZ14URL#3905003Tiantao LuAnkur Srivastava 0001Gated low-power clock tree synthesis for 3D-ICs.ISLPED319-3222014Conference and Workshop Papersclosedconf/islped/LuS1410.1145/2627369.2627665https://doi.org/10.1145/2627369.2627665https://dblp.org/rec/conf/islped/LuS14URL#3905004Oscar E. MattiaHamilton KlimachSergio Bampi2.3 ppm/°c 40 nW MOSFET-only voltage reference.ISLPED215-2202014Conference and Workshop Papersclosedconf/islped/MattiaKB1410.1145/2627369.2627621https://doi.org/10.1145/2627369.2627621https://dblp.org/rec/conf/islped/MattiaKB14URL#3905005Seyedhamidreza MotamanAnirudh IyengarSwaroop GhoshSynergistic circuit and system design for energy-efficient and robust domain wall caches.ISLPED195-2002014Conference and Workshop Papersclosedconf/islped/MotamanIG1410.1145/2627369.2627643https://doi.org/10.1145/2627369.2627643https://dblp.org/rec/conf/islped/MotamanIG14URL#3905006Ihab NahlusEric P. KimNaresh R. ShanbhagDavid T. BlaauwEnergy-efficient dot product computation using a switched analog circuit architecture.ISLPED315-3182014Conference and Workshop Papersclosedconf/islped/NahlusKSB1410.1145/2627369.2627664https://doi.org/10.1145/2627369.2627664https://dblp.org/rec/conf/islped/NahlusKSB14URL#3905007Daniel Palomino 0001Muhammad Shafique 0001Altamiro Amadeu SusinJörg HenkelTONE: adaptive temperature optimization for the next generation video encoders.ISLPED33-382014Conference and Workshop Papersclosedconf/islped/PalominoSSH1410.1145/2627369.2627628https://doi.org/10.1145/2627369.2627628https://dblp.org/rec/conf/islped/PalominoSSH14URL#3905008Shreepad A. PanthKambiz SamadiYang Du 0001Sung Kyu LimDesign and CAD methodologies for low power gate-level monolithic 3D ICs.ISLPED171-1762014Conference and Workshop Papersclosedconf/islped/PanthSDL1410.1145/2627369.2627642https://doi.org/10.1145/2627369.2627642https://dblp.org/rec/conf/islped/PanthSDL14URL#3905009Ruchir PuriMihir R. ChoudhuryHaifeng QianMatthew M. ZieglerBridging high performance and low power in processor design.ISLPED183-1882014Conference and Workshop Papersclosedconf/islped/PuriCQZ1410.1145/2627369.2631642https://doi.org/10.1145/2627369.2631642https://dblp.org/rec/conf/islped/PuriCQZ14URL#3905010Joseph PusdesrisBenjamin VanderSlootTrevor N. MudgeA memory rename table to reduce energy and improve performance.ISLPED279-2822014Conference and Workshop Papersclosedconf/islped/PusdesrisVM1410.1145/2627369.2627655https://doi.org/10.1145/2627369.2627655https://dblp.org/rec/conf/islped/PusdesrisVM14URL#3905011Shankar Ganesh RamasubramanianRangharajan VenkatesanMrigank SharadKaushik Roy 0001Anand RaghunathanSPINDLE: SPINtronic deep learning engine for large-scale neuromorphic computing.ISLPED15-202014Conference and Workshop Papersclosedconf/islped/RamasubramanianVSRR1410.1145/2627369.2627625https://doi.org/10.1145/2627369.2627625https://dblp.org/rec/conf/islped/RamasubramanianVSRR14URL#3905012Parthasarathy RanganathanThe new (system) balance of power and opportunities for optimizations.ISLPED331-3322014Conference and Workshop Papersclosedconf/islped/Ranganathan1410.1145/2627369.2631635https://doi.org/10.1145/2627369.2631635https://dblp.org/rec/conf/islped/Ranganathan14URL#3905013John RedmondLeakage mitigation techniques in smartphone SoCs.ISLPED213-2142014Conference and Workshop Papersclosedconf/islped/Redmond1410.1145/2627369.2631643https://doi.org/10.1145/2627369.2631643https://dblp.org/rec/conf/islped/Redmond14URL#3905014Felipe Martin SampaioMuhammad Shafique 0001Bruno ZattSergio BampiJörg HenkelContent-driven memory pressure balancing and video memory power management for parallel high efficiency video coding.ISLPED153-1582014Conference and Workshop Papersclosedconf/islped/SampaioSZBH1410.1145/2627369.2627615https://doi.org/10.1145/2627369.2627615https://dblp.org/rec/conf/islped/SampaioSZBH14URL#3905015Kannan A. SankaragomathiWilliam Anthony SmithBrian P. OtisVisvesh S. Sathe 0001A deterministic-dither-based, all-digital system for on-chippower supply noise measurement.ISLPED283-2862014Conference and Workshop Papersclosedconf/islped/SankaragomathiSOS1410.1145/2627369.2627656https://doi.org/10.1145/2627369.2627656https://dblp.org/rec/conf/islped/SankaragomathiSOS14URL#3905016John SartoriRakesh Kumar 0002Software canaries: software-based path delay fault testing for variation-aware energy-efficient design.ISLPED159-1642014Conference and Workshop Papersclosedconf/islped/Sartori01410.1145/2627369.2627646https://doi.org/10.1145/2627369.2627646https://dblp.org/rec/conf/islped/Sartori014URL#3905017Visvesh S. Sathe 0001Quasi-resonant clocking: a run-time control approach for true voltage-frequency-scalability.ISLPED87-922014Conference and Workshop Papersclosedconf/islped/Sathe1410.1145/2627369.2627627https://doi.org/10.1145/2627369.2627627https://dblp.org/rec/conf/islped/Sathe14URL#3905018Behnam SedighiN. Prasanth AnthapadmanabhanDusan SuvakovicTiming errors in LDPC decoding computations with overscaled supply voltage.ISLPED201-2062014Conference and Workshop Papersclosedconf/islped/SedighiAS1410.1145/2627369.2627638https://doi.org/10.1145/2627369.2627638https://dblp.org/rec/conf/islped/SedighiAS14URL#3905019Caleb SerafyAnkur Srivastava 0001Donald YeungUnlocking the true potential of 3D CPUs with micro-fluidic cooling.ISLPED323-3262014Conference and Workshop Papersclosedconf/islped/SerafySY1410.1145/2627369.2627666https://doi.org/10.1145/2627369.2627666https://dblp.org/rec/conf/islped/SerafySY14URL#3905020Botang ShaoPeng Li 0001A model for array-based approximate arithmetic computing with application to multiplier and squarer design.ISLPED9-142014Conference and Workshop Papersclosedconf/islped/ShaoL1410.1145/2627369.2627617https://doi.org/10.1145/2627369.2627617https://dblp.org/rec/conf/islped/ShaoL14URL#3905021Donghwa ShinAlessandro SassoneAlberto BoccaAlberto MaciiEnrico MaciiMassimo PoncinoA compact macromodel for the charge phase of a battery with typical charging protocol.ISLPED267-2702014Conference and Workshop Papersclosedconf/islped/ShinSBMMP1410.1145/2627369.2627652https://doi.org/10.1145/2627369.2627652https://dblp.org/rec/conf/islped/ShinSBMMP14URL#3905022Digvijay SinghWilliam J. KaiserEnergy efficient task scheduling on a multi-core platform using real-time energy measurements.ISLPED271-2742014Conference and Workshop Papersclosedconf/islped/SinghK1410.1145/2627369.2627653https://doi.org/10.1145/2627369.2627653https://dblp.org/rec/conf/islped/SinghK14URL#3905023Zhenyu Sun 0001Xiuyuan BiAlex K. JonesHai Li 0001Design exploration of racetrack lower-level caches.ISLPED263-2662014Conference and Workshop Papersclosedconf/islped/SunBJL1410.1145/2627369.2627651https://doi.org/10.1145/2627369.2627651https://dblp.org/rec/conf/islped/SunBJL14URL#3905024Mohammad Khavari TavanaAmey M. KulkarniAbbas RahimiTinoosh MohseninHouman HomayounEnergy-efficient mapping of biomedical applications on domain-specific accelerator under process variation.ISLPED275-2782014Conference and Workshop Papersclosedconf/islped/TavanaKRMH1410.1145/2627369.2627654https://doi.org/10.1145/2627369.2627654https://dblp.org/rec/conf/islped/TavanaKRMH14URL#3905025Swagath VenkataramaniAshish Ranjan 0001Kaushik Roy 0001Anand RaghunathanAxNN: energy-efficient neuromorphic systems using approximate computing.ISLPED27-322014Conference and Workshop Papersclosedconf/islped/VenkataramaniRRR1410.1145/2627369.2627613https://doi.org/10.1145/2627369.2627613https://dblp.org/rec/conf/islped/VenkataramaniRRR14URL#3905026Sara VincoAlessandro SassoneFranco FummiEnrico MaciiMassimo PoncinoAn open-source framework for formal specification and simulation of electrical energy systems.ISLPED287-2902014Conference and Workshop Papersclosedconf/islped/VincoSFMP1410.1145/2627369.2627657https://doi.org/10.1145/2627369.2627657https://dblp.org/rec/conf/islped/VincoSFMP14URL#3905027Jue WangXiangyu DongYuan Xie 0001Enabling high-performance LPDDRx-compatible MRAM.ISLPED339-3442014Conference and Workshop Papersclosedconf/islped/WangDX1410.1145/2627369.2627610https://doi.org/10.1145/2627369.2627610https://dblp.org/rec/conf/islped/WangDX14URL#3905028Qing Xie 0001Mohammad Javad DoustiMassoud PedramTherminator: a thermal simulator for smartphones producing accurate chip and skin temperature maps.ISLPED117-1222014Conference and Workshop Papersclosedconf/islped/XieDP1410.1145/2627369.2627641https://doi.org/10.1145/2627369.2627641https://dblp.org/rec/conf/islped/XieDP14URL#3905029Dongjun XuSai Manoj Pudukotai DinakarraoHantao HuangNingmei YuHao Yu 0001An energy-efficient 2.5D through-silicon interposer I/O with self-adaptive adjustment of output-voltage swing.ISLPED93-982014Conference and Workshop Papersclosedconf/islped/XuDHYY1410.1145/2627369.2627614https://doi.org/10.1145/2627369.2627614https://dblp.org/rec/conf/islped/XuDHYY14URL#3905030Siyu YueLizhong ChenDi Zhu 0002Timothy Mark PinkstonMassoud PedramSmart butterfly: reducing static power dissipation of network-on-chip with core-state-awareness.ISLPED311-3142014Conference and Workshop Papersclosedconf/islped/YueCZPP1410.1145/2627369.2627663https://doi.org/10.1145/2627369.2627663https://dblp.org/rec/conf/islped/YueCZPP14URL#3905031Chao Zhang 0007Guangyu Sun 0003Peng Li 0031Tao Wang 0004Dimin NiuYiran Chen 0001SBAC: a statistics based cache bypassing method for asymmetric-access caches.ISLPED345-3502014Conference and Workshop Papersclosedconf/islped/ZhangSLWNC1410.1145/2627369.2627611https://doi.org/10.1145/2627369.2627611https://dblp.org/rec/conf/islped/ZhangSLWNC14URL#3905032Wei Zhang 0044Hang Zhang 0031John C. LachAdaptive front-end throttling for superscalar processors.ISLPED21-262014Conference and Workshop Papersclosedconf/islped/ZhangZL1410.1145/2627369.2627633https://doi.org/10.1145/2627369.2627633https://dblp.org/rec/conf/islped/ZhangZL14URL#3905033Zhong ZhengZhiying Wang 0003Mikko H. LipastiTag check elision.ISLPED351-3562014Conference and Workshop Papersclosedconf/islped/ZhengWL1410.1145/2627369.2627606https://doi.org/10.1145/2627369.2627606https://dblp.org/rec/conf/islped/ZhengWL14URL#3905034Kan ZhongXiao ZhuTianzheng Wang 0001Dan Zhang 0011Xianlu LuoDuo LiuWeichen LiuEdwin Hsing-Mean ShaDR. Swap: energy-efficient paging for smartphones.ISLPED81-862014Conference and Workshop Papersclosedconf/islped/ZhongZWZLLLS1410.1145/2627369.2627647https://doi.org/10.1145/2627369.2627647https://dblp.org/rec/conf/islped/ZhongZWZLLLS14URL#3905035Yuan Xie 0001Tanay KarnikMuhammad M. KhellahRenu MehraInternational Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, USA - August 11 - 13, 2014ISLPEDACM2014Editorshipconf/islped/2014http://dl.acm.org/citation.cfm?id=2627369https://dblp.org/rec/conf/islped/2014URL#3962629