Search dblp for Publications

export results for "stream:streams/conf/arc:"

 download as .bib file

@inproceedings{DBLP:conf/arc/AdhikaryBBBDMMPRSSVZ24,
  author       = {Asmita Adhikary and
                  Abraham Basurto and
                  Lejla Batina and
                  Ileana Buhan and
                  Joan Daemen and
                  Silvia Mella and
                  Nele Mentens and
                  Stjepan Picek and
                  Durga Lakshmi Ramachandran and
                  Abolfazl Sajadi and
                  Todor Stefanov and
                  Dennis Vermoen and
                  Nusa Zidaric},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {{PROACT} - Physical Attack Resistance of Cryptographic Algorithms
                  and Circuits with Reduced Time to Market},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {255--266},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_18},
  doi          = {10.1007/978-3-031-55673-9\_18},
  timestamp    = {Mon, 25 Mar 2024 20:43:52 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/AdhikaryBBBDMMPRSSVZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BabaeiK24,
  author       = {Bardia Babaei and
                  Dirk Koch},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Analysis of Process Variation Within Clock Regions of AMD-Xilinx UltraScale+
                  Devices},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {193--209},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_14},
  doi          = {10.1007/978-3-031-55673-9\_14},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BabaeiK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BostonGG24,
  author       = {Allen Boston and
                  Roman Gauchi and
                  Pierre{-}Emmanuel Gaillardon},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Secure eFPGA Configuration: {A} System-Level Approach},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {151--165},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_11},
  doi          = {10.1007/978-3-031-55673-9\_11},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/BostonGG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BraekenSSKRWPGVRM24,
  author       = {An Braeken and
                  Bruno da Silva and
                  Laurent Segers and
                  Johannes Kn{\"{o}}dtel and
                  Marc Reichenbach and
                  Cornelia Wulf and
                  Sergio A. Pertuz and
                  Diana G{\"{o}}hringer and
                  Jo Vliegen and
                  Md Masoom Rabbani and
                  Nele Mentens},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Trusted Computing Architectures for IoT Devices},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {241--254},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_17},
  doi          = {10.1007/978-3-031-55673-9\_17},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BraekenSSKRWPGVRM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BrumVN24,
  author       = {Henrique B. Brum and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Hor{\'{a}}cio C. Neto},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {LiDAR 3D Object Detection in {FPGA} with Low Bitwidth Quantization},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {90--105},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_7},
  doi          = {10.1007/978-3-031-55673-9\_7},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/BrumVN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FoudhailiNTJBBM24,
  author       = {Wadid Foudhaili and
                  Anouar Nechi and
                  Celine Thermann and
                  Mohammad Al Johmani and
                  Rainer Buchty and
                  Mladen Berekovic and
                  Saleh Mulhem},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Reconfigurable Edge Hardware for Intelligent {IDS:} Systematic Approach},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {48--62},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_4},
  doi          = {10.1007/978-3-031-55673-9\_4},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FoudhailiNTJBBM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HanssonGGN24,
  author       = {Olle Hansson and
                  Mahdieh Grailoo and
                  Oscar Gustafsson and
                  Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Deep Quantization of Graph Neural Networks with Run-Time Hardware-Aware
                  Training},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {33--47},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_3},
  doi          = {10.1007/978-3-031-55673-9\_3},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HanssonGGN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/IsikVSID24,
  author       = {Murat Isik and
                  Hiruna Vishwamith and
                  Yusuf Sur and
                  Kayode Inadagbo and
                  I. Can Dikmen},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {{NEUROSEC:} FPGA-Based Neuromorphic Audio Security},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {134--147},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_10},
  doi          = {10.1007/978-3-031-55673-9\_10},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/IsikVSID24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KalkhofHK24,
  author       = {Torben Kalkhof and
                  Carsten Heinz and
                  Andreas Koch},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Enabling {FPGA} and {AI} Engine Tasks in the {HPX} Programming Framework
                  for Heterogeneous High-Performance Computing},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {75--89},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_6},
  doi          = {10.1007/978-3-031-55673-9\_6},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KalkhofHK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KostalabrosRFMH24,
  author       = {Vatistas Kostalabros and
                  Jordi Ribes{-}Gonz{\'{a}}lez and
                  Oriol Farr{\`{a}}s and
                  Miquel Moret{\'{o}} and
                  Carles Hern{\'{a}}ndez},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {A Safety-Critical, {RISC-V} SoC Integrated and ASIC-Ready Classic
                  McEliece Accelerator},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {282--295},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_20},
  doi          = {10.1007/978-3-031-55673-9\_20},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KostalabrosRFMH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MeloniBLMS24,
  author       = {Paolo Meloni and
                  Paola Busia and
                  Gianluca Leone and
                  Luca Martis and
                  Matteo Antonio Scrugli},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Exploiting FPGAs and Spiking Neural Networks at the Micro-Edge: The
                  EdgeAI Approach},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {296--302},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_21},
  doi          = {10.1007/978-3-031-55673-9\_21},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MeloniBLMS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MiraBCTREL24,
  author       = {Jos{\'{e}} L. Mira and
                  Jes{\'{u}}s Barba and
                  Juli{\'{a}}n Caba and
                  Jos{\'{e}} Antonio de la Torre and
                  Fernando Rinc{\'{o}}n and
                  Soledad Escolar and
                  Juan Carlos L{\'{o}}pez},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {High Performance Connected Components Accelerator for Image Processing
                  in the Edge},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {210--221},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_15},
  doi          = {10.1007/978-3-031-55673-9\_15},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MiraBCTREL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MpakosTAMMTGPK24,
  author       = {Panagiotis Mpakos and
                  Ioanna Tasou and
                  Chloe Alverti and
                  Panagiotis Miliadis and
                  Pavlos Malakonakis and
                  Dimitris Theodoropoulos and
                  Georgios I. Goumas and
                  Dionisios N. Pnevmatikatos and
                  Nectarios Koziris},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Open-Source SpMV Multiplication Hardware Accelerator for FPGA-Based
                  {HPC} Systems},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {19--32},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_2},
  doi          = {10.1007/978-3-031-55673-9\_2},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MpakosTAMMTGPK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PertuzWCKG24,
  author       = {Sergio A. Pertuz and
                  Cornelia Wulf and
                  Najdet Charaf and
                  Lester Kalms and
                  Diana G{\"{o}}hringer},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {A Flexible Mixed-Mesh {FPGA} Cluster Architecture for High Speed Computing},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {267--281},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_19},
  doi          = {10.1007/978-3-031-55673-9\_19},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PertuzWCKG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PlagwitzHTK24,
  author       = {Patrick Plagwitz and
                  Frank Hannig and
                  J{\"{u}}rgen Teich and
                  Oliver Kesz{\"{o}}cze},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {{SNN} vs. {CNN} Implementations on FPGAs: An Empirical Evaluation},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {3--18},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_1},
  doi          = {10.1007/978-3-031-55673-9\_1},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PlagwitzHTK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RojasMunozSMCNKGTPCOAJB24,
  author       = {Luis F. Rojas{-}Mu{\~{n}}oz and
                  Santiago S{\'{a}}nchez{-}Solano and
                  Macarena C. Mart{\'{\i}}nez{-}Rodr{\'{\i}}guez and
                  Eros Camacho{-}Ruiz and
                  Pablo Navarro{-}Torrero and
                  Apurba Karmakar and
                  Carlos Fern{\'{a}}ndez Garc{\'{\i}}a and
                  Erica Tena{-}S{\'{a}}nchez and
                  Francisco Eugenio Potestad{-}Ord{\'{o}}{\~{n}}ez and
                  Alejandro Casado{-}Gal{\'{a}}n and
                  Pau Ortega{-}Castro and
                  Antonio Acosta{-}Jim{\'{e}}nez and
                  Carlos Jes{\'{u}}s Jim{\'{e}}nez{-}Fern{\'{a}}ndez and
                  Piedad Brox},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Cryptographic Security Through a Hardware Root of Trust},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {106--119},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_8},
  doi          = {10.1007/978-3-031-55673-9\_8},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RojasMunozSMCNKGTPCOAJB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SilvaSML24,
  author       = {Guilherme Silva and
                  Pedro Silva and
                  Gladston Moreira and
                  Eduardo Luz},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Bridging the Gap in {ECG} Classification: Integrating Self-supervised
                  Learning with Human-in-the-Loop Amid Medical Equipment Hardware Constraints},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {63--74},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_5},
  doi          = {10.1007/978-3-031-55673-9\_5},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SilvaSML24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SilvaSPB24,
  author       = {Manuel Cerqueira da Silva and
                  Lu{\'{\i}}s Miguel Sousa and
                  Nuno Paulino and
                  Jo{\~{a}}o Bispo},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {A {DSL} and {MLIR} Dialect for Streaming and Vectorisation},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {181--190},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_13},
  doi          = {10.1007/978-3-031-55673-9\_13},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SilvaSPB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/StroblSLK24,
  author       = {Jonathan Strobl and
                  Leonardo Solis{-}Vasquez and
                  Yannick Lavan and
                  Andreas Koch},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Graphtoy: Fast Software Simulation of Applications for AMD's {AI}
                  Engines},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {166--180},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_12},
  doi          = {10.1007/978-3-031-55673-9\_12},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/StroblSLK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SunnyD24,
  author       = {Shine Parekkadan Sunny and
                  Satyajit Das},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Spectral-Blaze: {A} High-Performance FFT-Based {CNN} Accelerator},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {222--238},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_16},
  doi          = {10.1007/978-3-031-55673-9\_16},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/SunnyD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Watanabe24,
  author       = {Minoru Watanabe},
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Analysis of Clock Tree Buffer Degradation Caused by Radiation},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  pages        = {120--133},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9\_9},
  doi          = {10.1007/978-3-031-55673-9\_9},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/Watanabe24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2024,
  editor       = {Iouliia Skliarova and
                  Piedad Brox Jim{\'{e}}nez and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Pedro C. Diniz},
  title        = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March
                  20-22, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14553},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-55673-9},
  doi          = {10.1007/978-3-031-55673-9},
  isbn         = {978-3-031-55672-2},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/2024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AlismailK23,
  author       = {Shaden M. Alismail and
                  Dirk Koch},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Memory-Aware Scheduling for a Resource-Elastic {FPGA} Operating System},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {81--96},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_6},
  doi          = {10.1007/978-3-031-42921-7\_6},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AlismailK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BabyDSVD23,
  author       = {Bijin Elsa Baby and
                  Dipika Deb and
                  Benuraj Sharma and
                  Kirthika Vijayakumar and
                  Satyajit Das},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Energy Efficient {DNN} Compaction for Edge Deployment},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {290--303},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_20},
  doi          = {10.1007/978-3-031-42921-7\_20},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BabyDSVD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BaczmanskiWK23,
  author       = {Maciej Baczmanski and
                  Mateusz Wasala and
                  Tomasz Kryjak},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Implementation of a Perception System for Autonomous Vehicles Using
                  a Detection-Segmentation Network in SoC {FPGA}},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {200--211},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_14},
  doi          = {10.1007/978-3-031-42921-7\_14},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BaczmanskiWK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BurtsevWDGBPS23,
  author       = {Vitalii Burtsev and
                  Martin Wilhelm and
                  Anna Drewes and
                  Bala Gurumurthy and
                  David Broneske and
                  Thilo Pionteck and
                  Gunter Saake},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {FPGA-Integrated Bag of Little Bootstraps Accelerator for Approximate
                  Database Query Processing},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {115--130},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_8},
  doi          = {10.1007/978-3-031-42921-7\_8},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BurtsevWDGBPS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChandrasekarLT23,
  author       = {Srivatsan Chandrasekar and
                  Siew{-}Kei Lam and
                  Srikanthan Thambipillai},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {{DNN} Model Theft Through Trojan Side-Channel on Edge {FPGA} Accelerator},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {146--158},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_10},
  doi          = {10.1007/978-3-031-42921-7\_10},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChandrasekarLT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ClausingGKLP23,
  author       = {Lennart Clausing and
                  Zakarya Guettatfi and
                  Paul Kaufmann and
                  Christian Lienen and
                  Marco Platzner},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {On Guaranteeing Schedulability of Periodic Real-Time Hardware Tasks
                  Under ReconOS\({}^{\mbox{64}}\)},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {245--259},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_17},
  doi          = {10.1007/978-3-031-42921-7\_17},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ClausingGKLP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GehrungerH23,
  author       = {Jonas Gehrunger and
                  Christian Hochberger},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Design Space Exploration of Application Specific Number Formats Targeting
                  an {FPGA} Implementation of {SPICE}},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {66--80},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_5},
  doi          = {10.1007/978-3-031-42921-7\_5},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GehrungerH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GrotheMB23,
  author       = {Philipp Grothe and
                  Saleh Mulhem and
                  Mladen Berekovic},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {An Almost Fully RRAM-Based {LUT} Design for Reconfigurable Circuits},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {322--337},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_22},
  doi          = {10.1007/978-3-031-42921-7\_22},
  timestamp    = {Sat, 14 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GrotheMB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HaaseG23,
  author       = {Julian Haase and
                  Diana G{\"{o}}hringer},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Simulation and Modelling for Network-on-Chip Based MPSoC},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {366--370},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_26},
  doi          = {10.1007/978-3-031-42921-7\_26},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HaaseG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HoseininasabCD23,
  author       = {Sara Sadat Hoseininasab and
                  Caroline Collange and
                  Steven Derrien},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Rapid Prototyping of Complex Micro-architectures Through High-Level
                  Synthesis},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {19--34},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_2},
  doi          = {10.1007/978-3-031-42921-7\_2},
  timestamp    = {Sat, 14 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HoseininasabCD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KalmsNG23,
  author       = {Lester Kalms and
                  Matthias Nickel and
                  Diana G{\"{o}}hringer},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {ArcvaVX: OpenVX Framework for Adaptive Reconfigurable Computer Vision
                  Architectures},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {97--112},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_7},
  doi          = {10.1007/978-3-031-42921-7\_7},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KalmsNG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KnodtelHLTGVR23,
  author       = {Johannes Kn{\"{o}}dtel and
                  Hector Gerardo Mu{\~{n}}oz Hernandez and
                  Alexander Lehnert and
                  Gia Bao Thieu and
                  Sven Gesper and
                  Guillermo Pay{\'{a}} Vay{\'{a}} and
                  Marc Reichenbach},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {{TAPRE-HBM:} Trace-Based Processor Rapid Emulation Using {HBM} on
                  FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {307--321},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_21},
  doi          = {10.1007/978-3-031-42921-7\_21},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KnodtelHLTGVR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KonstantopoulouAS23,
  author       = {Evangelia Konstantopoulou and
                  George Athanasiou and
                  Nicolas Sklavos},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Towards Secure and Efficient Multi-generation Cellular Communications:
                  Multi-mode {SNOW-3G/V} {ASIC} and {FPGA} Implementations},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {159--172},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_11},
  doi          = {10.1007/978-3-031-42921-7\_11},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KonstantopoulouAS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LehnertRMR23,
  author       = {Alexander Lehnert and
                  Hans Rosenberger and
                  Ralf R. M{\"{u}}ller and
                  Marc Reichenbach},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {More Efficient CMMs on FPGAs: Instantiated Ternary Adders for Computation
                  Coding},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {275--289},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_19},
  doi          = {10.1007/978-3-031-42921-7\_19},
  timestamp    = {Sat, 14 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LehnertRMR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LeoneMRM23,
  author       = {Gianluca Leone and
                  Luca Martis and
                  Luigi Raffo and
                  Paolo Meloni},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {On-FPGA Spiking Neural Networks for Multi-variable End-to-End Neural
                  Decoding},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {185--199},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_13},
  doi          = {10.1007/978-3-031-42921-7\_13},
  timestamp    = {Sat, 14 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LeoneMRM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MahmoodHR23,
  author       = {Safdar Mahmood and
                  Michael H{\"{u}}bner and
                  Marc Reichenbach},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {A Design-Space Exploration Framework for Application-Specific Machine
                  Learning Targeting Reconfigurable Computing},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {371--374},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_27},
  doi          = {10.1007/978-3-031-42921-7\_27},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MahmoodHR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MouraC23,
  author       = {Rafael F{\~{a}}o de Moura and
                  Luigi Carro},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Scalable and Energy-Efficient {NN} Acceleration with GPU-ReRAM Architecture},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {230--244},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_16},
  doi          = {10.1007/978-3-031-42921-7\_16},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MouraC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MousouliotisLJPCKAV23,
  author       = {Panagiotis Mousouliotis and
                  Topi Lepp{\"{a}}nen and
                  Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and
                  Nikos Petrellis and
                  Panagiotis Christakos and
                  Georgios Keramidas and
                  Christos P. Antonopoulos and
                  Nikolaos S. Voros},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {On the OpenCL Support for Streaming Fixed-Function Accelerators on
                  Embedded SoC FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {51--65},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_4},
  doi          = {10.1007/978-3-031-42921-7\_4},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MousouliotisLJPCKAV23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NunezYanez23,
  author       = {Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Accelerating Graph Neural Networks in Pytorch with {HLS} and Deep
                  Dataflows},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {131--145},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_9},
  doi          = {10.1007/978-3-031-42921-7\_9},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NunezYanez23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OteroSTN23,
  author       = {Andr{\'{e}}s Otero and
                  Guillermo Sanllorente and
                  Eduardo de la Torre and
                  Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Evolutionary FPGA-Based Spiking Neural Networks for Continual Learning},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {260--274},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_18},
  doi          = {10.1007/978-3-031-42921-7\_18},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/OteroSTN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PagonisLSL23,
  author       = {George Pagonis and
                  Vasileios Leon and
                  Dimitrios Soudris and
                  George Lentaris},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Increasing the Fault Tolerance of {COTS} FPGAs in Space: {SEU} Mitigation
                  Techniques on MPSoC},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {215--229},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_15},
  doi          = {10.1007/978-3-031-42921-7\_15},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PagonisLSL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PassarettiP23,
  author       = {Daniele Passaretti and
                  Thilo Pionteck},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {A Control Data Acquisition System Architecture for MPSoC-FPGAs in
                  Computed Tomography},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {361--365},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_25},
  doi          = {10.1007/978-3-031-42921-7\_25},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PassarettiP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SenooKJN23,
  author       = {Takeshi Senoo and
                  Ryota Kayanoma and
                  Akira Jinguji and
                  Hiroki Nakahara},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {A Light-Weight Vision Transformer Toward Near Memory Computation on
                  an {FPGA}},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {338--353},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_23},
  doi          = {10.1007/978-3-031-42921-7\_23},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SenooKJN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TamimiBSPK23,
  author       = {Sajjad Tamimi and
                  Arthur Bernhardt and
                  Florian Stock and
                  Ilia Petrov and
                  Andreas Koch},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {NVMulator: {A} Configurable Open-Source Non-volatile Memory Emulator
                  for FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {35--50},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_3},
  doi          = {10.1007/978-3-031-42921-7\_3},
  timestamp    = {Sat, 14 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TamimiBSPK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TrumannTSWSHV23,
  author       = {Eike Trumann and
                  Gia Bao Thieu and
                  Johannes Schmechel and
                  Kirsten Weide{-}Zaage and
                  Katharina Schmidt and
                  Dorian Hagenah and
                  Guillermo Pay{\'{a}} Vay{\'{a}}},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Radiation Tolerant Reconfigurable Hardware Architecture Design Methodology},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {357--360},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_24},
  doi          = {10.1007/978-3-031-42921-7\_24},
  timestamp    = {Sat, 14 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TrumannTSWSHV23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/UngerBKMMNSZ23,
  author       = {Kai Lukas Unger and
                  J{\"{u}}rgen Becker and
                  Christian Kiesling and
                  Yichuan Ma and
                  Felix Meggendorfer and
                  Marc Neu and
                  Elia Schmidt and
                  Ulrike Zweigart},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {A Convolution Neural Network Based Displaced Vertex Trigger for the
                  Belle {II} Experiment},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {173--184},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_12},
  doi          = {10.1007/978-3-031-42921-7\_12},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/UngerBKMMNSZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/UsuiT23,
  author       = {Masayuki Usui and
                  Shinya Takamaeda{-}Yamazaki},
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {High-Level Synthesis of Memory Systems for Decoupled Data Orchestration},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  pages        = {3--18},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7\_1},
  doi          = {10.1007/978-3-031-42921-7\_1},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/UsuiT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2023,
  editor       = {Francesca Palumbo and
                  Georgios Keramidas and
                  Nikolaos V. Voros and
                  Pedro C. Diniz},
  title        = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 19th International Symposium, {ARC} 2023, Cottbus, Germany, September
                  27-29, 2023, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14251},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-42921-7},
  doi          = {10.1007/978-3-031-42921-7},
  isbn         = {978-3-031-42920-0},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AlsharariNSWBAR22,
  author       = {Majed Alsharari and
                  Lorenzo Niemitz and
                  Simon Sorensen and
                  Roger F. Woods and
                  Ray Burke and
                  Stefan Andersson{-}Engels and
                  Carlos Rea{\~{n}}o and
                  Son T. Mai},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {Multi-spectral In-Vivo FPGA-Based Surgical Imaging},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {103--117},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_8},
  doi          = {10.1007/978-3-031-19983-7\_8},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/AlsharariNSWBAR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChuXFZY22,
  author       = {Xuesen Chu and
                  He Xiang and
                  Li Fang and
                  Liu Zhao and
                  Guangwen Yang},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {Development Progress of {SWLBM} a Framework Based on Lattice Boltzmann
                  Method for Fluid Dynamics Simulation},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {62--71},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_5},
  doi          = {10.1007/978-3-031-19983-7\_5},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/ChuXFZY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DanilowiczK22,
  author       = {Michal Danilowicz and
                  Tomasz Kryjak},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {Real-Time Embedded Object Tracking with Discriminative Correlation
                  Filters Using Convolutional Features},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {166--180},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_12},
  doi          = {10.1007/978-3-031-19983-7\_12},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/DanilowiczK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KabirPAHA22,
  author       = {Ehsan Kabir and
                  Arpan Poudel and
                  Zeyad Aklah and
                  Miaoqing Huang and
                  David Andrews},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {A Runtime Programmable Accelerator for Convolutional and Multilayer
                  Perceptron Neural Networks on {FPGA}},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {32--46},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_3},
  doi          = {10.1007/978-3-031-19983-7\_3},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/KabirPAHA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KongN22,
  author       = {Minxuan Kong and
                  Jos{\'{e}} Luis N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {Entropy-Based Early-Exit in a FPGA-Based Low-Precision Neural Network},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {72--86},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_6},
  doi          = {10.1007/978-3-031-19983-7\_6},
  timestamp    = {Thu, 10 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/KongN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KwanGLJ22,
  author       = {Bowen P. Y. Kwan and
                  Ce Guo and
                  Wayne Luk and
                  Peiyong Jiang},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {Light-Weight Permutation Generator for Efficient Convolutional Neural
                  Network Data Augmentation},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {150--165},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_11},
  doi          = {10.1007/978-3-031-19983-7\_11},
  timestamp    = {Thu, 10 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/KwanGLJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LasernaOT22,
  author       = {Javier Laserna and
                  Andr{\'{e}}s Otero and
                  Eduardo de la Torre},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {A Multi-FPGA Scalable Framework for Deep Reinforcement Learning Through
                  Neuroevolution},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {47--61},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_4},
  doi          = {10.1007/978-3-031-19983-7\_4},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LasernaOT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MiliadisTPK22,
  author       = {Panagiotis Miliadis and
                  Dimitris Theodoropoulos and
                  Dionisios N. Pnevmatikatos and
                  Nectarios Koziris},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {VenOS: {A} Virtualization Framework for Multiple Tenant Accommodation
                  on Reconfigurable Platforms},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {181--195},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_13},
  doi          = {10.1007/978-3-031-19983-7\_13},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MiliadisTPK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OmidianHG22,
  author       = {Hossein Omidian and
                  Eddie Hung and
                  Dinesh Gaitonde},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {100{\%} Visibility at MHz Speed: Efficient Soft Scan-Chain Insertion
                  on AMD/Xilinx FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {1--16},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_1},
  doi          = {10.1007/978-3-031-19983-7\_1},
  timestamp    = {Thu, 10 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/OmidianHG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PapaphilippouS22,
  author       = {Philippos Papaphilippou and
                  Myrtle Shah},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {FPGA-Extended General Purpose Computer Architecture},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {87--102},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_7},
  doi          = {10.1007/978-3-031-19983-7\_7},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/PapaphilippouS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RognlienQCL22,
  author       = {Markus Rognlien and
                  Zhiqiang Que and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Wayne Luk},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {Hardware-Aware Optimizations for Deep Learning Inference on Edge Devices},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {118--133},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_9},
  doi          = {10.1007/978-3-031-19983-7\_9},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/RognlienQCL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VolzSK22,
  author       = {David Volz and
                  Christoph Spang and
                  Andreas Koch},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {{IPEC:} Open-Source Design Automation for Inter-Processing Element
                  Communication},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {134--149},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_10},
  doi          = {10.1007/978-3-031-19983-7\_10},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/VolzSK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YuanLDXGYDFY22,
  author       = {Ming Yuan and
                  Qiang Liu and
                  Quan Deng and
                  Shengye Xiang and
                  Lin Gan and
                  Jinzhe Yang and
                  Xiaohui Duan and
                  Haohuan Fu and
                  Guangwen Yang},
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {FPGA-Accelerated Tersoff Multi-body Potential for Molecular Dynamics
                  Simulations},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  pages        = {17--31},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7\_2},
  doi          = {10.1007/978-3-031-19983-7\_2},
  timestamp    = {Thu, 10 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/YuanLDXGYDFY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2022,
  editor       = {Lin Gan and
                  Yu Wang and
                  Wei Xue and
                  Thomas Chau},
  title        = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 18th International Symposium, {ARC} 2022, Virtual Event, September
                  19-20, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13569},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19983-7},
  doi          = {10.1007/978-3-031-19983-7},
  isbn         = {978-3-031-19982-0},
  timestamp    = {Thu, 10 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/2022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/0001LK21,
  author       = {J{\"{o}}rg Keller and
                  Sebastian Litzinger and
                  Christoph W. Kessler},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Combining Design Space Exploration with Task Scheduling of Moldable
                  Streaming Tasks on Reconfigurable Platforms},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {93--107},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_7},
  doi          = {10.1007/978-3-030-79025-7\_7},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/0001LK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/0004VC21,
  author       = {Muhammad Irfan and
                  Kizheppatt Vipin and
                  Ray C. C. Cheung},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {On the Suitability of Read only Memory for FPGA-Based {CAM} Emulation
                  Using Partial Reconfiguration},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {305--314},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_23},
  doi          = {10.1007/978-3-030-79025-7\_23},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/0004VC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AnantharajaiahZ21,
  author       = {Nidhi Anantharajaiah and
                  Zhe Zhang and
                  J{\"{u}}rgen Becker},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Multi-layered NoCs with Adaptive Routing for Mixed Criticality Systems},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {125--139},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_9},
  doi          = {10.1007/978-3-030-79025-7\_9},
  timestamp    = {Thu, 01 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AnantharajaiahZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AsgharHKZ21,
  author       = {Ali Asghar and
                  Benjamin Hettwer and
                  Emil Karimov and
                  Daniel Ziener},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Increasing Side-Channel Resistance by Netlist Randomization and FPGA-Based
                  Reconfiguration},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {173--187},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_12},
  doi          = {10.1007/978-3-030-79025-7\_12},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AsgharHKZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BarbaCETRL21,
  author       = {Jes{\'{u}}s Barba and
                  Juli{\'{a}}n Caba and
                  Soledad Escolar and
                  Jos{\'{e}} Antonio de la Torre and
                  Fernando Rinc{\'{o}}n and
                  Juan C. L{\'{o}}pez},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {A Dataflow Architecture for Real-Time Full-Search Block Motion Estimation},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {232--241},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_16},
  doi          = {10.1007/978-3-030-79025-7\_16},
  timestamp    = {Tue, 02 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BarbaCETRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BlochwitzPBP21,
  author       = {Christopher Blochwitz and
                  Le{\'{o}}n Philipp and
                  Mladen Berekovic and
                  Thilo Pionteck},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {StreamGrid - An AXI-Stream-Compliant Overlay Architecture},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {156--170},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_11},
  doi          = {10.1007/978-3-030-79025-7\_11},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BlochwitzPBP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BoschVFJ0MA21,
  author       = {Jaume Bosch and
                  Miquel Vidal and
                  Antonio Filgueras and
                  Daniel Jim{\'{e}}nez{-}Gonz{\'{a}}lez and
                  Carlos {\'{A}}lvarez and
                  Xavier Martorell and
                  Eduard Ayguad{\'{e}}},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Task-Based Programming Models for Heterogeneous Recurrent Workloads},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {108--122},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_8},
  doi          = {10.1007/978-3-030-79025-7\_8},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BoschVFJ0MA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CamposCEL21,
  author       = {Nelson Campos and
                  Slava Chesnokov and
                  Eran A. Edirisinghe and
                  Alexis Lluis},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {{FPGA} Implementation of Custom Floating-Point Logarithm and Division},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {295--304},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_22},
  doi          = {10.1007/978-3-030-79025-7\_22},
  timestamp    = {Sat, 18 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CamposCEL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DanopoulosKS21,
  author       = {Dimitrios Danopoulos and
                  Christoforos Kachris and
                  Dimitrios Soudris},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Covid4HPC: {A} Fast and Accurate Solution for Covid Detection in the
                  Cloud Using X-Rays},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {327--336},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_25},
  doi          = {10.1007/978-3-030-79025-7\_25},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DanopoulosKS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DharRXHC21,
  author       = {Ashutosh Dhar and
                  Paul Reckamp and
                  Jinjun Xiong and
                  Wen{-}Mei Hwu and
                  Deming Chen},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Graviton: {A} Reconfigurable Memory-Compute Fabric for Data Intensive
                  Applications},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {254--264},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_18},
  doi          = {10.1007/978-3-030-79025-7\_18},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/DharRXHC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/EbrahimK21,
  author       = {Ali Ebrahim and
                  Jalal Khalifat},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Fast Approximation of the Top-k Items in Data Streams Using a Reconfigurable
                  Accelerator},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {3--17},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_1},
  doi          = {10.1007/978-3-030-79025-7\_1},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/EbrahimK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HassanAH21,
  author       = {Mohamed W. Hassan and
                  Peter M. Athanas and
                  Yasser Y. Hanafy},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Domain-Specific Modeling and Optimization for Graph Processing on
                  FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {315--326},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_24},
  doi          = {10.1007/978-3-030-79025-7\_24},
  timestamp    = {Thu, 01 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HassanAH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Heinz021,
  author       = {Carsten Heinz and
                  Andreas Koch},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Supporting On-Chip Dynamic Parallelism for Task-Based Hardware Accelerators},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {81--92},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_6},
  doi          = {10.1007/978-3-030-79025-7\_6},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Heinz021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HernandezVB021,
  author       = {Hector Gerardo Mu{\~{n}}oz Hernandez and
                  Mitko Veleski and
                  Marcelo Brandalero and
                  Michael H{\"{u}}bner},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Accelerating Convolutional Neural Networks in FPGA-based SoCs using
                  a Soft-Core {GPU}},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {275--284},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_20},
  doi          = {10.1007/978-3-030-79025-7\_20},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HernandezVB021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JungblutK21,
  author       = {Pascal Jungblut and
                  Dieter Kranzlm{\"{u}}ller},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Dynamic Spatial Multiplexing on FPGAs with OpenCL},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {265--274},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_19},
  doi          = {10.1007/978-3-030-79025-7\_19},
  timestamp    = {Thu, 01 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JungblutK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KhanH021,
  author       = {Nadir Khan and
                  Benjamin Hettwer and
                  J{\"{u}}rgen Becker},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Moving Target and Implementation Diversity Based Countermeasures Against
                  Side-Channel Attacks},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {188--202},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_13},
  doi          = {10.1007/978-3-030-79025-7\_13},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KhanH021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LesniakK021,
  author       = {Fabian Lesniak and
                  Fabian Kre{\ss} and
                  J{\"{u}}rgen Becker},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Transparent Near-Memory Computing with a Reconfigurable Processor},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {221--231},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_15},
  doi          = {10.1007/978-3-030-79025-7\_15},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LesniakK021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MarinoFMA21,
  author       = {Angela Gonzalez Mari{\~{n}}o and
                  Francesc Fons and
                  Li Ming and
                  Juan{-}Manuel Moreno Ar{\'{o}}stegui},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {{PDU} Normalizer Engine for Heterogeneous In-Vehicle Networks in Automotive
                  Gateways},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {140--155},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_10},
  doi          = {10.1007/978-3-030-79025-7\_10},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MarinoFMA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PfauZ021,
  author       = {Johannes Pfau and
                  Peter Wagih Zaki and
                  J{\"{u}}rgen Becker},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Evaluation of Different Manual Placement Strategies to Ensure Uniformity
                  of the {V-FPGA}},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {35--49},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_3},
  doi          = {10.1007/978-3-030-79025-7\_3},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PfauZ021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RamaswamiKKP21,
  author       = {Arjun Ramaswami and
                  Tobias Kenter and
                  Thomas D. K{\"{u}}hne and
                  Christian Plessl},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Evaluating the Design Space for Offloading 3D {FFT} Calculations to
                  an {FPGA} for High-Performance Computing},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {285--294},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_21},
  doi          = {10.1007/978-3-030-79025-7\_21},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RamaswamiKKP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/StreitWPT21,
  author       = {Franz{-}Josef Streit and
                  Stefan Wildermann and
                  Michael Pschyklenk and
                  J{\"{u}}rgen Teich},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Providing Tamper-Secure SoC Updates Through Reconfigurable Hardware},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {242--253},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_17},
  doi          = {10.1007/978-3-030-79025-7\_17},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/StreitWPT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SunnyDMC21,
  author       = {Chilankamol Sunny and
                  Satyajit Das and
                  Kevin J. M. Martin and
                  Philippe Coussy},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Hardware Based Loop Optimization for {CGRA} Architectures},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {65--80},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_5},
  doi          = {10.1007/978-3-030-79025-7\_5},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SunnyDMC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WirthHT0B21,
  author       = {Johannes Wirth and
                  Jaco A. Hofmann and
                  Lasse Thostrup and
                  Andreas Koch and
                  Carsten Binnig},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Exploiting 3D Memory for Accelerated In-Network Processing of Hash
                  Joins in Distributed Databases},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {18--32},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_2},
  doi          = {10.1007/978-3-030-79025-7\_2},
  timestamp    = {Mon, 20 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WirthHT0B21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WitschenWNBP21,
  author       = {Linus Witschen and
                  Tobias Wiersema and
                  Masood Raeisi Nafchi and
                  Arne Bockhorn and
                  Marco Platzner},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Timing Optimization for Virtual {FPGA} Configurations},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {50--64},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_4},
  doi          = {10.1007/978-3-030-79025-7\_4},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WitschenWNBP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZarroukMAB21,
  author       = {Randa Zarrouk and
                  Saleh Mulhem and
                  Wael Adi and
                  Mladen Berekovic},
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Clone-Resistant Secured Booting Based on Unknown Hashing Created in
                  Self-Reconfigurable Platform},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  pages        = {203--217},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7\_14},
  doi          = {10.1007/978-3-030-79025-7\_14},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZarroukMAB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2021,
  editor       = {Steven Derrien and
                  Frank Hannig and
                  Pedro C. Diniz and
                  Daniel Chillet},
  title        = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30,
                  2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12700},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-79025-7},
  doi          = {10.1007/978-3-030-79025-7},
  isbn         = {978-3-030-79024-0},
  timestamp    = {Thu, 01 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AbdelhamidY20,
  author       = {Riadh Ben Abdelhamid and
                  Yoshiki Yamaguchi},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {A Block-Based Systolic Array on an {HBM2} {FPGA} for {DNA} Sequence
                  Alignment},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {298--313},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_23},
  doi          = {10.1007/978-3-030-44534-8\_23},
  timestamp    = {Thu, 26 Mar 2020 14:59:22 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/AbdelhamidY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AkgunKG20,
  author       = {G{\"{o}}khan Akg{\"{u}}n and
                  Lester Kalms and
                  Diana G{\"{o}}hringer},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Resource Efficient Dynamic Voltage and Frequency Scaling on Xilinx
                  FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {178--192},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_14},
  doi          = {10.1007/978-3-030-44534-8\_14},
  timestamp    = {Thu, 26 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/AkgunKG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AkgunKHEGG20,
  author       = {G{\"{o}}khan Akg{\"{u}}n and
                  Habib ul Hasan Khan and
                  Marawan Azmy Hebaish and
                  Mahmoud Ahmed Elshimy and
                  Mohamed A. Abd El Ghany and
                  Diana G{\"{o}}hringer},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {SysIDLib: {A} High-Level Synthesis {FPGA} Library for Online System
                  Identification},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {97--107},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_8},
  doi          = {10.1007/978-3-030-44534-8\_8},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AkgunKHEGG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AliACON20,
  author       = {Karim M. A. Ali and
                  Ihsen Alouani and
                  Abdessamad Ait El Cadi and
                  Hamza Ouarnoughi and
                  Sma{\"{\i}}l Niar},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Cross-layer {CNN} Approximations for Hardware Implementation},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {151--165},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_12},
  doi          = {10.1007/978-3-030-44534-8\_12},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AliACON20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AliRG20,
  author       = {Muhammad Ali and
                  Pedram Amini Rad and
                  Diana G{\"{o}}hringer},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {{RISC-V} Based MPSoC Design Exploration for FPGAs: Area, Power and
                  Performance},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {193--207},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_15},
  doi          = {10.1007/978-3-030-44534-8\_15},
  timestamp    = {Mon, 07 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AliRG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AnsaloniSP20,
  author       = {Giovanni Ansaloni and
                  Ilaria Scarabottolo and
                  Laura Pozzi},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Judiciously Spreading Approximation Among Arithmetic Components with
                  Top-Down Inexact Hardware Design},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {14--29},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_2},
  doi          = {10.1007/978-3-030-44534-8\_2},
  timestamp    = {Thu, 26 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/AnsaloniSP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BacchusSK20,
  author       = {Pascal Bacchus and
                  Robert J. Stewart and
                  Ekaterina Komendantskaya},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Accuracy, Training Time and Hardware Efficiency Trade-Offs for Quantized
                  Neural Networks on FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {121--135},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_10},
  doi          = {10.1007/978-3-030-44534-8\_10},
  timestamp    = {Mon, 01 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BacchusSK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BozzoliS20,
  author       = {Ludovica Bozzoli and
                  Luca Sterpone},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Soft-Error Analysis of Self-reconfiguration Controllers for Safety
                  Critical Dynamically Reconfigurable FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {84--96},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_7},
  doi          = {10.1007/978-3-030-44534-8\_7},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BozzoliS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CharitopoulosP20,
  author       = {George Charitopoulos and
                  Dionisios N. Pnevmatikatos},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {A {CGRA} Definition Framework for Dataflow Applications},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {271--287},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_21},
  doi          = {10.1007/978-3-030-44534-8\_21},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CharitopoulosP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DrewesJGBSP20,
  author       = {Anna Drewes and
                  Jan Moritz Joseph and
                  Bala Gurumurthy and
                  David Broneske and
                  Gunter Saake and
                  Thilo Pionteck},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Optimising Operator Sets for Analytical Database Processing on FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {30--44},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_3},
  doi          = {10.1007/978-3-030-44534-8\_3},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DrewesJGBSP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DuFY20,
  author       = {Changdao Du and
                  Iman Firmansyah and
                  Yoshiki Yamaguchi},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {FPGA-Based Computational Fluid Dynamics Simulation Architecture via
                  High-Level Synthesis Design Method},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {232--246},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_18},
  doi          = {10.1007/978-3-030-44534-8\_18},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DuFY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DuarteCN20,
  author       = {Rui Policarpo Duarte and
                  Helena Cruz and
                  Hor{\'{a}}cio C. Neto},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Reconfigurable Accelerator for On-Board {SAR} Imaging Using the Backprojection
                  Algorithm},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {392--401},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_29},
  doi          = {10.1007/978-3-030-44534-8\_29},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DuarteCN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FavaroDEO20,
  author       = {Federico Favaro and
                  Ernesto Dufrechou and
                  Pablo Ezzatti and
                  Juan P. Oliver},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Exploring fpga Optimizations to Compute Sparse Numerical Linear Algebra
                  Kernels},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {258--268},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_20},
  doi          = {10.1007/978-3-030-44534-8\_20},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FavaroDEO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FeriancFCSL20,
  author       = {Martin Ferianc and
                  Hongxiang Fan and
                  Ringo S. W. Chu and
                  Jakub Stano and
                  Wayne Luk},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Improving Performance Estimation for FPGA-Based Accelerators for Convolutional
                  Neural Networks},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {3--13},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_1},
  doi          = {10.1007/978-3-030-44534-8\_1},
  timestamp    = {Thu, 26 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/FeriancFCSL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FerresMR20,
  author       = {Bruno Ferres and
                  Olivier Muller and
                  Fr{\'{e}}d{\'{e}}ric Rousseau},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Chisel Usecase: Designing General Matrix Multiply for {FPGA}},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {61--72},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_5},
  doi          = {10.1007/978-3-030-44534-8\_5},
  timestamp    = {Sat, 02 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FerresMR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GuettatfiKP20,
  author       = {Zakarya Guettatfi and
                  Paul Kaufmann and
                  Marco Platzner},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Optimal and Greedy Heuristic Approaches for Scheduling and Mapping
                  of Hardware Tasks to Reconfigurable Computing Devices},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {108--117},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_9},
  doi          = {10.1007/978-3-030-44534-8\_9},
  timestamp    = {Thu, 26 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/GuettatfiKP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HernandezMBH20,
  author       = {Hector Gerardo Mu{\~{n}}oz Hernandez and
                  Safdar Mahmood and
                  Marcelo Brandalero and
                  Michael H{\"{u}}bner},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {A Modular Software Library for Effective High Level Synthesis of Convolutional
                  Neural Networks},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {211--220},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_16},
  doi          = {10.1007/978-3-030-44534-8\_16},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HernandezMBH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/IkedaSNMT20,
  author       = {Taiga Ikeda and
                  Kento Sakurada and
                  Atsuyoshi Nakamura and
                  Masato Motomura and
                  Shinya Takamaeda{-}Yamazaki},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Hardware/Algorithm Co-optimization for Fully-Parallelized Compact
                  Decision Tree Ensembles on FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {345--357},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_26},
  doi          = {10.1007/978-3-030-44534-8\_26},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/IkedaSNMT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KhanPAG20,
  author       = {Habib ul Hasan Khan and
                  Ariel Podlubne and
                  G{\"{o}}khan Akg{\"{u}}n and
                  Diana G{\"{o}}hringer},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Cycle-Accurate Debugging of Embedded Designs Using Recurrent Neural
                  Networks},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {73--83},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_6},
  doi          = {10.1007/978-3-030-44534-8\_6},
  timestamp    = {Thu, 26 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/KhanPAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MarioLVS20,
  author       = {Valter M{\'{a}}rio and
                  Jo{\~{a}}o D. Lopes and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Jos{\'{e}} T. de Sousa},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Implementing CNNs Using a Linear Array of Full Mesh CGRAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {288--297},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_22},
  doi          = {10.1007/978-3-030-44534-8\_22},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MarioLVS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MisraK20,
  author       = {Ashish Misra and
                  Volodymyr V. Kindratenko},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {HLS-Based Acceleration Framework for Deep Convolutional Neural Networks},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {221--231},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_17},
  doi          = {10.1007/978-3-030-44534-8\_17},
  timestamp    = {Wed, 15 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MisraK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MondigoUST20,
  author       = {Antoniette Mondigo and
                  Tomohiro Ueno and
                  Kentaro Sano and
                  Hiroyuki Takizawa},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Comparison of Direct and Indirect Networks for High-Performance {FPGA}
                  Clusters},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {314--329},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_24},
  doi          = {10.1007/978-3-030-44534-8\_24},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MondigoUST20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NguyenDG20,
  author       = {Duc Tri Nguyen and
                  Viet Ba Dang and
                  Kris Gaj},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {High-Level Synthesis in Implementing and Benchmarking Number Theoretic
                  Transform in Lattice-Based Post-Quantum Cryptography Using Software/Hardware
                  Codesign},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {247--257},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_19},
  doi          = {10.1007/978-3-030-44534-8\_19},
  timestamp    = {Thu, 11 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/NguyenDG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OrtizZ0OT20,
  author       = {Alberto Garc{\'{\i}}a Ortiz and
                  Rafael Zamacola and
                  Alfonso Rodr{\'{\i}}guez and
                  Andr{\'{e}}s Otero and
                  Eduardo de la Torre},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Automated Toolchain for Enhanced Productivity in Reconfigurable Multi-accelerator
                  Systems},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {45--60},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_4},
  doi          = {10.1007/978-3-030-44534-8\_4},
  timestamp    = {Tue, 16 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/OrtizZ0OT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SurianoLT20,
  author       = {Leonardo Suriano and
                  David Lima and
                  Eduardo de la Torre},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Accelerating a Classic 3D Video Game on Heterogeneous Reconfigurable
                  MPSoCs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {136--150},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_11},
  doi          = {10.1007/978-3-030-44534-8\_11},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SurianoLT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/UllahAA20,
  author       = {M. M. Imdad Ullah and
                  Akram Ben Ahmed and
                  Hideharu Amano},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Implementation of FM-Index Based Pattern Search on a Multi-FPGA System},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {376--391},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_28},
  doi          = {10.1007/978-3-030-44534-8\_28},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/UllahAA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WijesunderaSLPS20,
  author       = {Deshya Wijesundera and
                  Kushagra Shah and
                  Kisaru Liyanage and
                  Alok Prakash and
                  Thambipillai Srikanthan and
                  Thilina Perera},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Technique for Vendor and Device Agnostic Hardware Area-Time Estimation},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {166--177},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_13},
  doi          = {10.1007/978-3-030-44534-8\_13},
  timestamp    = {Thu, 26 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WijesunderaSLPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YuB20,
  author       = {Zhewen Yu and
                  Christos{-}Savvas Bouganis},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {A Parameterisable FPGA-Tailored Architecture for YOLOv3-Tiny},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {330--344},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_25},
  doi          = {10.1007/978-3-030-44534-8\_25},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YuB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZhanbolatovVDF20,
  author       = {Arshyn Zhanbolatov and
                  Kizheppatt Vipin and
                  Aresh Dadlani and
                  Dmitriy Fedorov},
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {StocNoC: Accelerating Stochastic Models Through Reconfigurable Network
                  on Chip Architectures},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  pages        = {361--375},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8\_27},
  doi          = {10.1007/978-3-030-44534-8\_27},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZhanbolatovVDF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2020,
  editor       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Hayden Kwok{-}Hay So and
                  Pedro C. Diniz and
                  Juli{\'{a}}n Caba},
  title        = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 16th International Symposium, {ARC} 2020, Toledo, Spain, April 1-3,
                  2020, Proceedings [postponed]},
  series       = {Lecture Notes in Computer Science},
  volume       = {12083},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44534-8},
  doi          = {10.1007/978-3-030-44534-8},
  isbn         = {978-3-030-44533-1},
  timestamp    = {Thu, 26 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/0002FSWH19,
  author       = {Andr{\'{e}} Werner and
                  Florian Fricke and
                  Keyvan Shahin and
                  Florian Werner and
                  Michael H{\"{u}}bner},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Automatic Toolflow for {VCGRA} Generation to Enable {CGRA} Evaluation
                  for Arithmetic Algorithms},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {277--291},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_20},
  doi          = {10.1007/978-3-030-17227-5\_20},
  timestamp    = {Fri, 01 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/0002FSWH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AhmedWP19,
  author       = {Qazi Arbab Ahmed and
                  Tobias Wiersema and
                  Marco Platzner},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Proof-Carrying Hardware Versus the Stealthy Malicious {LUT} Hardware
                  Trojan},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {127--136},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_10},
  doi          = {10.1007/978-3-030-17227-5\_10},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AhmedWP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BoikosB19,
  author       = {Konstantinos Boikos and
                  Christos{-}Savvas Bouganis},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {A Scalable FPGA-Based Architecture for Depth Estimation in {SLAM}},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {181--196},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_14},
  doi          = {10.1007/978-3-030-17227-5\_14},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BoikosB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BozzoliS19,
  author       = {Ludovica Bozzoli and
                  Luca Sterpone},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {ReM: {A} Reconfigurable Multipotent Cell for New Distributed Reconfigurable
                  Architectures},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {295--304},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_21},
  doi          = {10.1007/978-3-030-17227-5\_21},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BozzoliS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CiarachKPK19,
  author       = {Piotr Ciarach and
                  Marcin Kowalczyk and
                  Dominika Przewlocka and
                  Tomasz Kryjak},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Real-Time {FPGA} Implementation of Connected Component Labelling for
                  a 4K Video Stream},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {165--180},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_13},
  doi          = {10.1007/978-3-030-17227-5\_13},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CiarachKPK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CruzDN19,
  author       = {Helena Cruz and
                  Rui Policarpo Duarte and
                  Hor{\'{a}}cio C. Neto},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Fault-Tolerant Architecture for On-board Dual-Core Synthetic-Aperture
                  Radar Imaging},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {3--16},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_1},
  doi          = {10.1007/978-3-030-17227-5\_1},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CruzDN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DorrSSBB19,
  author       = {Tobias D{\"{o}}rr and
                  Timo Sandmann and
                  Florian Schade and
                  Falco K. Bapp and
                  J{\"{u}}rgen Becker},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Leveraging the Partial Reconfiguration Capability of FPGAs for Processor-Based
                  Fail-Operational Systems},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {96--111},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_8},
  doi          = {10.1007/978-3-030-17227-5\_8},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DorrSSBB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FerreiraC19,
  author       = {Afonso Canas Ferreira and
                  Jo{\~{a}}o M. P. Cardoso},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Graph-Based Code Restructuring Targeting {HLS} for FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {230--244},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_17},
  doi          = {10.1007/978-3-030-17227-5\_17},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FerreiraC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GoncalvesPV19,
  author       = {Ana Gon{\c{c}}alves and
                  Tiago Peres and
                  M{\'{a}}rio P. V{\'{e}}stias},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Exploring Data Size to Run Convolutional Neural Networks in Low Density
                  FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {387--401},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_27},
  doi          = {10.1007/978-3-030-17227-5\_27},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GoncalvesPV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JinF19,
  author       = {Zheming Jin and
                  Hal Finkel},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Evaluating {LULESH} Kernels on OpenCL {FPGA}},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {199--213},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_15},
  doi          = {10.1007/978-3-030-17227-5\_15},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JinF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KalmsPG19,
  author       = {Lester Kalms and
                  Ariel Podlubne and
                  Diana G{\"{o}}hringer},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {HiFlipVX: An Open Source High-Level Synthesis {FPGA} Library for Image
                  Processing},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {149--164},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_12},
  doi          = {10.1007/978-3-030-17227-5\_12},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KalmsPG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KhanSPNB19,
  author       = {Nadir Khan and
                  Arthur Silitonga and
                  Brian Pachideh and
                  Sven Nitzsche and
                  J{\"{u}}rgen Becker},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Secure Local Configuration of Intellectual Property Without a Trusted
                  Third Party},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {137--146},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_11},
  doi          = {10.1007/978-3-030-17227-5\_11},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KhanSPNB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Knittel19,
  author       = {G{\"{u}}nter Knittel},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {A Novel Encoder for TDCs},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {48--57},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_4},
  doi          = {10.1007/978-3-030-17227-5\_4},
  timestamp    = {Tue, 02 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Knittel19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KorinthHH019,
  author       = {Jens Korinth and
                  Jaco A. Hofmann and
                  Carsten Heinz and
                  Andreas Koch},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {The TaPaSCo Open-Source Toolflow for the Automated Composition of
                  Task-Based Parallel Reconfigurable Computing Systems},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {214--229},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_16},
  doi          = {10.1007/978-3-030-17227-5\_16},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/KorinthHH019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LimaSMABC19,
  author       = {Jo{\~{a}}o Paulo C. de Lima and
                  Paulo C. Santos and
                  Rafael Fao de Moura and
                  Marco A. Z. Alves and
                  Antonio C. S. Beck and
                  Luigi Carro},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Exploiting Reconfigurable Vector Processing for Energy-Efficient Computation
                  in 3D-Stacked Memories},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {262--276},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_19},
  doi          = {10.1007/978-3-030-17227-5\_19},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LimaSMABC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LiuCWL19,
  author       = {Shuanglong Liu and
                  Ringo S. W. Chu and
                  Xiwei Wang and
                  Wayne Luk},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Optimizing CNN-Based Hyperspectral Image Classification on FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {17--31},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_2},
  doi          = {10.1007/978-3-030-17227-5\_2},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LiuCWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MasingLB19,
  author       = {Leonard Masing and
                  Fabian Lesniak and
                  J{\"{u}}rgen Becker},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Hybrid Prototyping for Manycore Design and Validation},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {319--333},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_23},
  doi          = {10.1007/978-3-030-17227-5\_23},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MasingLB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MinhasWK19,
  author       = {Umar Ibrahim Minhas and
                  Roger F. Woods and
                  Georgios Karakonstantis},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Evaluation of {FPGA} Partitioning Schemes for Time and Space Sharing
                  of Heterogeneous Tasks},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {334--349},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_24},
  doi          = {10.1007/978-3-030-17227-5\_24},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MinhasWK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MousouliotisP19,
  author       = {Panagiotis G. Mousouliotis and
                  Loukas P. Petrou},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Software-Defined {FPGA} Accelerator Design for Mobile Deep Learning
                  Applications},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {68--77},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_6},
  doi          = {10.1007/978-3-030-17227-5\_6},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MousouliotisP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Nelson19,
  author       = {Brent E. Nelson},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Third Party {CAD} Tools for {FPGA} Design - {A} Survey of the Current
                  Landscape},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {353--367},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_25},
  doi          = {10.1007/978-3-030-17227-5\_25},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Nelson19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PeltenburgSBHA19,
  author       = {Johan Peltenburg and
                  Jeroen van Straten and
                  Matthijs Brobbel and
                  H. Peter Hofstee and
                  Zaid Al{-}Ars},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Supporting Columnar In-memory Formats on {FPGA:} The Hardware Design
                  of Fletcher for Apache Arrow},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {32--47},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_3},
  doi          = {10.1007/978-3-030-17227-5\_3},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PeltenburgSBHA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PeresGV19,
  author       = {Tiago Peres and
                  Ana Gon{\c{c}}alves and
                  M{\'{a}}rio P. V{\'{e}}stias},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Faster Convolutional Neural Networks in Low Density FPGAs Using Block
                  Pruning},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {402--416},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_28},
  doi          = {10.1007/978-3-030-17227-5\_28},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PeresGV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RohdeJH19,
  author       = {Johanna Rohde and
                  Lukas Johannes Jung and
                  Christian Hochberger},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Update or Invalidate: Influence of Coherence Protocols on Configurable
                  {HW} Accelerators},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {305--316},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_22},
  doi          = {10.1007/978-3-030-17227-5\_22},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RohdeJH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SchmitzUGD19,
  author       = {Kenneth Schmitz and
                  Buse Ustaoglu and
                  Daniel Gro{\ss}e and
                  Rolf Drechsler},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {(ReCo)Fuse Your {PRC} or Lose Security: Finally Reliable Reconfiguration-Based
                  Countermeasures on FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {112--126},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_9},
  doi          = {10.1007/978-3-030-17227-5\_9},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SchmitzUGD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ShimodaSN19,
  author       = {Masayuki Shimoda and
                  Youki Sada and
                  Hiroki Nakahara},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Filter-Wise Pruning Approach to {FPGA} Implementation of Fully Convolutional
                  Network for Semantic Segmentation},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {371--386},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_26},
  doi          = {10.1007/978-3-030-17227-5\_26},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ShimodaSN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SilvaBT19,
  author       = {Bruno da Silva and
                  An Braeken and
                  Abdellah Touhafi},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Probabilistic Performance Modelling when Using Partial Reconfiguration
                  to Accelerate Streaming Applications with Non-deterministic Task Scheduling},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {81--95},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_7},
  doi          = {10.1007/978-3-030-17227-5\_7},
  timestamp    = {Tue, 16 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/SilvaBT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WolfRH0019,
  author       = {Dennis Wolf and
                  Tajas Ruschke and
                  Christian Hochberger and
                  Andreas Engel and
                  Andreas Koch},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {UltraSynth: Integration of a {CGRA} into a Control Engineering Environment},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {247--261},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_18},
  doi          = {10.1007/978-3-030-17227-5\_18},
  timestamp    = {Fri, 13 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WolfRH0019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZhaoOIY19,
  author       = {Qian Zhao and
                  Yoshimasa Ohnishi and
                  Masahiro Iida and
                  Takaichi Yoshida},
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {A Resource Reduced Application-Specific {FPGA} Switch},
  booktitle    = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  pages        = {58--67},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5\_5},
  doi          = {10.1007/978-3-030-17227-5\_5},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZhaoOIY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2019,
  editor       = {Christian Hochberger and
                  Brent Nelson and
                  Andreas Koch and
                  Roger F. Woods and
                  Pedro C. Diniz},
  title        = {Applied Reconfigurable Computing - 15th International Symposium, {ARC}
                  2019, Darmstadt, Germany, April 9-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11444},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-17227-5},
  doi          = {10.1007/978-3-030-17227-5},
  isbn         = {978-3-030-17226-8},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AbdoalnasirPD18,
  author       = {Almabrok Abdoalnasir and
                  Mihalis Psarakis and
                  Anastasios I. Dounis},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {An Efficient {FPGA} Implementation of the Big Bang-Big Crunch Optimization
                  Algorithm},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {166--177},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_14},
  doi          = {10.1007/978-3-319-78890-6\_14},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/AbdoalnasirPD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Afsharmazayejani18,
  author       = {Raheel Afsharmazayejani and
                  Fahimeh Yazdanpanah and
                  Amin Rezaei and
                  Mohammad Alaei and
                  Masoud Daneshtalab},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {HoneyWiN: Novel Honeycomb-Based Wireless NoC Architecture in Many-Core
                  Era},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {304--316},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_25},
  doi          = {10.1007/978-3-319-78890-6\_25},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Afsharmazayejani18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AlefragisTKVGGV18,
  author       = {Panayiotis Alefragis and
                  George Theodoridis and
                  Merkourios Katsimpris and
                  Christos Valouxis and
                  Christos Gogos and
                  George Goulas and
                  Nikolaos S. Voros and
                  Simon Reder and
                  Koray Kasnakli and
                  Marcus Bednara and
                  David M{\"{u}}ller and
                  Umut Durak and
                  J{\"{u}}rgen Becker},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Mapping and Scheduling Hard Real Time Applications on Multicore Systems
                  - The {ARGO} Approach},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {700--711},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_56},
  doi          = {10.1007/978-3-319-78890-6\_56},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AlefragisTKVGGV18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AntonopoulosAPV18,
  author       = {Christos P. Antonopoulos and
                  Konstantinos Antonopoulos and
                  Christos Panagiotou and
                  Nikolaos S. Voros},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Tackling Wireless Sensor Network Heterogeneity Through Novel Reconfigurable
                  Gateway Approach},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {269--280},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_22},
  doi          = {10.1007/978-3-319-78890-6\_22},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AntonopoulosAPV18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AntonopoulosKVH18,
  author       = {Christos P. Antonopoulos and
                  Georgios Keramidas and
                  Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Fynn Schwiegelshohn and
                  Diana Goehringer and
                  Maria Dagioglou and
                  Georgios Stavrinos and
                  Stasinos Konstantopoulos and
                  Vangelis Karkaletsis},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Robots in Assisted Living Environments as an Unobtrusive, Efficient,
                  Reliable and Modular Solution for Independent Ageing: The {RADIO}
                  Experience},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {712--723},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_57},
  doi          = {10.1007/978-3-319-78890-6\_57},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AntonopoulosKVH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BeckerB18,
  author       = {J{\"{u}}rgen Becker and
                  Falco K. Bapp},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {The ARAMiS Project Initiative - Multicore Systems in Safety- and Mixed-Critical
                  Applications},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {685--699},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_55},
  doi          = {10.1007/978-3-319-78890-6\_55},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BeckerB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BeckerSBJWCB18,
  author       = {Pedro Henrique Exenberger Becker and
                  Anderson Luiz Sartor and
                  Marcelo Brandalero and
                  Tiago Trevisan Jost and
                  Stephan Wong and
                  Luigi Carro and
                  Antonio C. S. Beck},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {A Low-Cost BRAM-Based Function Reuse for Configurable Soft-Core Processors
                  in FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {499--510},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_40},
  doi          = {10.1007/978-3-319-78890-6\_40},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BeckerSBJWCB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BenevenutiK18,
  author       = {Fabio Benevenuti and
                  Fernanda Lima Kastensmidt},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Analyzing {AXI} Streaming Interface for Hardware Acceleration in AP-SoC
                  Under Soft Errors},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {243--254},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_20},
  doi          = {10.1007/978-3-319-78890-6\_20},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BenevenutiK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BhowmikA18,
  author       = {Deepayan Bhowmik and
                  Kofi Appiah},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Embedded Vision Systems: {A} Review of the Literature},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {204--216},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_17},
  doi          = {10.1007/978-3-319-78890-6\_17},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BhowmikA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CabaCRDL18,
  author       = {Juli{\'{a}}n Caba and
                  Jo{\~{a}}o M. P. Cardoso and
                  Fernando Rinc{\'{o}}n and
                  Julio Dondo and
                  Juan Carlos L{\'{o}}pez},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Rapid Prototyping and Verification of Hardware Modules Generated Using
                  {HLS}},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {446--458},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_36},
  doi          = {10.1007/978-3-319-78890-6\_36},
  timestamp    = {Wed, 01 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CabaCRDL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ErichsenSSPWB18,
  author       = {Augusto G. Erichsen and
                  Anderson Luiz Sartor and
                  Jeckson Dellagostin Souza and
                  Monica Magalh{\~{a}}es Pereira and
                  Stephan Wong and
                  Antonio C. S. Beck},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {{ISA-DTMR:} Selective Protection in Configurable Heterogeneous Multicores},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {231--242},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_19},
  doi          = {10.1007/978-3-319-78890-6\_19},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ErichsenSSPWB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FerreiraFH18,
  author       = {M{\'{a}}rio Lopes Ferreira and
                  Jo{\~{a}}o Canas Ferreira and
                  Michael H{\"{u}}bner},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {A Parallel-Pipelined {OFDM} Baseband Modulator with Dynamic Frequency
                  Scaling for 5G Systems},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {511--522},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_41},
  doi          = {10.1007/978-3-319-78890-6\_41},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FerreiraFH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FrickeWSH18,
  author       = {Florian Fricke and
                  Andr{\'{e}} Werner and
                  Keyvan Shahin and
                  Michael H{\"{u}}bner},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {{CGRA} Tool Flow for Fast Run-Time Reconfiguration},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {661--672},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_53},
  doi          = {10.1007/978-3-319-78890-6\_53},
  timestamp    = {Fri, 01 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FrickeWSH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FukudaI18,
  author       = {Masahiro Fukuda and
                  Yasushi Inoguchi},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {FPGA-Based Parallel Pattern Matching},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {192--203},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_16},
  doi          = {10.1007/978-3-319-78890-6\_16},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FukudaI18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GarciaBWSM18,
  author       = {Paulo Garcia and
                  Deepayan Bhowmik and
                  Andrew M. Wallace and
                  Robert J. Stewart and
                  Greg Michaelson},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Area-Energy Aware Dataflow Optimisation of Visual Tracking Systems},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {523--536},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_42},
  doi          = {10.1007/978-3-319-78890-6\_42},
  timestamp    = {Mon, 01 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GarciaBWSM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GeorgopoulosMTN18,
  author       = {Konstantinos Georgopoulos and
                  Pavlos Malakonakis and
                  Nikolaos Tampouratzis and
                  Antonis Nikitakis and
                  Grigorios Chrysos and
                  Apostolos Dollas and
                  Dionysios N. Pnevmatikatos and
                  Ioannis Papaefstathiou},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Comparing {C} and SystemC Based {HLS} Methods for Reconfigurable Systems
                  Design},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {459--470},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_37},
  doi          = {10.1007/978-3-319-78890-6\_37},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/GeorgopoulosMTN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GuoFZLWL18,
  author       = {Zhenhua Guo and
                  Baoyu Fan and
                  Yaqian Zhao and
                  Xuelei Li and
                  Shixin Wei and
                  Long Li},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {An OpenCLTM Implementation of WebP Accelerator on FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {578--589},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_46},
  doi          = {10.1007/978-3-319-78890-6\_46},
  timestamp    = {Tue, 12 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GuoFZLWL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HansmeierPA18,
  author       = {Tim Hansmeier and
                  Marco Platzner and
                  David Andrews},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {An FPGA/HMC-Based Accelerator for Resolution Proof Checking},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {153--165},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_13},
  doi          = {10.1007/978-3-319-78890-6\_13},
  timestamp    = {Wed, 14 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HansmeierPA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Heid0H18,
  author       = {Kris Heid and
                  Jakob Wenzel and
                  Christian Hochberger},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Fast {DSE} for Automated Parallelization of Embedded Legacy Applications},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {471--484},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_38},
  doi          = {10.1007/978-3-319-78890-6\_38},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Heid0H18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HerathPS18,
  author       = {Kalindu Herath and
                  Alok Prakash and
                  Thambipillai Srikanthan},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Performance Estimation of {FPGA} Modules for Modular Design Methodology
                  Using Artificial Neural Network},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {105--118},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_9},
  doi          = {10.1007/978-3-319-78890-6\_9},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HerathPS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HironakaDA18,
  author       = {Kazuei Hironaka and
                  Ng. Anh Vu Doan and
                  Hideharu Amano},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Towards an Optimized Multi {FPGA} Architecture with {STDM} Network:
                  {A} Preliminary Study},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {142--150},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_12},
  doi          = {10.1007/978-3-319-78890-6\_12},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HironakaDA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HoppeKB18,
  author       = {Augusto W. Hoppe and
                  Fernanda Lima Kastensmidt and
                  J{\"{u}}rgen Becker},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Control Flow Analysis for Embedded Multi-core Hybrid Systems},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {485--496},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_39},
  doi          = {10.1007/978-3-319-78890-6\_39},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HoppeKB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JanssenKWH18,
  author       = {Benedikt Jan{\ss}en and
                  Florian K{\"{a}}stner and
                  Tim Wingender and
                  Michael H{\"{u}}bner},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {A Dynamic Partial Reconfigurable Overlay Framework for Python},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {331--342},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_27},
  doi          = {10.1007/978-3-319-78890-6\_27},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JanssenKWH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JungH18,
  author       = {Lukas Johannes Jung and
                  Christian Hochberger},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Lookahead Memory Prefetching for CGRAs Using Partial Loop Unrolling},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {93--104},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_8},
  doi          = {10.1007/978-3-319-78890-6\_8},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JungH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KachrisSKS18,
  author       = {Christoforos Kachris and
                  Ioannis Stamelos and
                  Elias Koromilas and
                  Dimitrios Soudris},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Seamless {FPGA} Deployment over Spark in Cloud Computing: {A} Use
                  Case on Machine Learning Hardware Acceleration},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {673--684},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_54},
  doi          = {10.1007/978-3-319-78890-6\_54},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KachrisSKS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KatsantonisKS18,
  author       = {Konstantinos Katsantonis and
                  Christoforos Kachris and
                  Dimitrios Soudris},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Efficient Hardware Acceleration of Recommendation Engines: {A} Use
                  Case on Collaborative Filtering},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {67--78},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_6},
  doi          = {10.1007/978-3-319-78890-6\_6},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KatsantonisKS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KhanKG18,
  author       = {Habib ul Hasan Khan and
                  Ahmed Kamal and
                  Diana Goehringer},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {An Intrusive Dynamic Reconfigurable Cycle-Accurate Debugging System
                  for Embedded Processors},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {433--445},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_35},
  doi          = {10.1007/978-3-319-78890-6\_35},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KhanKG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KhanPBK18,
  author       = {Sikandar Khan and
                  Kyprianos Papadimitriou and
                  Giorgio C. Buttazzo and
                  Kostas Kalaitzakis},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {A Reconfigurable {PID} Controller},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {392--403},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_32},
  doi          = {10.1007/978-3-319-78890-6\_32},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KhanPBK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KimP18,
  author       = {Junsik Kim and
                  Jaehyun Park},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {FPGA-Based Memory Efficient Shift-And Algorithm for Regular Expression
                  Matching},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {132--141},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_11},
  doi          = {10.1007/978-3-319-78890-6\_11},
  timestamp    = {Tue, 07 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KimP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LiebigOS018,
  author       = {Bj{\"{o}}rn Liebig and
                  Julian Oppermann and
                  Oliver Sinnen and
                  Andreas Koch},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Improved High-Level Synthesis for Complex CellML Models},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {420--432},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_34},
  doi          = {10.1007/978-3-319-78890-6\_34},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/LiebigOS018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LittlewoodMR18,
  author       = {Peter Littlewood and
                  Shahnam Mirzaei and
                  Krishna Murthy Kattiyan Ramamoorthy},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Reconfigurable IP-Based Spectral Interference Canceller},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {627--639},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_50},
  doi          = {10.1007/978-3-319-78890-6\_50},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LittlewoodMR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MalakonakisGILP18,
  author       = {Pavlos Malakonakis and
                  Konstantinos Georgopoulos and
                  Aggelos Ioannou and
                  Luciano Lavagno and
                  Ioannis Papaefstathiou and
                  Iakovos Mavroidis},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {{HLS} Algorithmic Explorations for {HPC} Execution on Reconfigurable
                  Hardware - {ECOSCALE}},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {724--736},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_58},
  doi          = {10.1007/978-3-319-78890-6\_58},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MalakonakisGILP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MerchantVCRNN18,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Achieving Efficient Realization of Kalman Filter on {CGRA} Through
                  Algorithm-Architecture Co-design},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {119--131},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_10},
  doi          = {10.1007/978-3-319-78890-6\_10},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MerchantVCRNN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MinhasWK18,
  author       = {Umar Ibrahim Minhas and
                  Roger F. Woods and
                  George Karakonstantis},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Exploring Functional Acceleration of OpenCL on FPGAs and GPUs Through
                  Platform-Independent Optimizations},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {551--563},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_44},
  doi          = {10.1007/978-3-319-78890-6\_44},
  timestamp    = {Fri, 13 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MinhasWK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MouraJBR18,
  author       = {Rafael F{\~{a}}o de Moura and
                  Michael Guilherme Jordan and
                  Antonio Carlos Schneider Beck and
                  Mateus Beck Rutzig},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Exploiting Partial Reconfiguration on a Dynamic Coarse Grained Reconfigurable
                  Architecture},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {355--366},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_29},
  doi          = {10.1007/978-3-319-78890-6\_29},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MouraJBR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MousouliotisP18,
  author       = {Panagiotis G. Mousouliotis and
                  Loukas P. Petrou},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {SqueezeJet: High-Level Synthesis Accelerator Design for Deep Convolutional
                  Neural Networks},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {55--66},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_5},
  doi          = {10.1007/978-3-319-78890-6\_5},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MousouliotisP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MushaKA18,
  author       = {Kazusa Musha and
                  Tomohiro Kudoh and
                  Hideharu Amano},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Deep Learning on High Performance {FPGA} Switching Boards: Flow-in-Cloud},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {43--54},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_4},
  doi          = {10.1007/978-3-319-78890-6\_4},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MushaKA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NatarajanKAPN18,
  author       = {Santhi Natarajan and
                  N. Krishna Kumar and
                  H. V. Anuchan and
                  Debnath Pal and
                  S. K. Nandy},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {ReneGENE-Novo: Co-designed Algorithm-Architecture for Accelerated
                  Preprocessing and Assembly of Genomic Short Reads},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {564--577},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_45},
  doi          = {10.1007/978-3-319-78890-6\_45},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NatarajanKAPN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NatarajanKPN18,
  author       = {Santhi Natarajan and
                  N. Krishna Kumar and
                  Debnath Pal and
                  S. K. Nandy},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {ReneGENE-GI: Empowering Precision Genomics with FPGAs on HPCs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {178--191},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_15},
  doi          = {10.1007/978-3-319-78890-6\_15},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NatarajanKPN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NavarroH18,
  author       = {Osvaldo Navarro and
                  Michael H{\"{u}}bner},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Runtime Adaptive Cache for the {LEON3} Processor},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {343--354},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_28},
  doi          = {10.1007/978-3-319-78890-6\_28},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NavarroH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Ofori-AttahWA18,
  author       = {Emmanuel Ofori{-}Attah and
                  Xiaohang Wang and
                  Michael Opoku Agyeman},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {A Survey of Low Power Design Techniques for Last Level Caches},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {217--228},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_18},
  doi          = {10.1007/978-3-319-78890-6\_18},
  timestamp    = {Tue, 25 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Ofori-AttahWA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PalchaudhuriD18,
  author       = {Ayan Palchaudhuri and
                  Anindya Sundar Dhar},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Fast Carry Chain Based Architectures for Two's Complement to {CSD}
                  Recoding on FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {537--550},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_43},
  doi          = {10.1007/978-3-319-78890-6\_43},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PalchaudhuriD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ParelkarJ18,
  author       = {Milind Parelkar and
                  Darshan Jetly},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {High Performance {UDP/IP} 40Gb Ethernet Stack for FPGAs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {255--268},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_21},
  doi          = {10.1007/978-3-319-78890-6\_21},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ParelkarJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PfauFBB18,
  author       = {Johannes Pfau and
                  Shalina Percy Delicia Figuli and
                  Steffen B{\"{a}}hr and
                  J{\"{u}}rgen Becker},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Reconfigurable FPGA-Based Channelization Using Polyphase Filter Banks
                  for Quantum Computing Systems},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {615--626},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_49},
  doi          = {10.1007/978-3-319-78890-6\_49},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PfauFBB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PiszczekJK18,
  author       = {Kamil Piszczek and
                  Piotr Janus and
                  Tomasz Kryjak},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {The Use of {HACP+SBT} Lossless Compression in Optimizing Memory Bandwidth
                  Requirement for Hardware Implementation of Background Modelling Algorithms},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {379--391},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_31},
  doi          = {10.1007/978-3-319-78890-6\_31},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PiszczekJK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PyrgasK18,
  author       = {Lampros Pyrgas and
                  Paris Kitsos},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {A Hybrid {FPGA} Trojan Detection Technique Based-on Combinatorial
                  Testing and On-chip Sensing},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {294--303},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_24},
  doi          = {10.1007/978-3-319-78890-6\_24},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PyrgasK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RettkowskiG18,
  author       = {Jens Rettkowski and
                  Diana Goehringer},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {High-Level Synthesis of Software-Defined MPSoCs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {407--419},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_33},
  doi          = {10.1007/978-3-319-78890-6\_33},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RettkowskiG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RizakisVKB18,
  author       = {Michalis Rizakis and
                  Stylianos I. Venieris and
                  Alexandros Kouris and
                  Christos{-}Savvas Bouganis},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Approximate FPGA-Based LSTMs Under Computation Time Constraints},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {3--15},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_1},
  doi          = {10.1007/978-3-319-78890-6\_1},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RizakisVKB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RodriguesOKB18,
  author       = {Gennaro Severino Rodrigues and
                  {\'{A}}dria Barros de Oliveira and
                  Fernanda Lima Kastensmidt and
                  Alberto Bosio},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Analyzing the Use of Taylor Series Approximation in Hardware and Embedded
                  Software for Good Cost-Accuracy Tradeoffs},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {647--658},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_52},
  doi          = {10.1007/978-3-319-78890-6\_52},
  timestamp    = {Tue, 10 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RodriguesOKB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SadekMKDPPGJ18,
  author       = {Ahmad Sadek and
                  Ananya Muddukrishna and
                  Lester Kalms and
                  Asbj{\o}rn Djupdal and
                  Ariel Podlubne and
                  Antonio Paolillo and
                  Diana Goehringer and
                  Magnus Jahre},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Supporting Utilities for Heterogeneous Embedded Image Processing Platforms
                  {(STHEM):} An Overview},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {737--749},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_59},
  doi          = {10.1007/978-3-319-78890-6\_59},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SadekMKDPPGJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SezenlikSA18,
  author       = {Oguzhan Sezenlik and
                  Sebastian Sch{\"{u}}ller and
                  Joachim K. Anlauf},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {VerCoLib: Fast and Versatile Communication for FPGAs via {PCI} Express},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {81--92},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_7},
  doi          = {10.1007/978-3-319-78890-6\_7},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SezenlikSA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SharifM18,
  author       = {Uzaif Sharif and
                  Shahnam Mirzaei},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {High Level Synthesis Implementation of Object Tracking Algorithm on
                  Reconfigurable Hardware},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {603--614},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_48},
  doi          = {10.1007/978-3-319-78890-6\_48},
  timestamp    = {Thu, 26 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SharifM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SilvaSBST18,
  author       = {Bruno da Silva and
                  Laurent Segers and
                  An Braeken and
                  Kris Steenhaut and
                  Abdellah Touhafi},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {A Low-Power FPGA-Based Architecture for Microphone Arrays in Wireless
                  Sensor Networks},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {281--293},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_23},
  doi          = {10.1007/978-3-319-78890-6\_23},
  timestamp    = {Tue, 16 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/SilvaSBST18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SouzaSCRWB18,
  author       = {Jeckson Dellagostin Souza and
                  Anderson Luiz Sartor and
                  Luigi Carro and
                  Mateus Beck Rutzig and
                  Stephan Wong and
                  Antonio C. S. Beck},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {{DIM-VEX:} Exploiting Design Time Configurability and Runtime Reconfigurability},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {367--378},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_30},
  doi          = {10.1007/978-3-319-78890-6\_30},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SouzaSCRWB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SterponeB18,
  author       = {Luca Sterpone and
                  Ludovica Bozzoli},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Fast Partial Reconfiguration on SRAM-Based FPGAs: {A} Frame-Driven
                  Routing Approach},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {319--330},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_26},
  doi          = {10.1007/978-3-319-78890-6\_26},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SterponeB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SuFGBDTLC18,
  author       = {Jiang Su and
                  Nicholas J. Fraser and
                  Giulio Gambardella and
                  Michaela Blott and
                  Gianluca Durelli and
                  David B. Thomas and
                  Philip Heng Wai Leong and
                  Peter Y. K. Cheung},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Accuracy to Throughput Trade-Offs for Reduced Precision Neural Networks
                  on Reconfigurable Logic},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {29--42},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_3},
  doi          = {10.1007/978-3-319-78890-6\_3},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SuFGBDTLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SuFLZTLC18,
  author       = {Jiang Su and
                  Julian Faraone and
                  Junyi Liu and
                  Yiren Zhao and
                  David B. Thomas and
                  Philip Heng Wai Leong and
                  Peter Y. K. Cheung},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Redundancy-Reduced MobileNet Acceleration on Reconfigurable Logic
                  for ImageNet Classification},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {16--28},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_2},
  doi          = {10.1007/978-3-319-78890-6\_2},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SuFLZTLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TzanisPBB18,
  author       = {Nikolaos Tzanis and
                  Grigorios Proiskos and
                  Michael K. Birbas and
                  Alexios N. Birbas},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {FPGA-Assisted Distribution Grid Simulator},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {640--646},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_51},
  doi          = {10.1007/978-3-319-78890-6\_51},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TzanisPBB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VuNN18,
  author       = {Hoang Gia Vu and
                  Takashi Nakada and
                  Yasuhiko Nakashima},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Efficient Multitasking on {FPGA} Using HDL-Based Checkpointing},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {590--602},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_47},
  doi          = {10.1007/978-3-319-78890-6\_47},
  timestamp    = {Sat, 05 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/VuNN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2018,
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6},
  doi          = {10.1007/978-3-319-78890-6},
  isbn         = {978-3-319-78889-0},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AliAFD17,
  author       = {Karim M. A. Ali and
                  Rabie Ben Atitallah and
                  Nizar Fakhfakh and
                  Jean{-}Luc Dekeyser},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Exploring {HLS} Optimizations for Efficient Stereo Matching Hardware
                  Implementation},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {168--176},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_15},
  doi          = {10.1007/978-3-319-56258-2\_15},
  timestamp    = {Tue, 14 May 2019 10:00:49 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AliAFD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AvelinoRHVAP17,
  author       = {{\'{A}}lvaro Avelino and
                  Valentin Obac Roda and
                  Naim Harb and
                  Carlos Valderrama and
                  Glauberto Albuquerque and
                  Paulo Da Cunha Possa},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {LP-P\({}^{\mbox{2}}\)IP: {A} Low-Power Version of P\({}^{\mbox{1}}\)IP
                  Architecture Using Partial Reconfiguration},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {16--27},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_2},
  doi          = {10.1007/978-3-319-56258-2\_2},
  timestamp    = {Sun, 03 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AvelinoRHVAP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BobdaMWKK17,
  author       = {Christophe Bobda and
                  Joshua Mead and
                  Taylor J. L. Whitaker and
                  Charles A. Kamhoua and
                  Kevin A. Kwiat},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Hardware Sandboxing: {A} Novel Defense Paradigm Against Hardware Trojans
                  in Systems on Chip},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {47--59},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_5},
  doi          = {10.1007/978-3-319-56258-2\_5},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BobdaMWKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BollengierLNLG17,
  author       = {Th{\'{e}}otime Bollengier and
                  Lo{\"{\i}}c Lagadec and
                  Mohamad Najem and
                  Jean{-}Christophe Le Lann and
                  Pierre Guilloux},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Soft Timing Closure for Soft Programmable Logic Cores: The ARGen Approach},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {93--105},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_9},
  doi          = {10.1007/978-3-319-56258-2\_9},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/BollengierLNLG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BouhaliSDBN17,
  author       = {Mustapha Bouhali and
                  Farid Shamani and
                  Zine Elabadine Dahmane and
                  Abdelkader Belaidi and
                  Jari Nurmi},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {{FPGA} Applications in Unmanned Aerial Vehicles - {A} Review},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {217--228},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_19},
  doi          = {10.1007/978-3-319-56258-2\_19},
  timestamp    = {Wed, 16 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/BouhaliSDBN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FiesslerLHS17,
  author       = {Andreas Fiessler and
                  Daniel Loebenberger and
                  Sven Hager and
                  Bj{\"{o}}rn Scheuermann},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {On the Use of (Non-)Cryptographic Hashes on FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {72--80},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_7},
  doi          = {10.1007/978-3-319-56258-2\_7},
  timestamp    = {Wed, 13 Dec 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/FiesslerLHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FiguliDFSSB17,
  author       = {Peter Figuli and
                  Weiqiao Ding and
                  Shalina Percy Delicia Figuli and
                  Kostas Siozios and
                  Dimitrios Soudris and
                  J{\"{u}}rgen Becker},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Parameter Sensitivity in Virtual {FPGA} Architectures},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {141--153},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_13},
  doi          = {10.1007/978-3-319-56258-2\_13},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FiguliDFSSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FujiiSNM17,
  author       = {Tomoya Fujii and
                  Simpei Sato and
                  Hiroki Nakahara and
                  Masato Motomura},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {An {FPGA} Realization of a Deep Convolutional Neural Network Using
                  a Threshold Neuron Pruning},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {268--280},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_23},
  doi          = {10.1007/978-3-319-56258-2\_23},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FujiiSNM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FunieGNLS17,
  author       = {Andreea{-}Ingrid Funie and
                  Liucheng Guo and
                  Xinyu Niu and
                  Wayne Luk and
                  Mark Salmon},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Custom Framework for Run-Time Trading Strategies},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {154--167},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_14},
  doi          = {10.1007/978-3-319-56258-2\_14},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FunieGNLS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GobelECMJ17,
  author       = {Matthias G{\"{o}}bel and
                  Ahmed Elhossini and
                  Chi Ching Chi and
                  Mauricio Alvarez{-}Mesa and
                  Ben H. H. Juurlink},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {A Quantitative Analysis of the Memory Architecture of FPGA-SoCs},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {241--252},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_21},
  doi          = {10.1007/978-3-319-56258-2\_21},
  timestamp    = {Fri, 29 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GobelECMJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GrigorasBANCXL17,
  author       = {Paul Grigoras and
                  Pavel Burovskiy and
                  James Arram and
                  Xinyu Niu and
                  Kit Cheung and
                  Junyi Xie and
                  Wayne Luk},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {dfesnippets: An Open-Source Library for Dataflow Acceleration on FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {299--310},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_26},
  doi          = {10.1007/978-3-319-56258-2\_26},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GrigorasBANCXL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HoozemansHSA17,
  author       = {Joost Hoozemans and
                  Rolf Heij and
                  Jeroen van Straten and
                  Zaid Al{-}Ars},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {VLIW-Based {FPGA} Computation Fabric with Streaming Memory Hierarchy
                  for Medical Imaging Applications},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {36--43},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_4},
  doi          = {10.1007/978-3-319-56258-2\_4},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HoozemansHSA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HuBGW17,
  author       = {Sensen Hu and
                  Anthony Brandon and
                  Qi Guo and
                  Yizhuo Wang},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Improving the Performance of Adaptive Cache in Reconfigurable {VLIW}
                  Processor},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {3--15},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_1},
  doi          = {10.1007/978-3-319-56258-2\_1},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HuBGW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JinJD17,
  author       = {Ruochun Jin and
                  Jingfei Jiang and
                  Yong Dou},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Accuracy Evaluation of Long Short Term Memory Network Based Language
                  Model with Fixed-Point Arithmetic},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {281--288},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_24},
  doi          = {10.1007/978-3-319-56258-2\_24},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JinJD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KhanG17,
  author       = {Habib ul Hasan Khan and
                  Diana G{\"{o}}hringer},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {{FPGA} Debugging with {MATLAB} Using a Rule-Based Inference System},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {106--117},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_10},
  doi          = {10.1007/978-3-319-56258-2\_10},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KhanG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KhatriHB17,
  author       = {Abdul Rafay Khatri and
                  Ali Hayek and
                  Josef B{\"{o}}rcs{\"{o}}k},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Hardness Analysis and Instrumentation of Verilog Gate Level Code for
                  FPGA-based Designs},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {118--128},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_11},
  doi          = {10.1007/978-3-319-56258-2\_11},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KhatriHB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MachetaDRW17,
  author       = {Jan Macheta and
                  Agnieszka Dabrowska{-}Boruch and
                  Pawel Russek and
                  Kazimierz Wiatr},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {ArPALib: {A} Big Number Arithmetic Library for Hardware and Software
                  Implementations. {A} Case Study for the Miller-Rabin Primality Test},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {323--330},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_28},
  doi          = {10.1007/978-3-319-56258-2\_28},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MachetaDRW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MorshediN17,
  author       = {Mostafa Morshedi and
                  Hamid Noori},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {{FPGA} Implementation of a Short Read Mapping Accelerator},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {289--296},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_25},
  doi          = {10.1007/978-3-319-56258-2\_25},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MorshediN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NavarroMHSH17,
  author       = {Osvaldo Navarro and
                  Jones Yudi Mori and
                  Javier Hoffmann and
                  Fabian Stuckmann and
                  Michael H{\"{u}}bner},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {A Machine Learning Methodology for Cache Recommendation},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {311--322},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_27},
  doi          = {10.1007/978-3-319-56258-2\_27},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NavarroMHSH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NguyenKKK17,
  author       = {Ngoc{-}Hung Nguyen and
                  Sheraz Ali Khan and
                  Cheol Hong Kim and
                  Jong{-}Myon Kim},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {An FPGA-Based Implementation of a Pipelined {FFT} Processor for High-Speed
                  Signal Processing Applications},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {81--89},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_8},
  doi          = {10.1007/978-3-319-56258-2\_8},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NguyenKKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OliveiraSAC17,
  author       = {Geraldo F. Oliveira and
                  Paulo C. Santos and
                  Marco A. Z. Alves and
                  Luigi Carro},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {{NIM:} An HMC-Based Machine for Neuron Computation},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {28--35},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_3},
  doi          = {10.1007/978-3-319-56258-2\_3},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/OliveiraSAC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OliveiraTK17,
  author       = {{\'{A}}dria Barros de Oliveira and
                  Lucas Antunes Tambara and
                  Fernanda Lima Kastensmidt},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Exploring Performance Overhead Versus Soft Error Detection in Lockstep
                  Dual-Core {ARM} Cortex-A9 Processor Embedded into Xilinx Zynq APSoC},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {189--201},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_17},
  doi          = {10.1007/978-3-319-56258-2\_17},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/OliveiraTK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PashaFAS17,
  author       = {Muhammad Adeel Pasha and
                  Umer Farooq and
                  Muhammad Ali and
                  Bilal Siddiqui},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {A Framework for High Level Simulation and Optimization of Coarse-Grained
                  Reconfigurable Architectures},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {129--137},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_12},
  doi          = {10.1007/978-3-319-56258-2\_12},
  timestamp    = {Fri, 03 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/PashaFAS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PetraglioWCGIT17,
  author       = {Enrico Petraglio and
                  Rick Wertenbroek and
                  Flavio Capitao and
                  Nicolas Guex and
                  Christian Iseli and
                  Yann Thoma},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Genomic Data Clustering on FPGAs for Compression},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {229--240},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_20},
  doi          = {10.1007/978-3-319-56258-2\_20},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PetraglioWCGIT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SantosTBTK17,
  author       = {Andr{\'{e}} Flores dos Santos and
                  Lucas Antunes Tambara and
                  Fabio Benevenuti and
                  Jorge L. Tonfat and
                  Fernanda Lima Kastensmidt},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Applying {TMR} in Hardware Accelerators Generated by High-Level Synthesis
                  Design Flow for Mitigating Multiple Bit Upsets in SRAM-Based FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {202--213},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_18},
  doi          = {10.1007/978-3-319-56258-2\_18},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SantosTBTK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SharmaDK17,
  author       = {Dimple Sharma and
                  Victor Dumitriu and
                  Lev Kirischian},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Architecture Reconfiguration as a Mechanism for Sustainable Performance
                  of Embedded Systems in case of Variations in Available Power},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {177--186},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_16},
  doi          = {10.1007/978-3-319-56258-2\_16},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SharmaDK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VossBMG17,
  author       = {Nils Voss and
                  Tobias Becker and
                  Oskar Mencer and
                  Georgi Gaydadjiev},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Rapid Development of Gzip with MaxJ},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {60--71},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_6},
  doi          = {10.1007/978-3-319-56258-2\_6},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/VossBMG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZhaoNWLL17,
  author       = {Ruizhe Zhao and
                  Xinyu Niu and
                  Yajie Wu and
                  Wayne Luk and
                  Qiang Liu},
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Optimizing CNN-Based Object Detection Algorithms on Embedded {FPGA}
                  Platforms},
  booktitle    = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  pages        = {255--267},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2\_22},
  doi          = {10.1007/978-3-319-56258-2\_22},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZhaoNWLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2017,
  editor       = {Stephan Wong and
                  Antonio Carlos Schneider Beck and
                  Koen Bertels and
                  Luigi Carro},
  title        = {Applied Reconfigurable Computing - 13th International Symposium, {ARC}
                  2017, Delft, The Netherlands, April 3-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10216},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-56258-2},
  doi          = {10.1007/978-3-319-56258-2},
  isbn         = {978-3-319-56257-5},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AbdellatifCFR16,
  author       = {Karim M. Abdellatif and
                  Christian Cornesse and
                  Jacques J. A. Fournier and
                  Bruno Robisson},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {New Partitioning Approach for Hardware Trojan Detection Using Side-Channel
                  Measurements},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {171--182},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_14},
  doi          = {10.1007/978-3-319-30481-6\_14},
  timestamp    = {Tue, 14 May 2019 10:00:49 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AbdellatifCFR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Aguilar-Gonzalez16,
  author       = {Abiel Aguilar{-}Gonz{\'{a}}lez and
                  Miguel O. Arias{-}Estrada},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {An {FPGA} Stereo Matching Processor Based on the Sum of Hamming Distances},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {66--77},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_6},
  doi          = {10.1007/978-3-319-30481-6\_6},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Aguilar-Gonzalez16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BappSSSB16,
  author       = {Falco K. Bapp and
                  Oliver Sander and
                  Timo Sandmann and
                  Hannes Stoll and
                  J{\"{u}}rgen Becker},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Programmable Logic as Device Virtualization Layer in Heterogeneous
                  Multicore Architectures},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {273--286},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_22},
  doi          = {10.1007/978-3-319-30481-6\_22},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BappSSSB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CoimbraL16,
  author       = {Vitor Coimbra and
                  Marcus Vinicius Lamar},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Design and Optimization of Digital Circuits by Artificial Evolution
                  Using Hybrid Multi Chromosome Cartesian Genetic Programming},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {195--206},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_16},
  doi          = {10.1007/978-3-319-30481-6\_16},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CoimbraL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DavisC16,
  author       = {James J. Davis and
                  Peter Y. K. Cheung},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Reduced-precision Algorithm-based Fault Tolerance for FPGA-implemented
                  Accelerators},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {361--368},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_31},
  doi          = {10.1007/978-3-319-30481-6\_31},
  timestamp    = {Sun, 19 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DavisC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FerreiraBF16,
  author       = {M{\'{a}}rio Lopes Ferreira and
                  Amin Barahimi and
                  Jo{\~{a}}o Canas Ferreira},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Reconfigurable FPGA-Based {FFT} Processor for Cognitive Radio Applications},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {223--232},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_18},
  doi          = {10.1007/978-3-319-30481-6\_18},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FerreiraBF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FriederichLB16,
  author       = {Stephanie Friederich and
                  Niclas Lehmann and
                  J{\"{u}}rgen Becker},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Adaptive Bandwidth Router for 3D Network-on-Chips},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {352--360},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_30},
  doi          = {10.1007/978-3-319-30481-6\_30},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FriederichLB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HabibG16,
  author       = {Bilal Habib and
                  Kris Gaj},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {A Comprehensive Set of Schemes for {PUF} Response Generation},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {183--194},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_15},
  doi          = {10.1007/978-3-319-30481-6\_15},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HabibG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HaubleinHRF16,
  author       = {Konrad H{\"{a}}ublein and
                  Christian Hartmann and
                  Marc Reichenbach and
                  Dietmar Fey},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Fast and Resource Aware Image Processing Operators Utilizing Highly
                  Configurable {IP} Blocks},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {303--311},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_24},
  doi          = {10.1007/978-3-319-30481-6\_24},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HaubleinHRF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KachrisSGNNBMST16,
  author       = {Christoforos Kachris and
                  Dimitrios Soudris and
                  Georgi Gaydadjiev and
                  Huy{-}Nam Nguyen and
                  Dimitrios S. Nikolopoulos and
                  Angelos Bilas and
                  Neil Morgan and
                  Christos Strydis and
                  Christos Tsalidis and
                  John Balafas and
                  Ricardo Jim{\'{e}}nez{-}Peris and
                  Alexandre Almeida},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {The {VINEYARD} Approach: Versatile, Integrated, Accelerator-Based,
                  Heterogeneous Data Centres},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {3--13},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_1},
  doi          = {10.1007/978-3-319-30481-6\_1},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KachrisSGNNBMST16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KellySBWWR16,
  author       = {Colm Kelly and
                  Fahad Manzoor Siddiqui and
                  Burak Bardak and
                  Yun Wu and
                  Roger F. Woods and
                  Karen Rafferty},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {{FPGA} Soft-Core Processors, Compiler and Hardware Optimizations Validated
                  Using {HOG}},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {78--90},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_7},
  doi          = {10.1007/978-3-319-30481-6\_7},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/KellySBWWR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KryjakGK16,
  author       = {Tomasz Kryjak and
                  Marek Gorgon and
                  Mateusz Komorkiewicz},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {An Efficient Hardware Architecture for Block Based Image Processing
                  Algorithms},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {54--65},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_5},
  doi          = {10.1007/978-3-319-30481-6\_5},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/KryjakGK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MariatosAV16,
  author       = {Evangelinos P. Mariatos and
                  Christos P. Antonopoulos and
                  Nikolaos S. Voros},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {{EEG} Feature Extraction Accelerator Enabling Long Term Epilepsy Monitoring
                  Based on Ultra Low Power WSNs},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {26--37},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_3},
  doi          = {10.1007/978-3-319-30481-6\_3},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MariatosAV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MohammadiRSN16,
  author       = {Mahnaz Mohammadi and
                  Rohit Ronge and
                  Sanjay S. Singapuram and
                  S. K. Nandy},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Performance Evaluation of Feed-Forward Backpropagation Neural Network
                  for Classification on a Reconfigurable Hardware Architecture},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {312--319},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_25},
  doi          = {10.1007/978-3-319-30481-6\_25},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MohammadiRSN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MoriKH16,
  author       = {Jones Yudi Mori and
                  Frederik Kautz and
                  Michael H{\"{u}}bner},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Efficient Camera Input System and Memory Partition for a Vision Soft-Processor},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {328--333},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_27},
  doi          = {10.1007/978-3-319-30481-6\_27},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MoriKH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MoriWSFH16,
  author       = {Jones Yudi Mori and
                  Andr{\'{e}} Werner and
                  Arij Shallufa and
                  Florian Fricke and
                  Michael H{\"{u}}bner},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {A Design Methodology for the Next Generation Real-Time Vision Processors},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {14--25},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_2},
  doi          = {10.1007/978-3-319-30481-6\_2},
  timestamp    = {Fri, 01 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MoriWSFH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Nunez-Yanez16,
  author       = {Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Computing to the Limit with Heterogeneous {CPU-FPGA} Devices in a
                  Video Fusion Application},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {41--53},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_4},
  doi          = {10.1007/978-3-319-30481-6\_4},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/Nunez-Yanez16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OishiHFSO16,
  author       = {Masahito Oishi and
                  Yoshiki Hayashida and
                  Ryo Fujita and
                  Yuichiro Shibata and
                  Kiyoshi Oguri},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {A Comparison of Machine Learning Classifiers for {FPGA} Implementation
                  of HOG-Based Human Detection},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {91--104},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_8},
  doi          = {10.1007/978-3-319-30481-6\_8},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/OishiHFSO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SantosNC16,
  author       = {Leonardo P. Santos and
                  Gabriel L. Nazar and
                  Luigi Carro},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Low Cost Dynamic Scrubbing for Real-Time Systems},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {144--156},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_12},
  doi          = {10.1007/978-3-319-30481-6\_12},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SantosNC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ShirakuraSSMTNM16,
  author       = {Yudai Shirakura and
                  Taisei Segawa and
                  Yuichiro Shibata and
                  Kenichi Morimoto and
                  Masaharu Tanaka and
                  Masanori Nobe and
                  Hidenori Maruta and
                  Fujio Kurokawa},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {A Redundant Design Approach with Diversity of {FPGA} Resource Mapping},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {119--131},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_10},
  doi          = {10.1007/978-3-319-30481-6\_10},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ShirakuraSSMTNM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SilvaLBT16,
  author       = {Bruno da Silva and
                  Jan Lemeire and
                  An Braeken and
                  Abdellah Touhafi},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {A Lost Cycles Analysis for Performance Prediction using High-Level
                  Synthesis},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {334--342},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_28},
  doi          = {10.1007/978-3-319-30481-6\_28},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SilvaLBT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SingapuraYPNNP16,
  author       = {Shreyas G. Singapura and
                  Yi{-}Hua E. Yang and
                  Anand V. Panangadan and
                  Tam{\'{a}}s N{\'{e}}meth and
                  Peter Ng and
                  Viktor K. Prasanna},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {FPGA-Based Acceleration of Pattern Matching in {YARA}},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {320--327},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_26},
  doi          = {10.1007/978-3-319-30481-6\_26},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SingapuraYPNNP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SouzaCCRB16,
  author       = {Jeckson Dellagostin Souza and
                  Jo{\~{a}}o Victor Gomes Cachola and
                  Luigi Carro and
                  Mateus Beck Rutzig and
                  Antonio Carlos Schneider Beck},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Evaluating Schedulers in a Reconfigurable Multicore Heterogeneous
                  System},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {261--272},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_21},
  doi          = {10.1007/978-3-319-30481-6\_21},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SouzaCCRB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SpiererU16,
  author       = {Arthur Spierer and
                  Andres Upegui},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Real-Time Audio Group Delay Correction with {FFT} Convolution on {FPGA}},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {233--244},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_19},
  doi          = {10.1007/978-3-319-30481-6\_19},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SpiererU16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SugimotoMSOFA16,
  author       = {Naru Sugimoto and
                  Takaaki Miyajima and
                  Ryotaro Sakai and
                  Yasunori Osana and
                  Naoyuki Fujita and
                  Hideharu Amano},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Zynq Cluster for {CFD} Parametric Survey},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {287--299},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_23},
  doi          = {10.1007/978-3-319-30481-6\_23},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SugimotoMSOFA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TahghighiSZ16,
  author       = {Mohammad Tahghighi and
                  Sharad Sinha and
                  Wei Zhang},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Analytical Delay Model for {CPU-FPGA} Data Paths in Programmable System-on-Chip
                  {FPGA}},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {159--170},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_13},
  doi          = {10.1007/978-3-319-30481-6\_13},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TahghighiSZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TonfatTSK16,
  author       = {Jorge L. Tonfat and
                  Lucas A. Tambara and
                  Andr{\'{e}} Flores dos Santos and
                  Fernanda Gusm{\~{a}}o de Lima Kastensmidt},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Method to Analyze the Susceptibility of {HLS} Designs in SRAM-Based
                  FPGAs Under Soft Errors},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {132--143},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_11},
  doi          = {10.1007/978-3-319-30481-6\_11},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TonfatTSK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TradowskyCOVB16,
  author       = {Carsten Tradowsky and
                  Enrique Cordero and
                  Christoph Orsinger and
                  Malte Vesper and
                  J{\"{u}}rgen Becker},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {A Dynamic Cache Architecture for Efficient Memory Resource Allocation
                  in Many-Core Systems},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {343--351},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_29},
  doi          = {10.1007/978-3-319-30481-6\_29},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TradowskyCOVB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WangNMLLP16,
  author       = {Shaojun Wang and
                  Xinyu Niu and
                  Ning Ma and
                  Wayne Luk and
                  Philip H. W. Leong and
                  Yu Peng},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {A Scalable Dataflow Accelerator for Real Time Onboard Hyperspectral
                  Image Classification},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {105--116},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_9},
  doi          = {10.1007/978-3-319-30481-6\_9},
  timestamp    = {Wed, 30 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WangNMLLP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Weinhardt16,
  author       = {Markus Weinhardt},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Comparing Register-Transfer-, C-, and System-Level Implementations
                  of an Image Enhancement Algorithm},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {245--257},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_20},
  doi          = {10.1007/978-3-319-30481-6\_20},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Weinhardt16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WijeyasingheT16,
  author       = {Marlon Wijeyasinghe and
                  David Thomas},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {A Multi-codec Framework to Enhance Data Channels in {FPGA} Streaming
                  Systems},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {207--219},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_17},
  doi          = {10.1007/978-3-319-30481-6\_17},
  timestamp    = {Wed, 22 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WijeyasingheT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2016,
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6},
  doi          = {10.1007/978-3-319-30481-6},
  isbn         = {978-3-319-30480-9},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AhmedWHK15,
  author       = {Rehan Ahmed and
                  Steven J. E. Wilton and
                  Peter Hallschmid and
                  Richard Klukas},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Hierarchical Dynamic Power-Gating in FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {27--38},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_3},
  doi          = {10.1007/978-3-319-16214-0\_3},
  timestamp    = {Wed, 28 Apr 2021 16:06:56 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AhmedWHK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AklahA15,
  author       = {Zeyad Aklah and
                  David Andrews},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {A Flexible Multilayer Perceptron Co-processor for FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {427--434},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_39},
  doi          = {10.1007/978-3-319-16214-0\_39},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/AklahA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Al-KhatibA15,
  author       = {Zaid Al{-}Khatib and
                  Samar Abdi},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Operand-Value-Based Modeling of Dynamic Energy Consumption of Soft
                  Processors in {FPGA}},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {65--76},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_6},
  doi          = {10.1007/978-3-319-16214-0\_6},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/Al-KhatibA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AntonopoulosKVH15,
  author       = {Christos P. Antonopoulos and
                  Georgios Keramidas and
                  Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Diana G{\"{o}}hringer and
                  Maria Dagioglou and
                  Theodoros Giannakopoulos and
                  Stasinos Konstantopoulos and
                  Vangelis Karkaletsis},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Robots in Assisted Living Environments as an Unobtrusive, Efficient,
                  Reliable and Modular Solution for Independent Ageing: The {RADIO}
                  Perspective},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {519--530},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_48},
  doi          = {10.1007/978-3-319-16214-0\_48},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AntonopoulosKVH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BiazusR15,
  author       = {Thiago Baldissera Biazus and
                  Mateus Beck Rutzig},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Reducing Storage Costs of Reconfiguration Contexts by Sharing Instruction
                  Memory Cache Blocks},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {3--14},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_1},
  doi          = {10.1007/978-3-319-16214-0\_1},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BiazusR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BuenoAHM15,
  author       = {Maikon Adiles Fernandez Bueno and
                  Carlos R. P. Almeida Jr. and
                  Jos{\'{e}} A. M. de Holanda and
                  Eduardo Marques},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Reconfigurable Hardware Assist for Linux Process Scheduling in Heterogeneous
                  Multicore SoCs},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {435--442},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_40},
  doi          = {10.1007/978-3-319-16214-0\_40},
  timestamp    = {Fri, 18 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BuenoAHM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CastilloMBC15,
  author       = {Ernesto Villegas Castillo and
                  Gabriele Miorandi and
                  Davide Bertozzi and
                  Jiang Chau Wang},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {DyAFNoC: Dynamically Reconfigurable NoC Characterization Using a Simple
                  Adaptive Deadlock-Free Routing Algorithm with a Low Implementation
                  Cost},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {419--426},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_38},
  doi          = {10.1007/978-3-319-16214-0\_38},
  timestamp    = {Fri, 04 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/CastilloMBC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CharitopoulosKP15,
  author       = {George Charitopoulos and
                  Iosif Koidis and
                  Kyprianos Papadimitriou and
                  Dionisios N. Pnevmatikatos},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Hardware Task Scheduling for Partially Reconfigurable FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {487--498},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_45},
  doi          = {10.1007/978-3-319-16214-0\_45},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CharitopoulosKP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChattopadhyayC15,
  author       = {Anupam Chattopadhyay and
                  Xiaolin Chen},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {A Timing Driven Cycle-Accurate Simulation for Coarse-Grained Reconfigurable
                  Architectures},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {293--300},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_24},
  doi          = {10.1007/978-3-319-16214-0\_24},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChattopadhyayC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChenP15,
  author       = {Ren Chen and
                  Viktor K. Prasanna},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {{DRAM} Row Activation Energy Optimization for Stride Memory Access
                  on FPGA-Based Systems},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {349--356},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_30},
  doi          = {10.1007/978-3-319-16214-0\_30},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChenP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChtourouMPAMA15,
  author       = {Sonda Chtourou and
                  Zied Marrakchi and
                  Vinod Pangracious and
                  Emna Amouri and
                  Habib Mehrez and
                  Mohamed Abid},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Mesh of Clusters {FPGA} Architectures: Exploration Methodology and
                  Interconnect Optimization},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {411--418},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_37},
  doi          = {10.1007/978-3-319-16214-0\_37},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChtourouMPAMA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DiamantopoulosX15,
  author       = {Dionysios Diamantopoulos and
                  Sotirios Xydis and
                  Kostas Siozios and
                  Dimitrios Soudris},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Dynamic Memory Management in Vivado-HLS for Scalable Many-Accelerator
                  Architectures},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {117--128},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_10},
  doi          = {10.1007/978-3-319-16214-0\_10},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/DiamantopoulosX15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FekihEJ15,
  author       = {Hichem Ben Fekih and
                  Ahmed Elhossini and
                  Ben H. H. Juurlink},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {An Efficient and Flexible {FPGA} Implementation of a Face Detection
                  System},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {243--254},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_20},
  doi          = {10.1007/978-3-319-16214-0\_20},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FekihEJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FiguliTMSSSSB15,
  author       = {Peter Figuli and
                  Carsten Tradowsky and
                  Jose Martinez and
                  Harry Sidiropoulos and
                  Kostas Siozios and
                  Holger Stenschke and
                  Dimitrios Soudris and
                  J{\"{u}}rgen Becker},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {A Novel Concept for Adaptive Signal Processing on Reconfigurable Hardware},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {311--320},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_26},
  doi          = {10.1007/978-3-319-16214-0\_26},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FiguliTMSSSSB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GiakoumakisCDP15,
  author       = {Pavlos Giakoumakis and
                  Grigorios Chrysos and
                  Apostolos Dollas and
                  Ioannis Papaefstathiou},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Acceleration of Data Streaming Classification using Reconfigurable
                  Technology},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {357--364},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_31},
  doi          = {10.1007/978-3-319-16214-0\_31},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/GiakoumakisCDP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GorskiWT15,
  author       = {Philipp Gorski and
                  Tim Wegner and
                  Dirk Timmermann},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Centralized and Software-Based Run-Time Traffic Management Inside
                  Configurable Regions of Interest in Mesh-Based Networks-on-Chip},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {179--190},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_15},
  doi          = {10.1007/978-3-319-16214-0\_15},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GorskiWT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GravesPHBA15,
  author       = {Ian Graves and
                  Adam M. Procter and
                  William L. Harrison and
                  Michela Becchi and
                  Gerard Allwein},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Hardware Synthesis from Functional Embedded Domain-Specific Languages:
                  {A} Case Study in Regular Expression Compilation},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {41--52},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_4},
  doi          = {10.1007/978-3-319-16214-0\_4},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GravesPHBA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HabibKG15,
  author       = {Bilal Habib and
                  Jens{-}Peter Kaps and
                  Kris Gaj},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Efficient SR-Latch {PUF}},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {205--216},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_17},
  doi          = {10.1007/978-3-319-16214-0\_17},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HabibKG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HanawaKBAMUS15,
  author       = {Toshihiro Hanawa and
                  Yuetsu Kodama and
                  Taisuke Boku and
                  Hideharu Amano and
                  Hitoshi Murai and
                  Masayuki Umemura and
                  Mitsuhisa Sato},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Towards Unification of Accelerated Computing and Interconnection For
                  Extreme-Scale Computing},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {463--474},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_43},
  doi          = {10.1007/978-3-319-16214-0\_43},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/HanawaKBAMUS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HappeTK15,
  author       = {Markus Happe and
                  Andreas Traber and
                  Ariane Keller},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Preemptive Hardware Multitasking in ReconOS},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {79--90},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_7},
  doi          = {10.1007/978-3-319-16214-0\_7},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/HappeTK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HartmannGN15,
  author       = {Philipp A. Hartmann and
                  Kim Gr{\"{u}}ttner and
                  Wolfgang Nebel},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Advanced SystemC Tracing and Analysis Framework for Extra-Functional
                  Properties},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {141--152},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_12},
  doi          = {10.1007/978-3-319-16214-0\_12},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HartmannGN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HeshamRGE15,
  author       = {Salma Hesham and
                  Jens Rettkowski and
                  Diana G{\"{o}}hringer and
                  Mohamed A. Abd El Ghany},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Survey on Real-Time Network-on-Chip Architectures},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {191--202},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_16},
  doi          = {10.1007/978-3-319-16214-0\_16},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/HeshamRGE15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HomsirikamolG15,
  author       = {Ekawat Homsirikamol and
                  Kris Gaj},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Hardware Benchmarking of Cryptographic Algorithms Using High-Level
                  Synthesis Tools: The {SHA-3} Contest Case Study},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {217--228},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_18},
  doi          = {10.1007/978-3-319-16214-0\_18},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HomsirikamolG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LentarisSDMSSRL15,
  author       = {George Lentaris and
                  Ioannis Stamoulias and
                  Dionysios Diamantopoulos and
                  Konstantinos Maragos and
                  Kostas Siozios and
                  Dimitrios Soudris and
                  Marcos Avil{\'{e}}s Rodrig{\'{a}}lvarez and
                  Manolis I. A. Lourakis and
                  Xenophon Zabulis and
                  Ioannis Kostavelis and
                  Lazaros Nalpantidis and
                  Evangelos Boukas and
                  Antonios Gasteratos},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {{SPARTAN/SEXTANT/COMPASS:} Advancing Space Rover Vision via Reconfigurable
                  Platforms},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {475--486},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_44},
  doi          = {10.1007/978-3-319-16214-0\_44},
  timestamp    = {Wed, 31 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/LentarisSDMSSRL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MatiasGAS15,
  author       = {Paulo Matias and
                  Rafael Tuma Guariento and
                  L{\'{\i}}rio Onofre Baptista de Almeida and
                  Jan Frans Willem Slaets},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Modular Acquisition and Stimulation System for Timestamp-Driven Neuroscience
                  Experiments},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {339--348},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_29},
  doi          = {10.1007/978-3-319-16214-0\_29},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MatiasGAS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MentensVVBSTKKR15,
  author       = {Nele Mentens and
                  Jochen Vandorpe and
                  Jo Vliegen and
                  An Braeken and
                  Bruno da Silva and
                  Abdellah Touhafi and
                  Alois Kern and
                  Stephan Knappmann and
                  Jens Rettkowski and
                  Muhammed Al Kadi and
                  Diana G{\"{o}}hringer and
                  Michael H{\"{u}}bner},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {DynamIA: Dynamic Hardware Reconfiguration in Industrial Applications},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {513--518},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_47},
  doi          = {10.1007/978-3-319-16214-0\_47},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MentensVVBSTKKR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MetznerLB15,
  author       = {Michael Metzner and
                  Jesus Lizarraga and
                  Christophe Bobda},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Architecture Virtualization for Run-Time Hardware Multithreading on
                  Field Programmable Gate Arrays},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {167--178},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_14},
  doi          = {10.1007/978-3-319-16214-0\_14},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MetznerLB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MoghaddamBP15,
  author       = {Mansureh Shahraki Moghaddam and
                  M. Balakrishnan and
                  Kolin Paul},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Partial Reconfiguration for Dynamic Mapping of Task Graphs onto 2D
                  Mesh Platform},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {373--382},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_33},
  doi          = {10.1007/978-3-319-16214-0\_33},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MoghaddamBP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MoriwakiIAWO15,
  author       = {Retsu Moriwaki and
                  Hiroyuki Ito and
                  Kouta Akagi and
                  Minoru Watanabe and
                  Akifumi Ogiwara},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Total Ionizing Dose Effects of Optical Components on an Optically
                  Reconfigurable Gate Array},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {393--400},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_35},
  doi          = {10.1007/978-3-319-16214-0\_35},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MoriwakiIAWO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NakaharaYSMS15,
  author       = {Hiroki Nakahara and
                  Hideki Yoshida and
                  Shin{-}ich Shioya and
                  Renji Mikami and
                  Tsutomu Sasao},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {A Dynamically Reconfigurable Mixed Analog-Digital Filter Bank},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {267--279},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_22},
  doi          = {10.1007/978-3-319-16214-0\_22},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NakaharaYSMS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NoltingVGB15,
  author       = {Stephan Nolting and
                  Guillermo Pay{\'{a}} Vay{\'{a}} and
                  Florian Giesemann and
                  Holger Blume},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Exploring Dynamic Reconfigurable {CORDIC} Co-Processors Tightly Coupled
                  with a {VLIW-SIMD} Soft-Processor Architecture},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {401--410},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_36},
  doi          = {10.1007/978-3-319-16214-0\_36},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NoltingVGB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PapaefstathiouC15,
  author       = {Ioannis Papaefstathiou and
                  Gregory Chrysos and
                  Lambros Sarakis},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {{COSSIM:} {A} Novel, Comprehensible, Ultra-Fast, Security-Aware {CPS}
                  Simulator},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {542--553},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_50},
  doi          = {10.1007/978-3-319-16214-0\_50},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/PapaefstathiouC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PenaRDCL15,
  author       = {Xerach Pe{\~{n}}a and
                  Fernando Rinc{\'{o}}n and
                  Julio Dondo and
                  Juli{\'{a}}n Caba and
                  Juan Carlos L{\'{o}}pez},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Run-Time Partial Reconfiguration Simulation Framework Based on Dynamically
                  Loadable Components},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {153--164},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_13},
  doi          = {10.1007/978-3-319-16214-0\_13},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/PenaRDCL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RakossySACBC15,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Dominik Stengele and
                  Axel Acosta{-}Aponte and
                  Saumitra Chafekar and
                  Paolo Bientinesi and
                  Anupam Chattopadhyay},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Scalable and Efficient Linear Algebra Kernel Mapping for Low Energy
                  Consumption on the Layers {CGRA}},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {301--310},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_25},
  doi          = {10.1007/978-3-319-16214-0\_25},
  timestamp    = {Tue, 22 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/RakossySACBC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RaptopoulosXS15,
  author       = {Andreas Raptopoulos and
                  Sotirios Xydis and
                  Dimitrios Soudris},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Reconfigurable Computing for Analytics Acceleration of Big Bio-Data:
                  The {AEGLE} Approach},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {531--541},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_49},
  doi          = {10.1007/978-3-319-16214-0\_49},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RaptopoulosXS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ResendeC15,
  author       = {Jo{\~{a}}o Carlos Resende and
                  Ricardo Chaves},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Dual {CLEFIA/AES} Cipher Core on {FPGA}},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {229--240},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_19},
  doi          = {10.1007/978-3-319-16214-0\_19},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/ResendeC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RettkowskiWSG15,
  author       = {Jens Rettkowski and
                  Philipp Wehner and
                  Marc Sch{\"{u}}lper and
                  Diana G{\"{o}}hringer},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {A Flexible Software Framework for Dynamic Task Allocation on MPSoCs
                  Evaluated in an Automotive Context},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {255--266},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_21},
  doi          = {10.1007/978-3-319-16214-0\_21},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RettkowskiWSG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SatoK15,
  author       = {Shimpei Sato and
                  Kenji Kise},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {ArchHDL: {A} Novel Hardware {RTL} Design Environment in {C++}},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {53--64},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_5},
  doi          = {10.1007/978-3-319-16214-0\_5},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SatoK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SchwiegelshohnO15,
  author       = {Fynn Schwiegelshohn and
                  Eugen Ossovski and
                  Michael H{\"{u}}bner},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {A Fully Parallel Particle Filter Architecture for FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {91--102},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_8},
  doi          = {10.1007/978-3-319-16214-0\_8},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SchwiegelshohnO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SingapuraPP15,
  author       = {Shreyas G. Singapura and
                  Anand V. Panangadan and
                  Viktor K. Prasanna},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Towards Performance Modeling of 3D Memory Integrated {FPGA} Architectures},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {443--450},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_41},
  doi          = {10.1007/978-3-319-16214-0\_41},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SingapuraPP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SioziosFSTDMDSB15,
  author       = {Kostas Siozios and
                  Peter Figuli and
                  Harry Sidiropoulos and
                  Carsten Tradowsky and
                  Dionysios Diamantopoulos and
                  Konstantinos Maragos and
                  Shalina Percy Delicia and
                  Dimitrios Soudris and
                  J{\"{u}}rgen Becker},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {TEAChER: TEach AdvanCEd Reconfigurable Architectures and Tools},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {103--114},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_9},
  doi          = {10.1007/978-3-319-16214-0\_9},
  timestamp    = {Fri, 02 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/SioziosFSTDMDSB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Sotiriou-Xanthopoulos15,
  author       = {Efstathios Sotiriou{-}Xanthopoulos and
                  Dionysios Diamantopoulos and
                  George Economakos},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Evaluation of High-Level Synthesis Techniques for Memory and Datapath
                  Tradeoffs in {FPGA} Based SoC Architectures},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {321--330},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_27},
  doi          = {10.1007/978-3-319-16214-0\_27},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Sotiriou-Xanthopoulos15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SterponeD15,
  author       = {Luca Sterpone and
                  Boyang Du},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {{SET-PAR:} Place and Route Tools for the Mitigation of Single Event
                  Transients on Flash-Based FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {129--140},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_11},
  doi          = {10.1007/978-3-319-16214-0\_11},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SterponeD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Strauch15,
  author       = {Tobias Strauch},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {The Effects of System Hyper Pipelining on Three Computational Benchmarks
                  Using FPGAs},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {280--290},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_23},
  doi          = {10.1007/978-3-319-16214-0\_23},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Strauch15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Takamaeda-Yamazaki15,
  author       = {Shinya Takamaeda{-}Yamazaki},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Pyverilog: {A} Python-Based Hardware Design Processing Toolkit for
                  Verilog {HDL}},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {451--460},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_42},
  doi          = {10.1007/978-3-319-16214-0\_42},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Takamaeda-Yamazaki15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TambaraARKBF15,
  author       = {Lucas A. Tambara and
                  Felipe Almeida and
                  Paolo Rech and
                  Fernanda Lima Kastensmidt and
                  Giovanni Bruni and
                  Christopher Frost},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Measuring Failure Probability of Coarse and Fine Grain {TMR} Schemes
                  in SRAM-based FPGAs Under Neutron-Induced Effects},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {331--338},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_28},
  doi          = {10.1007/978-3-319-16214-0\_28},
  timestamp    = {Mon, 10 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TambaraARKBF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TsoutsourasXSL15,
  author       = {Vasileios Tsoutsouras and
                  Sotirios Xydis and
                  Dimitrios Soudris and
                  Leonidas Lymperopoulos},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {SWAN-iCARE Project: On the Efficiency of FPGAs Emulating Wearable
                  Medical Devices for Wound Management and Monitoring},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {499--510},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_46},
  doi          = {10.1007/978-3-319-16214-0\_46},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TsoutsourasXSL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/UmurogluJ15,
  author       = {Yaman Umuroglu and
                  Magnus Jahre},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {A Vector Caching Scheme for Streaming {FPGA} SpMV Accelerators},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {15--26},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_2},
  doi          = {10.1007/978-3-319-16214-0\_2},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/UmurogluJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/UsuiKK15,
  author       = {Takuma Usui and
                  Ryohei Kobayashi and
                  Kenji Kise},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {A Challenge of Portable and High-Speed {FPGA} Accelerator},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {383--392},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_34},
  doi          = {10.1007/978-3-319-16214-0\_34},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/UsuiKK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WiersemaWP15,
  author       = {Tobias Wiersema and
                  Sen Wu and
                  Marco Platzner},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {On-The-Fly Verification of Reconfigurable Image Processing Modules
                  Based on a Proof-Carrying Hardware Approach},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {365--372},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_32},
  doi          = {10.1007/978-3-319-16214-0\_32},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WiersemaWP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2015,
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0},
  doi          = {10.1007/978-3-319-16214-0},
  isbn         = {978-3-319-16213-3},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2015.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AbdellatifCM14,
  author       = {Karim M. Abdellatif and
                  Roselyne Chotin{-}Avot and
                  Habib Mehrez},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {FPGA-Based High Performance {AES-GCM} Using Efficient Karatsuba Ofman
                  Algorithm},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {13--24},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_2},
  doi          = {10.1007/978-3-319-05960-0\_2},
  timestamp    = {Tue, 14 May 2019 10:00:49 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AbdellatifCM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AlouaniSN14,
  author       = {Ihsen Alouani and
                  Mazen A. R. Saghir and
                  Sma{\"{\i}}l Niar},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {{ARABICA:} {A} Reconfigurable Arithmetic Block for {ISA} Customization},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {248--253},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_25},
  doi          = {10.1007/978-3-319-05960-0\_25},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AlouaniSN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AsgharP14,
  author       = {Ali Asghar and
                  Husain Parvez},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Diffusion-Based Placement Algorithm for Reducing High Interconnect
                  Demand in Congested Regions of FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {291--297},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_31},
  doi          = {10.1007/978-3-319-05960-0\_31},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AsgharP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AssumpcaoVM14,
  author       = {Jecel Mattos de Assump{\c{c}}{\~{a}}o Jr. and
                  Merik Voswinkel and
                  Eduardo Marques},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Adapting Processor Grain via Reconfiguration},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {262--267},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_27},
  doi          = {10.1007/978-3-319-05960-0\_27},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AssumpcaoVM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BaiAG14,
  author       = {Yuhui Bai and
                  Syed Zahid Ahmed and
                  Bertrand Granado},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Accelerating Heap-Based Priority Queue in Image Coding Application
                  Using Parallel Index-Aware Tree Access},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {37--48},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_4},
  doi          = {10.1007/978-3-319-05960-0\_4},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BaiAG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BashaPP14,
  author       = {B. Chagun Basha and
                  Stanislaw J. Piestrak and
                  S{\'{e}}bastien Pillement},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Built-in 3-Dimensional Hamming Multiple-Error Correcting Scheme to
                  Mitigate Radiation Effects in SRAM-Based FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {254--261},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_26},
  doi          = {10.1007/978-3-319-05960-0\_26},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BashaPP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BeckerBOSGRVAVG14,
  author       = {J{\"{u}}rgen Becker and
                  Thomas Bruckschl{\"{o}}gl and
                  Oliver Oey and
                  Timo Stripf and
                  George Goulas and
                  Nick Raptis and
                  Christos Valouxis and
                  Panayiotis Alefragis and
                  Nikolaos S. Voros and
                  Christos Gogos},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Profile-Guided Compilation of Scilab Algorithms for Multiprocessor
                  Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {330--336},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_37},
  doi          = {10.1007/978-3-319-05960-0\_37},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/BeckerBOSGRVAVG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CallejaCI14,
  author       = {Pablo Calleja and
                  Gabriel Caffarena and
                  Ana Iriarte},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {{FPGA} Design of Delay-Based Digital Effects for Electric Guitar},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {213--218},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_20},
  doi          = {10.1007/978-3-319-05960-0\_20},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/CallejaCI14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CoutinhoPOSMGLR14,
  author       = {Jos{\'{e}} Gabriel F. Coutinho and
                  Oliver Pell and
                  Eoghan O'Neill and
                  Peter Sanders and
                  John McGlone and
                  Paul Grigoras and
                  Wayne Luk and
                  Carmelo Ragusa},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {{HARNESS} Project: Managing Heterogeneous Computing Resources for
                  a Cloud Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {324--329},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_36},
  doi          = {10.1007/978-3-319-05960-0\_36},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CoutinhoPOSMGLR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DuarteB14,
  author       = {Rui Policarpo Duarte and
                  Christos{-}Savvas Bouganis},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {A Unified Framework for Over-Clocking Linear Projections on FPGAs
                  under {PVT} Variation},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {49--60},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_5},
  doi          = {10.1007/978-3-319-05960-0\_5},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DuarteB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DurelliCDKMPPPSB14,
  author       = {Gianluca Durelli and
                  Marcello Coppola and
                  Karim Djafarian and
                  George Kornaros and
                  Antonio Miele and
                  Michele Paolino and
                  Oliver Pell and
                  Christian Plessl and
                  Marco D. Santambrogio and
                  Cristiana Bolchini},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {{SAVE:} Towards Efficient Resource Management in Heterogeneous System
                  Architectures},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {337--344},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_38},
  doi          = {10.1007/978-3-319-05960-0\_38},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DurelliCDKMPPPSB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Engel014,
  author       = {Andreas Engel and
                  Andreas Koch},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Hardware-Accelerated Data Compression in Low-Power Wireless Sensor
                  Networks},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {167--178},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_15},
  doi          = {10.1007/978-3-319-05960-0\_15},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Engel014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FergerH14,
  author       = {Max Ferger and
                  Michael H{\"{u}}bner},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Instruction Set Optimization for Application Specific Processors},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {268--274},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_28},
  doi          = {10.1007/978-3-319-05960-0\_28},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FergerH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HamasakiDSO14,
  author       = {Kaoru Hamasaki and
                  Keisuke Dohi and
                  Yuichiro Shibata and
                  Kiyoshi Oguri},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {{FPGA} Implementation of a Video Based Abnormal Action Detection System
                  with Real-Time Cubic Higher Order Local Auto-Correlation Analysis},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {191--196},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_17},
  doi          = {10.1007/978-3-319-05960-0\_17},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HamasakiDSO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HeyseSKP14,
  author       = {Karel Heyse and
                  Dirk Stroobandt and
                  Oliver Kadlcek and
                  Oliver Pell},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {On the Impact of Replacing a Low-Speed Memory Bus on the Maxeler Platform,
                  Using the FPGA's Configuration Infrastructure},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {85--96},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_8},
  doi          = {10.1007/978-3-319-05960-0\_8},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HeyseSKP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HungGW14,
  author       = {Eddie Hung and
                  Jeffrey B. Goeders and
                  Steven J. E. Wilton},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Faster {FPGA} Debug: Efficiently Coupling Trace Instruments with User
                  Circuitry},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {73--84},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_7},
  doi          = {10.1007/978-3-319-05960-0\_7},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HungGW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HussainPUCAVH14,
  author       = {Tassadaq Hussain and
                  Oscar Palomar and
                  Osman S. {\"{U}}nsal and
                  Adri{\'{a}}n Cristal and
                  Eduard Ayguad{\'{e}} and
                  Mateo Valero and
                  Amna Haider},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Stand-Alone Memory Controller for Graphics System},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {108--120},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_10},
  doi          = {10.1007/978-3-319-05960-0\_10},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HussainPUCAVH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KenterVP14,
  author       = {Tobias Kenter and
                  Gavin Vaz and
                  Christian Plessl},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Partitioning and Vectorizing Binary Applications for a Reconfigurable
                  Vector Computer},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {144--155},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_13},
  doi          = {10.1007/978-3-319-05960-0\_13},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/KenterVP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KhalifatEA14,
  author       = {Jalal Khalifat and
                  Ali Ebrahim and
                  Tughrul Arslan},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {An Efficient Implementation of the Adams-Hamilton's Demosaicing Algorithm
                  in FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {205--212},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_19},
  doi          = {10.1007/978-3-319-05960-0\_19},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KhalifatEA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LiSG14,
  author       = {Jie Li and
                  Amin Salighehdar and
                  Narayan Ganesan},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Simulation of Complex Biochemical Pathways in 3D Process Space via
                  Heterogeneous Computing Platform: Preliminary Results},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {227--232},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_22},
  doi          = {10.1007/978-3-319-05960-0\_22},
  timestamp    = {Fri, 18 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LiSG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MassariPSBPZAFS14,
  author       = {Giuseppe Massari and
                  Edoardo Paone and
                  Michele Scandale and
                  Patrick Bellasi and
                  Gianluca Palermo and
                  Vittorio Zaccaria and
                  Giovanni Agosta and
                  William Fornaciari and
                  Cristina Silvano},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Data Parallel Application Adaptivity and System-Wide Resource Management
                  in Many-Core Architectures},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {345--352},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_39},
  doi          = {10.1007/978-3-319-05960-0\_39},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MassariPSBPZAFS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MeisnerP14,
  author       = {Sebastian Meisner and
                  Marco Platzner},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Thread Shadowing: Using Dynamic Redundancy on Hybrid Multi-cores for
                  Error Detection},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {283--290},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_30},
  doi          = {10.1007/978-3-319-05960-0\_30},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MeisnerP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MinhasBC14,
  author       = {Umar Ibrahim Minhas and
                  Samuel Bayliss and
                  George A. Constantinides},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {{GPU} vs {FPGA:} {A} Comparative Analysis for Non-standard Precision},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {298--305},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_32},
  doi          = {10.1007/978-3-319-05960-0\_32},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MinhasBC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NiedermeierKS14,
  author       = {Anja Niedermeier and
                  Jan Kuper and
                  Gerard J. M. Smit},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {A Dataflow Inspired Programming Paradigm for Coarse-Grained Reconfigurable
                  Arrays},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {275--282},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_29},
  doi          = {10.1007/978-3-319-05960-0\_29},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NiedermeierKS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PanjkovHARLPWH14,
  author       = {Zdravko Panjkov and
                  Juergen Haas and
                  Martin Aigner and
                  Herbert Rosmanith and
                  Tianlun Liu and
                  Roland Poppenreiter and
                  Andreas Wasserbauer and
                  Richard Hagelauer},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {{OCP2XI} Bridge: An {OCP} to {AXI} Protocol Bridge},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {179--190},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_16},
  doi          = {10.1007/978-3-319-05960-0\_16},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PanjkovHARLPWH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ParkD14,
  author       = {Joonseok Park and
                  Pedro C. Diniz},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Evaluating High-Level Program Invariants Using Reconfigurable Hardware},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {121--132},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_11},
  doi          = {10.1007/978-3-319-05960-0\_11},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ParkD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PnevmatikatosBBGLPPPPPSSS14,
  author       = {Dionisios N. Pnevmatikatos and
                  Tobias Becker and
                  Andreas Brokalakis and
                  Georgi Nedeltchev Gaydadjiev and
                  Wayne Luk and
                  Kyprianos Papadimitriou and
                  Ioannis Papaefstathiou and
                  Danilo Pau and
                  Oliver Pell and
                  Christian Pilato and
                  Marco D. Santambrogio and
                  Donatella Sciuto and
                  Dirk Stroobandt},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Effective Reconfigurable Design: The {FASTER} Approach},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {318--323},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_35},
  doi          = {10.1007/978-3-319-05960-0\_35},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/PnevmatikatosBBGLPPPPPSSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PopperMG14,
  author       = {Christopher P{\"{o}}pper and
                  Oliver Mischke and
                  Tim G{\"{u}}neysu},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {MicroACP - {A} Fast and Secure Reconfigurable Asymmetric Crypto-Processor
                  - -Overhead Evaluation of Side-Channel Countermeasures-},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {240--247},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_24},
  doi          = {10.1007/978-3-319-05960-0\_24},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PopperMG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SasdrichG14,
  author       = {Pascal Sasdrich and
                  Tim G{\"{u}}neysu},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Efficient Elliptic-Curve Cryptography Using Curve25519 on Reconfigurable
                  Devices},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {25--36},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_3},
  doi          = {10.1007/978-3-319-05960-0\_3},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/SasdrichG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SchleunigerK14,
  author       = {Pascal Schleuniger and
                  Sven Karlsson},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {A Synthesizable Multicore Platform for Microwave Imaging},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {197--204},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_18},
  doi          = {10.1007/978-3-319-05960-0\_18},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/SchleunigerK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SciclunaB14,
  author       = {Neil Scicluna and
                  Christos{-}Savvas Bouganis},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {FPGA-Based Parallel {DBSCAN} Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {1--12},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_1},
  doi          = {10.1007/978-3-319-05960-0\_1},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SciclunaB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SedanoML14,
  author       = {Enrique Sedano and
                  Daniel M{\'{e}}nard and
                  Juan A. L{\'{o}}pez},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Automated Data Flow Graph Partitioning for a Hierarchical Approach
                  to Wordlength Optimization},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {133--143},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_12},
  doi          = {10.1007/978-3-319-05960-0\_12},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SedanoML14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SourdisSABFGIMMPPPRSSSTTV14,
  author       = {Ioannis Sourdis and
                  Christos Strydis and
                  Antonino Armato and
                  Christos{-}Savvas Bouganis and
                  Babak Falsafi and
                  Georgi Nedeltchev Gaydadjiev and
                  Sebasti{\'{a}}n Isaza and
                  Alirad Malek and
                  R. Mariani and
                  Samuel N. Pagliarini and
                  Dionisios N. Pnevmatikatos and
                  Dhiraj K. Pradhan and
                  Gerard K. Rauwerda and
                  Robert M. Seepers and
                  Rishad Ahmed Shafik and
                  Georgios Smaragdos and
                  Dimitris Theodoropoulos and
                  Stavros Tzilis and
                  Michalis Vavouras},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {DeSyRe: On-Demand Adaptive and Reconfigurable Fault-Tolerant SoCs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {312--317},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_34},
  doi          = {10.1007/978-3-319-05960-0\_34},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SourdisSABFGIMMPPPRSSSTTV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TradowskySVDBBB14,
  author       = {Carsten Tradowsky and
                  Martin Schreiber and
                  Malte Vesper and
                  Ivan Domladovec and
                  Maximilian Braun and
                  Hans{-}Joachim Bungartz and
                  J{\"{u}}rgen Becker},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Towards Dynamic Cache and Bandwidth Invasion},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {97--107},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_9},
  doi          = {10.1007/978-3-319-05960-0\_9},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TradowskySVDBBB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WangLZSZ14,
  author       = {Chao Wang and
                  Xi Li and
                  Huizhen Zhang and
                  Liang Shi and
                  Xuehai Zhou},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Instruction Extension and Generation for Adaptive Processors},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {306--311},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_33},
  doi          = {10.1007/978-3-319-05960-0\_33},
  timestamp    = {Thu, 19 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WangLZSZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WehbeW14,
  author       = {Taimour Wehbe and
                  Xiaofang Wang},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Efficient Buffer Design and Implementation for Wormhole Routers on
                  FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {233--239},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_23},
  doi          = {10.1007/978-3-319-05960-0\_23},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WehbeW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WesterK14,
  author       = {Rinse Wester and
                  Jan Kuper},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Design Space Exploration of a Particle Filter Using Higher-Order Functions},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {219--226},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_21},
  doi          = {10.1007/978-3-319-05960-0\_21},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WesterK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WoldAT14,
  author       = {Alexander Wold and
                  Andreas Agne and
                  Jim T{\o}rresen},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Relocatable Hardware Threads in Run-Time Reconfigurable Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {61--72},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_6},
  doi          = {10.1007/978-3-319-05960-0\_6},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WoldAT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YozaW14,
  author       = {Takashi Yoza and
                  Minoru Watanabe},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Enhanced Radiation Tolerance of an Optically Reconfigurable Gate Array
                  by Exploiting an Inversion/Non-inversion Implementation},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {156--166},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_14},
  doi          = {10.1007/978-3-319-05960-0\_14},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YozaW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2014,
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0},
  doi          = {10.1007/978-3-319-05960-0},
  isbn         = {978-3-319-05959-4},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Abdallah13,
  author       = {Mohammed A. S. Abdallah},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {FPGA-Based Adaptive Data Acquisition Scheduler-on-Chip (SchoC) for
                  Heterogeneous Signals},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {223},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_26},
  doi          = {10.1007/978-3-642-36812-7\_26},
  timestamp    = {Fri, 27 Mar 2020 08:54:48 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/Abdallah13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AnjamW13,
  author       = {Fakhar Anjam and
                  Stephan Wong},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Configurable Fault-Tolerance for a Configurable {VLIW} Processor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {167--178},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_16},
  doi          = {10.1007/978-3-642-36812-7\_16},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AnjamW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AntonopoulosKV13,
  author       = {Christos P. Antonopoulos and
                  George Krikis and
                  Nikolaos S. Voros},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Efficient Hardware Based Security Algorithm Implementation for {WSN}
                  Medical Applications: The {ARMOR} Perspective},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {233--234},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_32},
  doi          = {10.1007/978-3-642-36812-7\_32},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AntonopoulosKV13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ArramTLJ13,
  author       = {James Arram and
                  Kuen Hung Tsoi and
                  Wayne Luk and
                  Peiyong Jiang},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Hardware Acceleration of Genetic Sequence Alignment},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {13--24},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_2},
  doi          = {10.1007/978-3-642-36812-7\_2},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ArramTLJ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ButlerS13,
  author       = {Jon T. Butler and
                  Tsutomu Sasao},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Hardware Index to Set Partition Converter},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {72--83},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_7},
  doi          = {10.1007/978-3-642-36812-7\_7},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ButlerS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChauNELCM13,
  author       = {Thomas C. P. Chau and
                  Xinyu Niu and
                  Alison Eele and
                  Wayne Luk and
                  Peter Y. K. Cheung and
                  Jan M. Maciejowski},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Heterogeneous Reconfigurable System for Adaptive Particle Filters
                  in Real-Time Applications},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {1--12},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_1},
  doi          = {10.1007/978-3-642-36812-7\_1},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChauNELCM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CorreDLHB13,
  author       = {Youenn Corre and
                  Jean{-}Philippe Diguet and
                  Lo{\"{\i}}c Lagadec and
                  Dominique Heller and
                  Dominique Blouin},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Fast Template-Based Heterogeneous MPSoC Synthesis on {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {154--166},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_15},
  doi          = {10.1007/978-3-642-36812-7\_15},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/CorreDLHB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CoutinhoCCNBDFN13,
  author       = {Jos{\'{e}} Gabriel F. Coutinho and
                  Jo{\~{a}}o M. P. Cardoso and
                  Tiago Carvalho and
                  Ricardo Nobre and
                  Sujit Bhattacharya and
                  Pedro C. Diniz and
                  Liam Fitzpatrick and
                  Razvan Nane},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Deriving Resource Efficient Designs Using the {REFLECT} Aspect-Oriented
                  Approach - (Extended Abstract)},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {226--228},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_29},
  doi          = {10.1007/978-3-642-36812-7\_29},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CoutinhoCCNBDFN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FlemingT13,
  author       = {Shane T. Fleming and
                  David B. Thomas},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Hardware Acceleration of Matrix Multiplication over Small Prime Finite
                  Fields},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {103--114},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_10},
  doi          = {10.1007/978-3-642-36812-7\_10},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FlemingT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FukudaKIFFAM13,
  author       = {Eric Shun Fukuda and
                  Hideyuki Kawashima and
                  Hiroaki Inoue and
                  Taro Fujii and
                  Koichiro Furuta and
                  Tetsuya Asai and
                  Masato Motomura},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {C-Based Adaptive Stream Processing on Dynamically Reconfigurable Hardware:
                  {A} Case Study on Window Join},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {220},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_23},
  doi          = {10.1007/978-3-642-36812-7\_23},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/FukudaKIFFAM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GomesVC13,
  author       = {Vitor Gomes and
                  Haroldo Fraga de Campos Velho and
                  Andrea Char{\~{a}}o},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {A Fast Poisson Solver for Hybrid Reconfigurable System},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {47--58},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_5},
  doi          = {10.1007/978-3-642-36812-7\_5},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/GomesVC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GoulasGVAV13,
  author       = {George Goulas and
                  Christos Gogos and
                  Christos Valouxis and
                  Panayiotis Alefragis and
                  Nikolaos S. Voros},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Coarse Grained Parallelism Optimization for Multicore Architectures:
                  The {ALMA} Project Approach},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {235--236},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_33},
  doi          = {10.1007/978-3-642-36812-7\_33},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GoulasGVAV13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GuoWZL13,
  author       = {Qi Guo and
                  Chao Wang and
                  Xuehai Zhou and
                  Xi Li},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Pipeline Optimization for Loops on Reconfigurable Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {222},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_25},
  doi          = {10.1007/978-3-642-36812-7\_25},
  timestamp    = {Thu, 19 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GuoWZL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JiangHLD13,
  author       = {Jingfei Jiang and
                  Rongdong Hu and
                  Mikel Luj{\'{a}}n and
                  Yong Dou},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Empirical Evaluation of Fixed-Point Arithmetic for Deep Belief Networks},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {225},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_28},
  doi          = {10.1007/978-3-642-36812-7\_28},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JiangHLD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KretzschmarAL13,
  author       = {Uli Kretzschmar and
                  Armando Astarloa and
                  Jes{\'{u}}s L{\'{a}}zaro},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {{SEU} Resilience of DES, {AES} and Twofish in SRAM-Based {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {37--46},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_4},
  doi          = {10.1007/978-3-642-36812-7\_4},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KretzschmarAL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KuharaMYA13,
  author       = {Takuya Kuhara and
                  Takaaki Miyajima and
                  Masato Yoshimi and
                  Hideharu Amano},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {An {FPGA} Acceleration for the Kd-tree Search in Photon Mapping},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {25--36},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_3},
  doi          = {10.1007/978-3-642-36812-7\_3},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KuharaMYA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KurekBL13,
  author       = {Maciej Kurek and
                  Tobias Becker and
                  Wayne Luk},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Parametric Optimization of Reconfigurable Designs Using Machine Learning},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {134--145},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_13},
  doi          = {10.1007/978-3-642-36812-7\_13},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KurekBL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Martinez13,
  author       = {Christopher J. Martinez},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Teaching SoC Using Video Games to Improve Student Engagement},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {84--89},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_8},
  doi          = {10.1007/978-3-642-36812-7\_8},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Martinez13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MatamP13,
  author       = {Kiran Kumar Matam and
                  Viktor K. Prasanna},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Algorithm Design Methodology for Embedded Architectures},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {231--232},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_31},
  doi          = {10.1007/978-3-642-36812-7\_31},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MatamP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MatosRKPCS13,
  author       = {Debora Matos and
                  Cezar Reinbrecht and
                  M{\'{a}}rcio Eduardo Kreutz and
                  Gianluca Palermo and
                  Luigi Carro and
                  Altamiro Amadeu Susin},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Hierarchical and Multiple Switching NoC with Floorplan Based Adaptability},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {179--184},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_17},
  doi          = {10.1007/978-3-642-36812-7\_17},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MatosRKPCS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Morales-VillanuevaG13,
  author       = {Aurelio Morales{-}Villanueva and
                  Ann Gordon{-}Ross},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {{HTR:} On-Chip Hardware Task Relocation for Partially Reconfigurable
                  FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {185--196},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_18},
  doi          = {10.1007/978-3-642-36812-7\_18},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Morales-VillanuevaG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NakaharaSM13,
  author       = {Hiroki Nakahara and
                  Tsutomu Sasao and
                  Munehiro Matsuura},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {An Architecture for IPv6 Lookup Using Parallel Index Generation Units},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {59--71},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_6},
  doi          = {10.1007/978-3-642-36812-7\_6},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NakaharaSM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NunezTLMHBL13,
  author       = {Gabriel Nunez and
                  Evan Tsai and
                  Airs Lin and
                  Aleksander Milshteyn and
                  Garth Herman and
                  Helen Boussalis and
                  Charles Liu},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {High Level {FPGA} Modeling of an {JPEG} Encoder},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {224},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_27},
  doi          = {10.1007/978-3-642-36812-7\_27},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NunezTLMHBL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OttoyPGS13,
  author       = {Geoffrey Ottoy and
                  Bart Preneel and
                  Jean{-}Pierre Goemaere and
                  Lieven De Strycker},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Flexible Design of a Modular Simultaneous Exponentiation Core for
                  Embedded Platforms},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {115--121},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_11},
  doi          = {10.1007/978-3-642-36812-7\_11},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/OttoyPGS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PangraciousMAM13,
  author       = {Vinod Pangracious and
                  Zied Marrakchi and
                  Emna Amouri and
                  Habib Mehrez},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Performance Analysis and Optimization of High Density Tree-Based 3D
                  Multilevel {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {197--209},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_19},
  doi          = {10.1007/978-3-642-36812-7\_19},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PangraciousMAM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PaulinoFC13,
  author       = {Nuno Miguel Cardanha Paulino and
                  Jo{\~{a}}o Canas Ferreira and
                  Jo{\~{a}}o M. P. Cardoso},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Architecture for Transparent Binary Acceleration of Loops with Memory
                  Accesses},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {122--133},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_12},
  doi          = {10.1007/978-3-642-36812-7\_12},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/PaulinoFC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ShirahashiW13,
  author       = {Yuya Shirahashi and
                  Minoru Watanabe},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Dependability-Increasing Method of Processors under a Space Radiation
                  Environment},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {218},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_21},
  doi          = {10.1007/978-3-642-36812-7\_21},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ShirahashiW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SkalickyLLLR13,
  author       = {Sam Skalicky and
                  Sonia L{\'{o}}pez and
                  Marcin Lukowiak and
                  James Letendre and
                  Matthew Ryan},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Performance Modeling of Pipelined Linear Algebra Architectures on
                  FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {146--153},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_14},
  doi          = {10.1007/978-3-642-36812-7\_14},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SkalickyLLLR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TangTMM13,
  author       = {Qingshan Tang and
                  Matthieu Tuna and
                  Zied Marrakchi and
                  Habib Mehrez},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Automatic Design Flow for Creating a Custom Multi-FPGA Board Netlist},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {221},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_24},
  doi          = {10.1007/978-3-642-36812-7\_24},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TangTMM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TurkiMMA13,
  author       = {Mariem Turki and
                  Zied Marrakchi and
                  Habib Mehrez and
                  Mohamed Abid},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Iterative Routing Algorithm of Inter-FPGA Signals for Multi-FPGA Prototyping
                  Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {210--217},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_20},
  doi          = {10.1007/978-3-642-36812-7\_20},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TurkiMMA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/UenoKSY13,
  author       = {Tomohiro Ueno and
                  Yoshiaki Kono and
                  Kentaro Sano and
                  Satoru Yamamoto},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Parameterized Design and Evaluation of Bandwidth Compressor for Floating-Point
                  Data Streams in FPGA-Based Custom Computing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {90--102},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_9},
  doi          = {10.1007/978-3-642-36812-7\_9},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/UenoKSY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Wong13,
  author       = {Stephan Wong},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Embedded Reconfigurable Architectures},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {229--230},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_30},
  doi          = {10.1007/978-3-642-36812-7\_30},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Wong13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZhouLZL13,
  author       = {Li Zhou and
                  Dongpei Liu and
                  Botao Zhang and
                  Hengzhu Liu},
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Ant Colony Optimization for Application Mapping in Coarse-Grained
                  Reconfigurable Array},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  pages        = {219},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7\_22},
  doi          = {10.1007/978-3-642-36812-7\_22},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZhouLZL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2013,
  editor       = {Philip Brisk and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Pedro C. Diniz},
  title        = {Reconfigurable Computing: Architectures, Tools and Applications -
                  9th International Symposium, {ARC} 2013, Los Angeles, CA, USA, March
                  25-27, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7806},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-36812-7},
  doi          = {10.1007/978-3-642-36812-7},
  isbn         = {978-3-642-36811-0},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/2013.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AnjamKSW12,
  author       = {Fakhar Anjam and
                  Quan Kong and
                  Roel Seedorf and
                  Stephan Wong},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {A Run-Time Task Migration Scheme for an Adjustable Issue-Slots Multi-core
                  Processor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {102--113},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_9},
  doi          = {10.1007/978-3-642-28365-9\_9},
  timestamp    = {Tue, 14 May 2019 10:00:49 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AnjamKSW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AvakianAV12,
  author       = {Annie Avakian and
                  Natwar Agrawal and
                  Ranga Vemuri},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Reconfigurable Multicore Architecture for Dynamic Processor Reallocation},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {329--334},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_28},
  doi          = {10.1007/978-3-642-28365-9\_28},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AvakianAV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DenholmTPL12,
  author       = {Stewart Denholm and
                  Kuen Hung Tsoi and
                  Peter R. Pietzuch and
                  Wayne Luk},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Efficient Communication for {FPGA} Clusters},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {335--341},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_29},
  doi          = {10.1007/978-3-642-28365-9\_29},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DenholmTPL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DinechinD12,
  author       = {Florent de Dinechin and
                  Laurent{-}St{\'{e}}phane Didier},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Table-Based Division by Small Integer Constants},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {53--63},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_5},
  doi          = {10.1007/978-3-642-28365-9\_5},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DinechinD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DorozS12,
  author       = {Yarkin Dor{\"{o}}z and
                  Erkay Savas},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Constructing Cluster of Simple {FPGA} Boards for Cryptologic Computations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {320--328},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_27},
  doi          = {10.1007/978-3-642-28365-9\_27},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DorozS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FanCW12,
  author       = {Hongbing Fan and
                  Yue{-}Ang Chen and
                  Yu{-}Liang Wu},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {R-NoC: An Efficient Packet-Switched Reconfigurable Networks-on-Chip},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {365--371},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_33},
  doi          = {10.1007/978-3-642-28365-9\_33},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FanCW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FrangiehSAC12,
  author       = {Tannous Frangieh and
                  Richard Stroop and
                  Peter Athanas and
                  Teresa Cervero},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {A Modular-Based Assembly Framework for Autonomous Reconfigurable Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {314--319},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_26},
  doi          = {10.1007/978-3-642-28365-9\_26},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FrangiehSAC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GuneysuMW12,
  author       = {Tim G{\"{u}}neysu and
                  Igor L. Markov and
                  Andr{\'{e}} Weimerskirch},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Securely Sealing Multi-FPGA Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {276--289},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_23},
  doi          = {10.1007/978-3-642-28365-9\_23},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GuneysuMW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HeLMWB12,
  author       = {Ruining He and
                  Guoqiang Liang and
                  Yuchun Ma and
                  Yu Wang and
                  Jinian Bian},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {{PDPR:} Fine-Grained Placement for Dynamic Partially Reconfigurable
                  FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {350--356},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_31},
  doi          = {10.1007/978-3-642-28365-9\_31},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HeLMWB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HeyseFBS12,
  author       = {Karel Heyse and
                  Brahim Al Farisi and
                  Karel Bruneel and
                  Dirk Stroobandt},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Automating Reconfiguration Chain Generation for SRL-Based Run-Time
                  Reconfiguration},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {1--12},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_1},
  doi          = {10.1007/978-3-642-28365-9\_1},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HeyseFBS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HussainSPNA12,
  author       = {Tassadaq Hussain and
                  Muhammad Shafiq and
                  Miquel Peric{\`{a}}s and
                  Nacho Navarro and
                  Eduard Ayguad{\'{e}}},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {{PPMC:} {A} Programmable Pattern Based Memory Controller},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {89--101},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_8},
  doi          = {10.1007/978-3-642-28365-9\_8},
  timestamp    = {Tue, 26 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/HussainSPNA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JaiswalC12,
  author       = {Manish Kumar Jaiswal and
                  Ray C. C. Cheung},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {High Performance Reconfigurable Architecture for Double Precision
                  Floating Point Division},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {302--313},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_25},
  doi          = {10.1007/978-3-642-28365-9\_25},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JaiswalC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JinDTCTLW12,
  author       = {Qiwei Jin and
                  Diwei Dong and
                  Anson H. T. Tse and
                  Gary Chun Tak Chow and
                  David B. Thomas and
                  Wayne Luk and
                  Stephen Weston},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Multi-level Customisation Framework for Curve Based Monte Carlo Financial
                  Simulations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {187--201},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_16},
  doi          = {10.1007/978-3-642-28365-9\_16},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JinDTCTLW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KimLLMHP12,
  author       = {Yongjoo Kim and
                  Jongeun Lee and
                  Jinyong Lee and
                  Toan X. Mai and
                  Ingoo Heo and
                  Yunheung Paek},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Exploiting Both Pipelining and Data Parallelism with {SIMD} Reconfigurable
                  Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {40--52},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_4},
  doi          = {10.1007/978-3-642-28365-9\_4},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KimLLMHP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LatifRMA12,
  author       = {Kashif Latif and
                  M. Muzaffar Rao and
                  Athar Mahboob and
                  Arshad Aziz},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Novel Arithmetic Architecture for High Performance Implementation
                  of {SHA-3} Finalist Keccak on {FPGA} Platforms},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {372--378},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_34},
  doi          = {10.1007/978-3-642-28365-9\_34},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/LatifRMA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LiuL12,
  author       = {Qiang Liu and
                  Wayne Luk},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Heterogeneous Systems for Energy Efficient Scientific Computing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {64--75},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_6},
  doi          = {10.1007/978-3-642-28365-9\_6},
  timestamp    = {Sat, 19 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LiuL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LokeH12,
  author       = {Wei Ting Loke and
                  Yajun Ha},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {A Routing Architecture for FPGAs with Dual-VT Switch Box and Logic
                  Clusters},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {174--186},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_15},
  doi          = {10.1007/978-3-642-28365-9\_15},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LokeH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MaHCA12,
  author       = {Sen Ma and
                  Miaoqing Huang and
                  Eugene Cartwright and
                  David Andrews},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Scalable Memory Hierarchies for Embedded Manycore Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {151--162},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_13},
  doi          = {10.1007/978-3-642-28365-9\_13},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MaHCA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MingasB12,
  author       = {Grigorios Mingas and
                  Christos{-}Savvas Bouganis},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Parallel Tempering {MCMC} Acceleration Using Reconfigurable Hardware},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {227--238},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_19},
  doi          = {10.1007/978-3-642-28365-9\_19},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MingasB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NakaharaSM12,
  author       = {Hiroki Nakahara and
                  Tsutomu Sasao and
                  Munehiro Matsuura},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {A Low-Cost and High-Performance Virus Scanning Engine Using a Binary
                  {CAM} Emulator and an {MPU}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {202--214},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_17},
  doi          = {10.1007/978-3-642-28365-9\_17},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NakaharaSM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NduG12,
  author       = {Geoffrey Ndu and
                  Jim D. Garside},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Boosting Single Thread Performance in Mobile Processors via Reconfigurable
                  Acceleration},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {114--125},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_10},
  doi          = {10.1007/978-3-642-28365-9\_10},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NduG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OstadzadehMAGB12,
  author       = {Sayyed Arash Ostadzadeh and
                  Roel Meeuws and
                  Imran Ashraf and
                  Carlo Galuzzi and
                  Koen Bertels},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {The {Q2} Profiling Framework: Driving Application Mapping for Heterogeneous
                  Reconfigurable Platforms},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {76--88},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_7},
  doi          = {10.1007/978-3-642-28365-9\_7},
  timestamp    = {Thu, 09 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/OstadzadehMAGB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RafiqueKC12,
  author       = {Abid Rafique and
                  Nachiket Kapre and
                  George A. Constantinides},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {A High Throughput FPGA-Based Implementation of the Lanczos Method
                  for the Symmetric Extremal Eigenvalue Problem},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {239--250},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_20},
  doi          = {10.1007/978-3-642-28365-9\_20},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RafiqueKC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Takamaeda-YamazakiSSFK12,
  author       = {Shinya Takamaeda{-}Yamazaki and
                  Shintaro Sano and
                  Yoshito Sakaguchi and
                  Naoki Fujieda and
                  Kenji Kise},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {ScalableCore System: {A} Scalable Many-Core Simulator by Employing
                  over 100 FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {138--150},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_12},
  doi          = {10.1007/978-3-642-28365-9\_12},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Takamaeda-YamazakiSSFK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TalipAOFA12,
  author       = {Mohamad Sofian Abu Talip and
                  Takayuki Akamine and
                  Yasunori Osana and
                  Naoyuki Fujita and
                  Hideharu Amano},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Cost Effective Implementation of Flux Limiter Functions Using Partial
                  Reconfiguration},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {215--226},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_18},
  doi          = {10.1007/978-3-642-28365-9\_18},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TalipAOFA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TanBC12,
  author       = {Xuan You Tan and
                  David Boland and
                  George A. Constantinides},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {{FPGA} Paranoia: Testing Numerical Properties of {FPGA} Floating Point
                  IP-Cores},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {290--301},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_24},
  doi          = {10.1007/978-3-642-28365-9\_24},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TanBC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TseCJTL12,
  author       = {Anson H. T. Tse and
                  Gary C. T. Chow and
                  Qiwei Jin and
                  David B. Thomas and
                  Wayne Luk},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Optimising Performance of Quadrature Methods with Reduced Precision},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {251--263},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_21},
  doi          = {10.1007/978-3-642-28365-9\_21},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TseCJTL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VansteenkisteBS12,
  author       = {Elias Vansteenkiste and
                  Karel Bruneel and
                  Dirk Stroobandt},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {A Connection Router for the Dynamic Reconfiguration of FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {357--364},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_32},
  doi          = {10.1007/978-3-642-28365-9\_32},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/VansteenkisteBS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VipinF12,
  author       = {Kizheppatt Vipin and
                  Suhaib A. Fahmy},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Architecture-Aware Reconfiguration-Centric Floorplanning for Partial
                  Reconfiguration},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {13--25},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_2},
  doi          = {10.1007/978-3-642-28365-9\_2},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/VipinF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WangLZF12,
  author       = {Chao Wang and
                  Xi Li and
                  Xuehai Zhou and
                  Xiaojing Feng},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {{CRAIS:} {A} Crossbar Based Adaptive Interconnection Scheme},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {379--384},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_35},
  doi          = {10.1007/978-3-642-28365-9\_35},
  timestamp    = {Thu, 19 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WangLZF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WangSY12,
  author       = {Luzhou Wang and
                  Kentaro Sano and
                  Satoru Yamamoto},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Domain-Specific Language and Compiler for Stencil Computation on FPGA-Based
                  Systolic Computational-Memory Array},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {26--39},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_3},
  doi          = {10.1007/978-3-642-28365-9\_3},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WangSY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WatanabeW12,
  author       = {Takahiro Watanabe and
                  Minoru Watanabe},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Triple Module Redundancy of a Laser Array Driver Circuit for Optically
                  Reconfigurable Gate Arrays},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {163--173},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_14},
  doi          = {10.1007/978-3-642-28365-9\_14},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WatanabeW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Weinhardt12,
  author       = {Markus Weinhardt},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Teaching Hardware/Software Codesign on a Reconfigurable Computing
                  Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {264--275},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_22},
  doi          = {10.1007/978-3-642-28365-9\_22},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Weinhardt12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZadkhoshFA12,
  author       = {Ehsan Zadkhosh and
                  Sepide Fatahi and
                  Mahmood Ahmadi},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Performance Analysis of Reconfigurable Processors Using {MVA} Analysis},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {342--349},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_30},
  doi          = {10.1007/978-3-642-28365-9\_30},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZadkhoshFA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZhouLM12,
  author       = {Gang Zhou and
                  Li Li and
                  Harald Michalik},
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Complexity Analysis of Finite Field Digit Serial Multipliers on FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  pages        = {126--137},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9\_11},
  doi          = {10.1007/978-3-642-28365-9\_11},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZhouLM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2012,
  editor       = {Oliver C. S. Choy and
                  Ray C. C. Cheung and
                  Peter M. Athanas and
                  Kentaro Sano},
  title        = {Reconfigurable Computing: Architectures, Tools and Applications -
                  8th International Symposium, {ARC} 2012, Hong Kong, China, March 19-23,
                  2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7199},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-28365-9},
  doi          = {10.1007/978-3-642-28365-9},
  isbn         = {978-3-642-28364-2},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AlachiotisS11,
  author       = {Nikolaos Alachiotis and
                  Alexandros Stamatakis},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {{FPGA} Optimizations for a Pipelined Floating-Point Exponential Unit},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {316--327},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_34},
  doi          = {10.1007/978-3-642-19475-7\_34},
  timestamp    = {Mon, 09 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AlachiotisS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AliasPP11,
  author       = {Christophe Alias and
                  Bogdan Pasca and
                  Alexandru Plesco},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Automatic Generation of FPGA-Specific Pipelined Accelerators},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {53--66},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_7},
  doi          = {10.1007/978-3-642-19475-7\_7},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/AliasPP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BarrancoTDR11,
  author       = {Francisco Barranco and
                  Matteo Tomasi and
                  Javier D{\'{\i}}az and
                  Eduardo Ros},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Hierarchical Optical Flow Estimation Architecture Using Color Cues},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {269--274},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_28},
  doi          = {10.1007/978-3-642-19475-7\_28},
  timestamp    = {Tue, 10 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/BarrancoTDR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BaylissC11,
  author       = {Samuel Bayliss and
                  George A. Constantinides},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Application Specific Memory Access, Reuse and Reordering for {SDRAM}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {41--52},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_6},
  doi          = {10.1007/978-3-642-19475-7\_6},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BaylissC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BiedermannSCH11,
  author       = {Alexander Biedermann and
                  Marc St{\"{o}}ttinger and
                  Lijing Chen and
                  Sorin A. Huss},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Secure Virtualization within a Multi-processor Soft-Core System-on-Chip
                  Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {385--396},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_40},
  doi          = {10.1007/978-3-642-19475-7\_40},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BiedermannSCH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Brebner11,
  author       = {Gordon J. Brebner},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Reconfigurable Computing for High Performance Networking Applications},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {1},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_1},
  doi          = {10.1007/978-3-642-19475-7\_1},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Brebner11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ButlerS11,
  author       = {Jon T. Butler and
                  Tsutomu Sasao},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Index to Constant Weight Codeword Converter},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {193--205},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_21},
  doi          = {10.1007/978-3-642-19475-7\_21},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ButlerS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChuMW11,
  author       = {Xuezheng Chu and
                  John McAllister and
                  Roger F. Woods},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {A Pipeline Interleaved Heterogeneous {SIMD} Soft Processor Array Architecture
                  for {MIMO-OFDM} Detection},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {133--144},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_16},
  doi          = {10.1007/978-3-642-19475-7\_16},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChuMW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CornuDL11,
  author       = {Alexandre Cornu and
                  Steven Derrien and
                  Dominique Lavenier},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {{HLS} Tools for {FPGA:} Faster Development with Better Performance},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {67--78},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_8},
  doi          = {10.1007/978-3-642-19475-7\_8},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CornuDL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CunninghamN11,
  author       = {Kevin Cunningham and
                  Prawat Nagvajara},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Reconfigurable Stream-Processing Architecture for Sparse Linear Solvers},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {281--286},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_30},
  doi          = {10.1007/978-3-642-19475-7\_30},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CunninghamN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DasP11,
  author       = {Subhasis Das and
                  Sachin Patkar},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {A Compact Gaussian Random Number Generator for Small Word Lengths},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {88--93},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_10},
  doi          = {10.1007/978-3-642-19475-7\_10},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DasP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DuhemML11,
  author       = {Fran{\c{c}}ois Duhem and
                  Fabrice Muller and
                  Philippe Lorenzini},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {FaRM: Fast Reconfiguration Manager for Reducing Reconfiguration Time
                  Overhead on {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {253--260},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_26},
  doi          = {10.1007/978-3-642-19475-7\_26},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DuhemML11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/EngelLK11,
  author       = {Andreas Engel and
                  Bj{\"{o}}rn Liebig and
                  Andreas Koch},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Feasibility Analysis of Reconfigurable Computing in Low-Power Wireless
                  Sensor Applications},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {261--268},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_27},
  doi          = {10.1007/978-3-642-19475-7\_27},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/EngelLK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FarooqPMM11,
  author       = {Umer Farooq and
                  Husain Parvez and
                  Zied Marrakchi and
                  Habib Mehrez},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Comparison between Heterogeneous Mesh-Based and Tree-Based Application
                  Specific {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {218--229},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_23},
  doi          = {10.1007/978-3-642-19475-7\_23},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/FarooqPMM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Furber11,
  author       = {Steve B. Furber},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Biologically-Inspired Massively-Parallel Architectures: {A} Reconfigurable
                  Neural Modelling Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {2},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_2},
  doi          = {10.1007/978-3-642-19475-7\_2},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/Furber11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GrandBGGD11,
  author       = {Michael Grand and
                  Lilian Bossuet and
                  Bertrand Le Gal and
                  Guy Gogniat and
                  Dominique Dallet},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Design and Implementation of a Multi-Core Crypto-Processor for Software
                  Defined Radios},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {29--40},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_5},
  doi          = {10.1007/978-3-642-19475-7\_5},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GrandBGGD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Ibarra-ManzanoA11,
  author       = {Mario Alberto Ibarra{-}Manzano and
                  Dora Luz Almanza{-}Ojeda},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {An {FPGA} Implementation for Texture Analysis Considering the Real-Time
                  Requirements of Vision-Based Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {110--117},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_13},
  doi          = {10.1007/978-3-642-19475-7\_13},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Ibarra-ManzanoA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/IturbeBAAM11,
  author       = {Xabier Iturbe and
                  Khaled Benkrid and
                  Tughrul Arslan and
                  Mikel Azkarate{-}askasua and
                  Imanol Martinez},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {A (Fault-Tolerant)\({}^{\mbox{2}}\) Scheduler for Real-Time {HW} Tasks},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {79--87},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_9},
  doi          = {10.1007/978-3-642-19475-7\_9},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/IturbeBAAM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JuniorRBC11,
  author       = {Jair Fajardo Junior and
                  Mateus B. Rutzig and
                  Antonio Carlos Schneider Beck and
                  Luigi Carro},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Towards an Adaptable Multiple-ISA Reconfigurable Processor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {157--168},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_18},
  doi          = {10.1007/978-3-642-19475-7\_18},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JuniorRBC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KrishnamoorthyVFANN11,
  author       = {Ratna Krishnamoorthy and
                  Keshavan Varadarajan and
                  Masahiro Fujita and
                  Mythri Alle and
                  S. K. Nandy and
                  Ranjani Narayan},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Dataflow Graph Partitioning for Optimal Spatio-Temporal Computation
                  on a Coarse Grain Reconfigurable Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {125--132},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_15},
  doi          = {10.1007/978-3-642-19475-7\_15},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KrishnamoorthyVFANN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LakysZKC11,
  author       = {Yahya Lakys and
                  Weisheng Zhao and
                  Jacques{-}Olivier Klein and
                  Claude Chappert},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Magnetic Look-Up Table {(MLUT)} Featuring Radiation Hardness, High
                  Performance and Low Power},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {275--280},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_29},
  doi          = {10.1007/978-3-642-19475-7\_29},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LakysZKC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LannBC11,
  author       = {Christophe Le Lann and
                  David Boland and
                  George A. Constantinides},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {The Krawczyk Algorithm: Rigorous Bounds for Linear Equation Solution
                  on an {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {287--295},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_31},
  doi          = {10.1007/978-3-642-19475-7\_31},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LannBC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LiuLKJ11,
  author       = {Ming Liu and
                  Zhonghai Lu and
                  Wolfgang Kuehn and
                  Axel Jantsch},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {FPGA-Based Cherenkov Ring Recognition in Nuclear and Particle Physics
                  Experiments},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {169--180},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_19},
  doi          = {10.1007/978-3-642-19475-7\_19},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LiuLKJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ManoukianC11,
  author       = {Manouk V. Manoukian and
                  George A. Constantinides},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Accurate Floating Point Arithmetic through Hardware Error-Free Transformations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {94--101},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_11},
  doi          = {10.1007/978-3-642-19475-7\_11},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ManoukianC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/McGettrickPB11,
  author       = {S{\'{e}}amas McGettrick and
                  Kunjan Patel and
                  Chris J. Bleakley},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {High Performance Programmable {FPGA} Overlay for Digital Signal Processing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {375--384},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_39},
  doi          = {10.1007/978-3-642-19475-7\_39},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/McGettrickPB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MoritaW11,
  author       = {Hironobu Morita and
                  Minoru Watanabe},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {{MEMS} Interleaving Read Operation of a Holographic Memory for Optically
                  Reconfigurable Gate Arrays},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {242--252},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_25},
  doi          = {10.1007/978-3-642-19475-7\_25},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MoritaW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MuhlbachK11,
  author       = {Sascha M{\"{u}}hlbach and
                  Andreas Koch},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {NetStage/DPR: {A} Self-adaptable {FPGA} Platform for Application-Level
                  Network Security},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {328--339},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_35},
  doi          = {10.1007/978-3-642-19475-7\_35},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MuhlbachK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NakaharaSM11,
  author       = {Hiroki Nakahara and
                  Tsutomu Sasao and
                  Munehiro Matsuura},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {A Regular Expression Matching Circuit Based on a Decomposed Automaton},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {16--28},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_4},
  doi          = {10.1007/978-3-642-19475-7\_4},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NakaharaSM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PanM11,
  author       = {Weibo Pan and
                  William P. Marnane},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {A Correlation Power Analysis Attack against Tate Pairing on {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {340--349},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_36},
  doi          = {10.1007/978-3-642-19475-7\_36},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PanM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RutzigBC11,
  author       = {Mateus B. Rutzig and
                  Antonio Carlos Schneider Beck and
                  Luigi Carro},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {CReAMS: An Embedded Multiprocessor Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {118--124},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_14},
  doi          = {10.1007/978-3-642-19475-7\_14},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RutzigBC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SchulzeS11,
  author       = {Stefan Schulze and
                  Sergei Sawitzki},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Design, Implementation, and Verification of an Adaptable Processor
                  in Lava {HDL}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {145--156},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_17},
  doi          = {10.1007/978-3-642-19475-7\_17},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SchulzeS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SingarajuC11,
  author       = {Janardhan Singaraju and
                  John A. Chandy},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Active Storage Networks for Accelerating K-Means Data Clustering},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {102--109},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_12},
  doi          = {10.1007/978-3-642-19475-7\_12},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SingarajuC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SonmezASUCHSV11,
  author       = {Nehir S{\"{o}}nmez and
                  Oriol Arcas and
                  Gokhan Sayilar and
                  Osman S. Unsal and
                  Adri{\'{a}}n Cristal and
                  Ibrahim Hur and
                  Satnam Singh and
                  Mateo Valero},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {From Plasma to BeeFarm: Design Experience of an FPGA-Based Multicore
                  Prototype},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {350--362},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_37},
  doi          = {10.1007/978-3-642-19475-7\_37},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SonmezASUCHSV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TheodoropoulosKG11,
  author       = {Dimitris Theodoropoulos and
                  Georgi Kuzmanov and
                  Georgi Gaydadjiev},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {A Reconfigurable Audio Beamforming Multi-Core Processor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {3--15},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_3},
  doi          = {10.1007/978-3-642-19475-7\_3},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TheodoropoulosKG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Tulbure11,
  author       = {Traian Tulbure},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {A Dynamic Reconfigurable {CPLD} Architecture for Structured {ASIC}
                  Technology},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {296--301},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_32},
  doi          = {10.1007/978-3-642-19475-7\_32},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Tulbure11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VanegasRTDR11,
  author       = {Mauricio Vanegas and
                  Leonardo Rubio and
                  Matteo Tomasi and
                  Javier D{\'{\i}}az and
                  Eduardo Ros},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {On-Chip Ego-Motion Estimation Based on Optical Flow},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {206--217},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_22},
  doi          = {10.1007/978-3-642-19475-7\_22},
  timestamp    = {Tue, 10 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/VanegasRTDR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WuSCWY11,
  author       = {Wei Wu and
                  Yi Shan and
                  Xiaoming Chen and
                  Yu Wang and
                  Huazhong Yang},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {{FPGA} Accelerated Parallel Sparse Matrix Factorization for Circuit
                  Simulations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {302--315},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_33},
  doi          = {10.1007/978-3-642-19475-7\_33},
  timestamp    = {Mon, 25 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WuSCWY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YamaguchiTL11,
  author       = {Yoshiki Yamaguchi and
                  Kuen Hung Tsoi and
                  Wayne Luk},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {FPGA-Based Smith-Waterman Algorithm: Analysis and Novel Design},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {181--192},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_20},
  doi          = {10.1007/978-3-642-19475-7\_20},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YamaguchiTL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YamamotoHHKAU11,
  author       = {Tatsuya Yamamoto and
                  Kazuei Hironaka and
                  Yuki Hayakawa and
                  Masayuki Kimura and
                  Hideharu Amano and
                  Kimiyoshi Usami},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Dynamic V\({}_{\mbox{DD}}\) Switching Technique and Mapping Optimization
                  in Dynamically Reconfigurable Processor for Efficient Energy Reduction},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {230--241},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_24},
  doi          = {10.1007/978-3-642-19475-7\_24},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YamamotoHHKAU11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZaykovK11,
  author       = {Pavel G. Zaykov and
                  Georgi Kuzmanov},
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Architectural Support for Multithreading on Reconfigurable Hardware},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  pages        = {363--374},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7\_38},
  doi          = {10.1007/978-3-642-19475-7\_38},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZaykovK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2011,
  editor       = {Andreas Koch and
                  Ram Krishnamurthy and
                  John McAllister and
                  Roger F. Woods and
                  Tarek A. El{-}Ghazawi},
  title        = {Reconfigurable Computing: Architectures, Tools and Applications -
                  7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25,
                  2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6578},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-19475-7},
  doi          = {10.1007/978-3-642-19475-7},
  isbn         = {978-3-642-19474-0},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AlizadehGF10,
  author       = {Bijan Alizadeh and
                  Amir Masoud Gharehbaghi and
                  Masahiro Fujita},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Pipelined Microprocessors Optimization and Debugging},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {435--444},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_45},
  doi          = {10.1007/978-3-642-12133-3\_45},
  timestamp    = {Tue, 14 May 2019 10:00:49 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AlizadehGF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BakloutiMDA10,
  author       = {Mouna Baklouti and
                  Philippe Marquet and
                  Jean{-}Luc Dekeyser and
                  Mohamed Abid},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Reconfigurable Communication Networks in a Parametric {SIMD} Parallel
                  System on Chip},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {110--121},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_12},
  doi          = {10.1007/978-3-642-12133-3\_12},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BakloutiMDA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BoesenSM10,
  author       = {Michael Reibel Boesen and
                  Pascal Schleuniger and
                  Jan Madsen},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Feasibility Study of a Self-healing Hardware Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {29--41},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_6},
  doi          = {10.1007/978-3-642-12133-3\_6},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BoesenSM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BolandC10,
  author       = {David Boland and
                  George A. Constantinides},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Optimising Memory Bandwidth Use for Matrix-Vector Multiplication in
                  Iterative Methods},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {169--181},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_17},
  doi          = {10.1007/978-3-642-12133-3\_17},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BolandC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BoonpoongaJSK10,
  author       = {Akkarat Boonpoonga and
                  Sompop Janyavilas and
                  Phaophak Sirisuk and
                  Monai Krairiksh},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {{FPGA} Implementation of {QR} Decomposition Using {MGS} Algorithm},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {394--399},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_39},
  doi          = {10.1007/978-3-642-12133-3\_39},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BoonpoongaJSK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BruneelS10,
  author       = {Karel Bruneel and
                  Dirk Stroobandt},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {{TROUTE:} {A} Reconfigurability-Aware {FPGA} Router},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {207--218},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_20},
  doi          = {10.1007/978-3-642-12133-3\_20},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BruneelS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChangC10,
  author       = {Kyungwook Chang and
                  Kiyoung Choi},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Memory-Centric Communication Architecture for Reconfigurable Computing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {400--405},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_40},
  doi          = {10.1007/978-3-642-12133-3\_40},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChangC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Cheung10,
  author       = {Peter Y. K. Cheung},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Process Variability and Degradation: New Frontier for Reconfigurable},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {2},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_2},
  doi          = {10.1007/978-3-642-12133-3\_2},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Cheung10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ClausAAS10,
  author       = {Christopher Claus and
                  Rehan Ahmed and
                  Florian Altenried and
                  Walter Stechele},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Towards Rapid Dynamic Partial Reconfiguration in Video-Based Driver
                  Assistance Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {55--67},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_8},
  doi          = {10.1007/978-3-642-12133-3\_8},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ClausAAS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DarouichGL10,
  author       = {Mehdi Darouich and
                  St{\'{e}}phane Guyetant and
                  Dominique Lavenier},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {A Reconfigurable Disparity Engine for Stereovision in Advanced Driver
                  Assistance Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {306--317},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_28},
  doi          = {10.1007/978-3-642-12133-3\_28},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DarouichGL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DevosMS10,
  author       = {Harald Devos and
                  Wim Meeus and
                  Dirk Stroobandt},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Towards a Tighter Integration of Generated and Custom-Made Hardware},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {426--434},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_44},
  doi          = {10.1007/978-3-642-12133-3\_44},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DevosMS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/El-ArabyNE10,
  author       = {Esam El{-}Araby and
                  Vikram K. Narayana and
                  Tarek A. El{-}Ghazawi},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Space and Time Sharing of Reconfigurable Hardware for Accelerated
                  Parallel Processing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {219--230},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_21},
  doi          = {10.1007/978-3-642-12133-3\_21},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/El-ArabyNE10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FahmyD10,
  author       = {Suhaib A. Fahmy and
                  Linda Doyle},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Reconfigurable Polyphase Filter Bank Architecture for Spectrum Sensing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {343--350},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_32},
  doi          = {10.1007/978-3-642-12133-3\_32},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FahmyD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FanWC10,
  author       = {Hongbing Fan and
                  Yu{-}Liang Wu and
                  Chak{-}Chung Cheung},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Design Automation for Reconfigurable Interconnection Networks},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {244--256},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_23},
  doi          = {10.1007/978-3-642-12133-3\_23},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/FanWC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FazlaliZG10,
  author       = {Mahmood Fazlali and
                  Ali Zakerolhosseini and
                  Georgi Gaydadjiev},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {A Modified Merging Approach for Datapath Configuration Time Reduction},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {318--328},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_29},
  doi          = {10.1007/978-3-642-12133-3\_29},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FazlaliZG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GlaserDHG10,
  author       = {Johann Glaser and
                  Markus Damm and
                  Jan Haase and
                  Christoph Grimm},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {A Dedicated Reconfigurable Architecture for Finite State Machines},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {122--133},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_13},
  doi          = {10.1007/978-3-642-12133-3\_13},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GlaserDHG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JaninLE10,
  author       = {Lilian Janin and
                  Shoujie Li and
                  Doug Edwards},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Integrated Design Environment for Reconfigurable {HPC}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {406--413},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_41},
  doi          = {10.1007/978-3-642-12133-3\_41},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JaninLE10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KanAQ10,
  author       = {Phak Len Eh Kan and
                  Tim Allen and
                  Steven F. Quigley},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {A GMM-Based Speaker Identification System on {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {358--363},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_34},
  doi          = {10.1007/978-3-642-12133-3\_34},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KanAQ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Krishnamurthy10,
  author       = {Ram Krishnamurthy},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {High-Performance Energy-Efficient Reconfigurable Accelerators/Co-processors
                  for Tera-Scale Multi-core Microprocessors},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {1},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_1},
  doi          = {10.1007/978-3-642-12133-3\_1},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/Krishnamurthy10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LabrecqueJS10,
  author       = {Martin Labrecque and
                  Mark C. Jeffrey and
                  J. Gregory Steffan},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Application-Specific Signatures for Transactional Memory in Soft Processors},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {42--54},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_7},
  doi          = {10.1007/978-3-642-12133-3\_7},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LabrecqueJS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LamDHZS10,
  author       = {Siew Kei Lam and
                  Yun Deng and
                  Jian Hu and
                  Xilong Zhou and
                  Thambipillai Srikanthan},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Hierarchical Loop Partitioning for Rapid Generation of Runtime Configurations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {282--293},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_26},
  doi          = {10.1007/978-3-642-12133-3\_26},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/LamDHZS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LeeLCD10,
  author       = {Ganghee Lee and
                  Seokhyun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Routing-Aware Application Mapping Considering Steiner Points for Coarse-Grained
                  Reconfigurable Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {231--243},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_22},
  doi          = {10.1007/978-3-642-12133-3\_22},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/LeeLCD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LopesC10,
  author       = {Antonio Roldao Lopes and
                  George A. Constantinides},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {A Fused Hybrid Floating-Point and Fixed-Point Dot-Product for FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {157--168},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_16},
  doi          = {10.1007/978-3-642-12133-3\_16},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LopesC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MarconiLBG10,
  author       = {Thomas Marconi and
                  Yi Lu and
                  Koen Bertels and
                  Georgi Gaydadjiev},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {3D Compaction: {A} Novel Blocking-Aware Algorithm for Online Hardware
                  Task Scheduling and Placement on 2D Partially Reconfigurable Devices},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {194--206},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_19},
  doi          = {10.1007/978-3-642-12133-3\_19},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MarconiLBG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MasleLEC10,
  author       = {Adrien Le Masle and
                  Wayne Luk and
                  Jared Eldredge and
                  Kristopher Carver},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Parametric Encryption Hardware Design},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {68--79},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_9},
  doi          = {10.1007/978-3-642-12133-3\_9},
  timestamp    = {Thu, 23 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MasleLEC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MorozovMS10,
  author       = {Sergey Morozov and
                  Abhranil Maiti and
                  Patrick Schaumont},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {An Analysis of Delay Based {PUF} Implementations on {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {382--387},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_37},
  doi          = {10.1007/978-3-642-12133-3\_37},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MorozovMS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OstadzadehMGB10,
  author       = {Sayyed Arash Ostadzadeh and
                  Roel Meeuws and
                  Carlo Galuzzi and
                  Koen Bertels},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {{QUAD} - {A} Memory Access Pattern Analyser},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {269--281},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_25},
  doi          = {10.1007/978-3-642-12133-3\_25},
  timestamp    = {Thu, 09 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/OstadzadehMGB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OteroKTR10,
  author       = {Andr{\'{e}}s Otero and
                  Yana Esteves Krasteva and
                  Eduardo de la Torre and
                  Teresa Riesgo},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Generic Systolic Array for Run-Time Scalable Cores},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {4--16},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_4},
  doi          = {10.1007/978-3-642-12133-3\_4},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/OteroKTR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PanM10,
  author       = {Weibo Pan and
                  William P. Marnane},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {A Reconfigurable Implementation of the Tate Pairing Computation over
                  \emph{GF}(2\({}^{\mbox{\emph{m}}}\))},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {80--91},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_10},
  doi          = {10.1007/978-3-642-12133-3\_10},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PanM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ParvezMM10,
  author       = {Husain Parvez and
                  Zied Marrakchi and
                  Habib Mehrez},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Application Specific {FPGA} Using Heterogeneous Logic Blocks},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {92--109},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_11},
  doi          = {10.1007/978-3-642-12133-3\_11},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ParvezMM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PatelB10,
  author       = {Kunjan Patel and
                  Chris J. Bleakley},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Systolic Algorithm Mapping for Coarse Grained Reconfigurable Array
                  Architectures},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {351--357},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_33},
  doi          = {10.1007/978-3-642-12133-3\_33},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PatelB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PennemanPTS10,
  author       = {Niels Penneman and
                  Luc Perneel and
                  Martin Timmerman and
                  Bjorn De Sutter},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {An FPGA-Based Real-Time Event Sampler},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {364--371},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_35},
  doi          = {10.1007/978-3-642-12133-3\_35},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PennemanPTS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PrakashLSS10,
  author       = {Alok Prakash and
                  Siew Kei Lam and
                  Amit Kumar Singh and
                  Thambipillai Srikanthan},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Architecture-Aware Custom Instruction Generation for Reconfigurable
                  Processors},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {414--419},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_42},
  doi          = {10.1007/978-3-642-12133-3\_42},
  timestamp    = {Mon, 14 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PrakashLSS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RodellarIDP10,
  author       = {Victoria Rodellar and
                  Elvira Mart{\'{\i}}nez de Icaya and
                  Francisco D{\'{\i}}az P{\'{e}}rez and
                  Virginia Peinado},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Cost and Performance Evaluation of a Noise Filter for Partitioning
                  in Co-design Methodologies},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {420--425},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_43},
  doi          = {10.1007/978-3-642-12133-3\_43},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RodellarIDP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SaiprasertBC10,
  author       = {Chalermpol Saiprasert and
                  Christos{-}Savvas Bouganis and
                  George A. Constantinides},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Design of a Financial Application Driven Multivariate Gaussian Random
                  Number Generator for an {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {182--193},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_18},
  doi          = {10.1007/978-3-642-12133-3\_18},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SaiprasertBC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SetoW10,
  author       = {Daisaku Seto and
                  Minoru Watanabe},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {{MEMS} Dynamic Optically Reconfigurable Gate Array Usable under a
                  Space Radiation Environment},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {134--144},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_14},
  doi          = {10.1007/978-3-642-12133-3\_14},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SetoW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Shoufan10,
  author       = {Abdulhadi Shoufan},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {An {FPGA} Accelerator for Hash Tree Generation in the Merkle Signature
                  Scheme},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {145--156},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_15},
  doi          = {10.1007/978-3-642-12133-3\_15},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Shoufan10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ShoufanH10,
  author       = {Abdulhadi Shoufan and
                  Sorin Alexander Huss},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Reconfigurable Computing Education in Computer Science},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {329--336},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_30},
  doi          = {10.1007/978-3-642-12133-3\_30},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ShoufanH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SioziosSP10,
  author       = {Kostas Siozios and
                  Dimitrios Soudris and
                  Dionisios N. Pnevmatikatos},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {A Framework for Enabling Fault Tolerance in Reconfigurable Architectures},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {257--268},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_24},
  doi          = {10.1007/978-3-642-12133-3\_24},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SioziosSP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/StottingerBH10,
  author       = {Marc St{\"{o}}ttinger and
                  Alexander Biedermann and
                  Sorin Alexander Huss},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Virtualization within a Parallel Array of Homogeneous Processing Units},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {17--28},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_5},
  doi          = {10.1007/978-3-642-12133-3\_5},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/StottingerBH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TanigawaUH10,
  author       = {Kazuya Tanigawa and
                  Ken'ichi Umeda and
                  Tetsuo Hironaka},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Comparison of Bit Serial Computation with Bit Parallel Computation
                  for Reconfigurable Processor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {388--393},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_38},
  doi          = {10.1007/978-3-642-12133-3\_38},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TanigawaUH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WielgoszJRW10,
  author       = {Maciej Wielgosz and
                  Ernest Jamro and
                  Pawel Russek and
                  Kazimierz Wiatr},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Hardware Implementation of the Orbital Function for Quantum Chemistry
                  Calculations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {337--342},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_31},
  doi          = {10.1007/978-3-642-12133-3\_31},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WielgoszJRW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Wilton10,
  author       = {Steven J. E. Wilton},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Towards Analytical Methods for {FPGA} Architecture Investigation},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {3},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_3},
  doi          = {10.1007/978-3-642-12133-3\_3},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Wilton10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YoshimiNMHAM10,
  author       = {Masato Yoshimi and
                  Yuri Nishikawa and
                  Mitsunori Miki and
                  Tomoyuki Hiroyasu and
                  Hideharu Amano and
                  Oskar Mencer},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {A Performance Evaluation of {CUBE:} One-Dimensional 512 {FPGA} Cluster},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {372--381},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_36},
  doi          = {10.1007/978-3-642-12133-3\_36},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/YoshimiNMHAM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZhangF10,
  author       = {Yu Zhang and
                  Dan Feng},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Reconfigurable Computing and Task Scheduling for Active Storage Service
                  Processing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {294--305},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_27},
  doi          = {10.1007/978-3-642-12133-3\_27},
  timestamp    = {Fri, 10 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/ZhangF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2010,
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3},
  doi          = {10.1007/978-3-642-12133-3},
  isbn         = {978-3-642-12132-6},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2010.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AlleVFNN09,
  author       = {Mythri Alle and
                  Keshavan Varadarajan and
                  Alexander Fell and
                  S. K. Nandy and
                  Ranjani Narayan},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Compiling Techniques for Coarse Grained Runtime Reconfigurable Architectures},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {204--215},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_21},
  doi          = {10.1007/978-3-642-00641-8\_21},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AlleVFNN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ApopeiMDT09,
  author       = {Beniamin Apopei and
                  Andy Mills and
                  Tony J. Dodd and
                  Haydn Thompson},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Real Time Simulation in Floating Point Precision Using {FPGA} Computing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {349--354},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_40},
  doi          = {10.1007/978-3-642-00641-8\_40},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/ApopeiMDT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AppiahHKAD09,
  author       = {Kofi Appiah and
                  Andrew Hunter and
                  Tino Kluge and
                  Philip Aiken and
                  Patrick Dickinson},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {FPGA-Based Anomalous Trajectory Detection Using {SOFM}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {243--254},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_24},
  doi          = {10.1007/978-3-642-00641-8\_24},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AppiahHKAD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BaldwinMBMM09,
  author       = {Brian Baldwin and
                  Richard Moloney and
                  Andrew Byrne and
                  Gary McGuire and
                  William P. Marnane},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {A Hardware Analysis of Twisted Edwards Curves for an Elliptic Curve
                  Cryptosystem},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {355--361},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_41},
  doi          = {10.1007/978-3-642-00641-8\_41},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BaldwinMBMM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BeckerLC09,
  author       = {Tobias Becker and
                  Wayne Luk and
                  Peter Y. K. Cheung},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Parametric Design for Reconfigurable Software-Defined Radio},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {15--26},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_5},
  doi          = {10.1007/978-3-642-00641-8\_5},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BeckerLC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BuchtyKNK09,
  author       = {Rainer Buchty and
                  David Kramer and
                  Fabian Nowak and
                  Wolfgang Karl},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {A Seamless Virtualization Approach for Transparent Dynamical Function
                  Mapping Targeting Heterogeneous and Reconfigurable Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {362--367},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_42},
  doi          = {10.1007/978-3-642-00641-8\_42},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BuchtyKNK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DrimerK09,
  author       = {Saar Drimer and
                  Markus G. Kuhn},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {A Protocol for Secure Remote Updates of {FPGA} Configurations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {50--61},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_8},
  doi          = {10.1007/978-3-642-00641-8\_8},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DrimerK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/EugenioA09,
  author       = {Juan Carlos Moctezuma Eugenio and
                  Miguel Arias{-}Estrada},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Hardware/Software {FPGA} Architecture for Robotics Applications},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {27--38},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_6},
  doi          = {10.1007/978-3-642-00641-8\_6},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/EugenioA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FazlaliFZZ09,
  author       = {Mahmood Fazlali and
                  Mohammad K. Fallah and
                  Mahdy Zolghadr and
                  Ali Zakerolhosseini},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {A New Datapath Merging Method for Reconfigurable System},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {157--168},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_17},
  doi          = {10.1007/978-3-642-00641-8\_17},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FazlaliFZZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FerreiraDVTC09,
  author       = {Ricardo S. Ferreira and
                  Alex Damiany and
                  Julio C. Goldner Vendramini and
                  Tiago Teixeira and
                  Jo{\~{a}}o M. P. Cardoso},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {On Simplifying Placement and Routing by Extending Coarse-Grained Reconfigurable
                  Arrays with Omega Networks},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {145--156},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_16},
  doi          = {10.1007/978-3-642-00641-8\_16},
  timestamp    = {Fri, 04 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/FerreiraDVTC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GlackinHMM09,
  author       = {Brendan P. Glackin and
                  Jim Harkin and
                  T. Martin McGinnity and
                  Liam P. Maguire},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {A Hardware Accelerated Simulation Environment for Spiking Neural Networks},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {336--341},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_38},
  doi          = {10.1007/978-3-642-00641-8\_38},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GlackinHMM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GuoS09,
  author       = {Xu Guo and
                  Patrick Schaumont},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Optimizing the Control Hierarchy of an {ECC} Coprocessor Design on
                  an {FPGA} Based SoC Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {169--180},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_18},
  doi          = {10.1007/978-3-642-00641-8\_18},
  timestamp    = {Fri, 04 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/GuoS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HappeLP09,
  author       = {Markus Happe and
                  Enno L{\"{u}}bbers and
                  Marco Platzner},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {A Multithreaded Framework for Sequential Monte Carlo Methods on {CPU/FPGA}
                  Platforms},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {380--385},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_45},
  doi          = {10.1007/978-3-642-00641-8\_45},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/HappeLP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Hassoun09,
  author       = {Joseph Hassoun},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Resiliency in Elemental Computing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {2},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_2},
  doi          = {10.1007/978-3-642-00641-8\_2},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Hassoun09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HeinigSRS09,
  author       = {Andreas Heinig and
                  Jochen Strunk and
                  Wolfgang Rehm and
                  Heiko Schick},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {{ACCFS} - Operating System Integration of Computational Accelerators
                  Using a {VFS} Approach},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {374--379},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_44},
  doi          = {10.1007/978-3-642-00641-8\_44},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HeinigSRS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/IchinomiyaTIAKS09,
  author       = {Yoshihiro Ichinomiya and
                  Shiro Tanoue and
                  Tomoyuki Ishida and
                  Motoki Amagasaki and
                  Morihiro Kuga and
                  Toshinori Sueyoshi},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Memory Sharing Approach for {TMR} Softcore Processor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {268--274},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_27},
  doi          = {10.1007/978-3-642-00641-8\_27},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/IchinomiyaTIAKS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/InoueAIS09,
  author       = {Kazuki Inoue and
                  Motoki Amagasaki and
                  Masahiro Iida and
                  Toshinori Sueyoshi},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {A Novel Local Interconnect Architecture for Variable Grain Logic Cell},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {97--109},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_12},
  doi          = {10.1007/978-3-642-00641-8\_12},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/InoueAIS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/IshimoriYSOYNAFHO09,
  author       = {Tomoya Ishimori and
                  Hideki Yamada and
                  Yuichiro Shibata and
                  Yasunori Osana and
                  Masato Yoshimi and
                  Yuri Nishikawa and
                  Hideharu Amano and
                  Akira Funahashi and
                  Noriko Hiroi and
                  Kiyoshi Oguri},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Pipeline Scheduling with Input Port Constraints for an FPGA-Based
                  Biochemical Simulator},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {368--373},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_43},
  doi          = {10.1007/978-3-642-00641-8\_43},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/IshimoriYSOYNAFHO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JanJ09,
  author       = {Yahya Jan and
                  Lech J{\'{o}}zwiak},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Survey of Advanced {CABAC} Accelerator Architectures for Future Multimedia},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {342--348},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_39},
  doi          = {10.1007/978-3-642-00641-8\_39},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JanJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KahoulCSC09,
  author       = {Asma Kahoul and
                  George A. Constantinides and
                  Alastair M. Smith and
                  Peter Y. K. Cheung},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Heterogeneous Architecture Exploration: Analysis vs. Parameter Sweep},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {133--144},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_15},
  doi          = {10.1007/978-3-642-00641-8\_15},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KahoulCSC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KanamaruKYY09,
  author       = {Atsuhiro Kanamaru and
                  Hiroyuki Kawai and
                  Yoshiki Yamaguchi and
                  Morisothi Yasunaga},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Tile-Based Fault Tolerant Approach Using Partial Reconfiguration},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {293--299},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_31},
  doi          = {10.1007/978-3-642-00641-8\_31},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KanamaruKYY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KepaMKBHB09,
  author       = {Krzysztof Kepa and
                  Fearghal Morgan and
                  Krzysztof Kosciuszkiewicz and
                  Lars Braun and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {{FPGA} Analysis Tool: High-Level Flows for Low-Level Design Analysis
                  in Reconfigurable Computing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {62--73},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_9},
  doi          = {10.1007/978-3-642-00641-8\_9},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KepaMKBHB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LanuzzaZFPC09,
  author       = {Marco Lanuzza and
                  Paolo Zicari and
                  Fabio Frustaci and
                  Stefania Perri and
                  Pasquale Corsonello},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {An Efficient and Low-Cost Design Methodology to Improve SRAM-Based
                  {FPGA} Robustness in Space and Avionics Applications},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {74--84},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_10},
  doi          = {10.1007/978-3-642-00641-8\_10},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LanuzzaZFPC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LazaroABJZ09,
  author       = {Jes{\'{u}}s L{\'{a}}zaro and
                  Armando Astarloa and
                  Unai Bidarte and
                  Jaime Jimenez and
                  Aitzol Zuloaga},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {AES-Galois Counter Mode Encryption/Decryption {FPGA} Core for Industrial
                  and Residential Gigabit Ethernet Communications},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {312--317},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_34},
  doi          = {10.1007/978-3-642-00641-8\_34},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LazaroABJZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LeephokhanonW09,
  author       = {Sirisak Leephokhanon and
                  Theerayod Wiangtong},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Object Tracking and Motion Capturing in Hardware-Accelerated Multi-camera
                  System},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {324--329},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_36},
  doi          = {10.1007/978-3-642-00641-8\_36},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LeephokhanonW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LitzFB09,
  author       = {Heiner Litz and
                  Holger Fr{\"{o}}ning and
                  Ulrich Br{\"{u}}ning},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {A HyperTransport 3 Physical Layer Interface for FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {4--14},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_4},
  doi          = {10.1007/978-3-642-00641-8\_4},
  timestamp    = {Sat, 04 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LitzFB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LuMBG09,
  author       = {Yi Lu and
                  Thomas Marconi and
                  Koen Bertels and
                  Georgi Gaydadjiev},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Online Task Scheduling for the FPGA-Based Partially Reconfigurable
                  Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {216--230},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_22},
  doi          = {10.1007/978-3-642-00641-8\_22},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LuMBG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MatosCCKS09,
  author       = {Debora Matos and
                  Caroline Concatto and
                  Luigi Carro and
                  Fernanda Lima Kastensmidt and
                  Altamiro Amadeu Susin},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {The Need for Reconfigurable Routers in Networks-on-Chip},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {275--280},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_28},
  doi          = {10.1007/978-3-642-00641-8\_28},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MatosCCKS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MenardCKSCGD09,
  author       = {Daniel M{\'{e}}nard and
                  Emmanuel Casseau and
                  Shafqat Khan and
                  Olivier Sentieys and
                  St{\'{e}}phane Chevobbe and
                  St{\'{e}}phane Guyetant and
                  Rapha{\"{e}}l David},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Reconfigurable Operator Based Multimedia Embedded Processor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {39--49},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_7},
  doi          = {10.1007/978-3-642-00641-8\_7},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MenardCKSCGD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MoyaRMVMAGRRVNL09,
  author       = {Jos{\'{e}} Manuel Moya and
                  Javier Rodr{\'{\i}}guez Escolar and
                  Julio Mart{\'{\i}}n and
                  Juan Carlos Vallejo and
                  Pedro Malag{\'{o}}n and
                  {\'{A}}lvaro Araujo and
                  Juan{-}Mariano de Goyeneche and
                  Agust{\'{\i}}n Rubio and
                  Elena Romero and
                  Daniel Villanueva and
                  Octavio Nieto{-}Taladriz and
                  Carlos A. L{\'{o}}pez{-}Barrio},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {{SORU:} {A} Reconfigurable Vector Unit for Adaptable Embedded Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {255--260},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_25},
  doi          = {10.1007/978-3-642-00641-8\_25},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MoyaRMVMAGRRVNL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NakaharaSMK09,
  author       = {Hiroki Nakahara and
                  Tsutomu Sasao and
                  Munehiro Matsuura and
                  Yoshifumi Kawamura},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {A Parallel Branching Program Machine for Emulation of Sequential Circuits},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {261--267},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_26},
  doi          = {10.1007/978-3-642-00641-8\_26},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NakaharaSMK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NakajimaW09,
  author       = {Mao Nakajima and
                  Minoru Watanabe},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Fast Optical Reconfiguration of a Nine-Context {DORGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {123--132},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_14},
  doi          = {10.1007/978-3-642-00641-8\_14},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NakajimaW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Nelson09,
  author       = {Brent E. Nelson},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {{FPGA} Design Productivity - {A} Discussion of the State of the Art
                  and a Research Agenda},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {1},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_1},
  doi          = {10.1007/978-3-642-00641-8\_1},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Nelson09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Phillips09,
  author       = {Ian Phillips},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {The Colour of Embedded Computation},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {3},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_3},
  doi          = {10.1007/978-3-642-00641-8\_3},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Phillips09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RinconBMLD09,
  author       = {Fernando Rinc{\'{o}}n and
                  Jes{\'{u}}s Barba and
                  Francisco Moya and
                  Juan Carlos L{\'{o}}pez and
                  Julio Dondo},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Transparent Dynamic Reconfiguration as a Service of a System-Level
                  Middleware},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {281--286},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_29},
  doi          = {10.1007/978-3-642-00641-8\_29},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/RinconBMLD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RutzigBC09,
  author       = {Mateus B. Rutzig and
                  Antonio Carlos Schneider Beck and
                  Luigi Carro},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Dynamically Adapted Low Power ASIPs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {110--122},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_13},
  doi          = {10.1007/978-3-642-00641-8\_13},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RutzigBC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SaiprasertBC09,
  author       = {Chalermpol Saiprasert and
                  Christos{-}Savvas Bouganis and
                  George A. Constantinides},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Word-Length Optimization and Error Analysis of a Multivariate Gaussian
                  Random Number Generator},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {231--242},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_23},
  doi          = {10.1007/978-3-642-00641-8\_23},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SaiprasertBC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Sterpone09,
  author       = {Luca Sterpone},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Timing Driven Placement for Fault Tolerant Circuits Implemented on
                  SRAM-Based FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {85--96},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_11},
  doi          = {10.1007/978-3-642-00641-8\_11},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/Sterpone09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TheodoropoulosSP09,
  author       = {Dimitris Theodoropoulos and
                  Alexandros Siskos and
                  Dionisios N. Pnevmatikatos},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {CCproc: {A} Custom {VLIW} Cryptography Co-processor for Symmetric-Key
                  Ciphers},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {318--323},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_35},
  doi          = {10.1007/978-3-642-00641-8\_35},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/TheodoropoulosSP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WeberR09,
  author       = {Raphael Weber and
                  Achim Rettberg},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Implementation of the {AES} Algorithm for a Reconfigurable, Bit Serial,
                  Fully Pipelined Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {330--335},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_37},
  doi          = {10.1007/978-3-642-00641-8\_37},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WeberR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WielgoszJW09,
  author       = {Maciej Wielgosz and
                  Ernest Jamro and
                  Kazimierz Wiatr},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Accelerating Calculations on the {RASC} Platform: {A} Case Study of
                  the Exponential Function},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {306--311},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_33},
  doi          = {10.1007/978-3-642-00641-8\_33},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WielgoszJW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YazdaniGPD09,
  author       = {Samar Yazdani and
                  Thierry Goubier and
                  Bernard Pottier and
                  Catherine Dezan},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Optimizing Memory Access Latencies on a Reconfigurable Multimedia
                  Accelerator: {A} Case of a Turbo Product Codes Decoder},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {287--292},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_30},
  doi          = {10.1007/978-3-642-00641-8\_30},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YazdaniGPD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YiPPR09,
  author       = {Jaeyoung Yi and
                  Karam Park and
                  Joonseok Park and
                  Won Woo Ro},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Fully Pipelined Hardware Implementation of 128-Bit {SEED} Block Cipher
                  Algorithm},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {181--192},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_19},
  doi          = {10.1007/978-3-642-00641-8\_19},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YiPPR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YunL09,
  author       = {SangKyun Yun and
                  KyuHee Lee},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Regular Expression Pattern Matching Supporting Constrained Repetitions},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {300--305},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_32},
  doi          = {10.1007/978-3-642-00641-8\_32},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YunL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZhouMH09,
  author       = {Gang Zhou and
                  Harald Michalik and
                  L{\'{a}}szl{\'{o}} Hinsenkamp},
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Improving Throughput of {AES-GCM} with Pipelined Karatsuba Multipliers
                  on FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  pages        = {193--203},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8\_20},
  doi          = {10.1007/978-3-642-00641-8\_20},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZhouMH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2009,
  editor       = {J{\"{u}}rgen Becker and
                  Roger F. Woods and
                  Peter M. Athanas and
                  Fearghal Morgan},
  title        = {Reconfigurable Computing: Architectures, Tools and Applications, 5th
                  International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5453},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-00641-8},
  doi          = {10.1007/978-3-642-00641-8},
  isbn         = {978-3-642-00640-1},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AngelopoulouBCC08,
  author       = {Maria E. Angelopoulou and
                  Christos{-}Savvas Bouganis and
                  Peter Y. K. Cheung and
                  George A. Constantinides},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {FPGA-based Real-time Super-Resolution on an Adaptive Image Sensor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {124--135},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_14},
  doi          = {10.1007/978-3-540-78610-8\_14},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AngelopoulouBCC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AngermeierBMTCS08,
  author       = {Josef Angermeier and
                  Ulrich Batzer and
                  Mateusz Majer and
                  J{\"{u}}rgen Teich and
                  Christopher Claus and
                  Walter Stechele},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Reconfigurable {HW/SW} Architecture of a Real-Time Driver Assistance
                  System},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {148--158},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_16},
  doi          = {10.1007/978-3-540-78610-8\_16},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AngermeierBMTCS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BeckRGC08,
  author       = {Antonio Carlos Schneider Beck and
                  Mateus B. Rutzig and
                  Georgi Gaydadjiev and
                  Luigi Carro},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Run-time Adaptable Architectures for Heterogeneous Behavior Embedded
                  Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {111--123},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_13},
  doi          = {10.1007/978-3-540-78610-8\_13},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BeckRGC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BomelGD08,
  author       = {Pierre Bomel and
                  Guy Gogniat and
                  Jean{-}Philippe Diguet},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {A Networked, Lightweight and Partially Reconfigurable Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {314--319},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_35},
  doi          = {10.1007/978-3-540-78610-8\_35},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BomelGD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BonatoMC08,
  author       = {Vanderlei Bonato and
                  Eduardo Marques and
                  George A. Constantinides},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {A Parallel Hardware Architecture for Image Feature Detection},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {136--147},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_15},
  doi          = {10.1007/978-3-540-78610-8\_15},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/BonatoMC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChaudhuriGHDBRFR08,
  author       = {Sumanta Chaudhuri and
                  Sylvain Guilley and
                  Philippe Hoogvorst and
                  Jean{-}Luc Danger and
                  Taha Beyrouthy and
                  Alin Razafindraibe and
                  Laurent Fesquet and
                  Marc Renaudin},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Physical Design of {FPGA} Interconnect to Prevent Information Leakage},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {87--98},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_11},
  doi          = {10.1007/978-3-540-78610-8\_11},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChaudhuriGHDBRFR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChenCVN08,
  author       = {Xiaolin Chen and
                  Cedric Nishan Canagarajah and
                  Raffaele Vitulli and
                  Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Lossless Compression for Space Imagery in a Dynamically Reconfigurable
                  Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {332--337},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_38},
  doi          = {10.1007/978-3-540-78610-8\_38},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/ChenCVN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CoferFGLLMSU08,
  author       = {Haruna Cofer and
                  Matthias Fouquet{-}Lapar and
                  Timothy Gamerdinger and
                  Christopher Lindahl and
                  Bruce Losure and
                  Alan Mayer and
                  James Swoboda and
                  Teruo Utsumi},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Creating the World's Largest Reconfigurable Supercomputing System
                  Based on the Scalable {ALTIX} System Infrastructure and Benchmarking
                  Life-Science Applications},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {266--271},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_27},
  doi          = {10.1007/978-3-540-78610-8\_27},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CoferFGLLMSU08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DragomirPBW08,
  author       = {Ozana Silvia Dragomir and
                  Elena Moscu Panainte and
                  Koen Bertels and
                  Stephan Wong},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Optimal Unroll Factor for Reconfigurable Architectures},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {4--14},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_4},
  doi          = {10.1007/978-3-540-78610-8\_4},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DragomirPBW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DziurzanskiM08,
  author       = {Piotr Dziurzanski and
                  Tomasz Maka},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Stream Transfer Balancing Scheme Utilizing Multi-Path Routing in Networks
                  on Chip},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {290--295},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_31},
  doi          = {10.1007/978-3-540-78610-8\_31},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DziurzanskiM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/EcheverriaTLL08,
  author       = {Pedro Echeverr{\'{\i}}a and
                  David B. Thomas and
                  Marisa L{\'{o}}pez{-}Vallejo and
                  Wayne Luk},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {An {FPGA} run-time parameterisable Log-Normal Random Number Generator},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {219--230},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_22},
  doi          = {10.1007/978-3-540-78610-8\_22},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/EcheverriaTLL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GadkeK08,
  author       = {Hagen G{\"{a}}dke and
                  Andreas Koch},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Accelerating Speculative Execution in High-Level Synthesis with Cancel
                  Tokens},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {183--194},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_19},
  doi          = {10.1007/978-3-540-78610-8\_19},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GadkeK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GaluzziB08,
  author       = {Carlo Galuzzi and
                  Koen Bertels},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {The Instruction-Set Extension Problem: {A} Survey},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {207--218},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_21},
  doi          = {10.1007/978-3-540-78610-8\_21},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GaluzziB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GaluzziB08a,
  author       = {Carlo Galuzzi and
                  Koen Bertels},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {A Framework for the Automatic Generation of Instruction-Set Extensions
                  for Reconfigurable Architectures},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {278--283},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_29},
  doi          = {10.1007/978-3-540-78610-8\_29},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GaluzziB08a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HannigRDT08,
  author       = {Frank Hannig and
                  Holger Ruckdeschel and
                  Hritam Dutta and
                  J{\"{u}}rgen Teich},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {{PARO:} Synthesis of Hardware Accelerators for Multi-Dimensional Dataflow-Intensive
                  Applications},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {284--289},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_30},
  doi          = {10.1007/978-3-540-78610-8\_30},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HannigRDT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Hartenstein08,
  author       = {Reiner W. Hartenstein},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {The von Neumann Syndrome and the {CS} Education Dilemma},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {3},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_3},
  doi          = {10.1007/978-3-540-78610-8\_3},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Hartenstein08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/IdalgoM08,
  author       = {Adriano Idalgo and
                  Nahri Moreano},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {{DNA} Physical Mapping on a Reconfigurable Platform},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {27--38},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_6},
  doi          = {10.1007/978-3-540-78610-8\_6},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/IdalgoM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JinTLC08,
  author       = {Qiwei Jin and
                  David B. Thomas and
                  Wayne Luk and
                  Benjamin Cope},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Exploring Reconfigurable Architectures for Binomial-Tree Pricing Models},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {243--253},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_24},
  doi          = {10.1007/978-3-540-78610-8\_24},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JinTLC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JovanovicTW08,
  author       = {Slavisa Jovanovic and
                  Camel Tanougast and
                  Serge Weber},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {A New Self-Managing Hardware Design Approach for FPGA-based Reconfigurable
                  Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {159--170},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_17},
  doi          = {10.1007/978-3-540-78610-8\_17},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JovanovicTW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KohlerSBS08,
  author       = {Steffen K{\"{o}}hler and
                  Jan Schirok and
                  Jens Braunes and
                  Rainer G. Spallek},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Efficiency of Dynamic Reconfigurable Datapath Extensions -- {A} Case
                  Study},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {296--301},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_32},
  doi          = {10.1007/978-3-540-78610-8\_32},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KohlerSBS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LinC08,
  author       = {Yo{-}Hsien Lin and
                  Jong{-}Chen Chen},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Neuromolecularware -- {A} Bio-inspired Evolvable Hardware and Its
                  Application to Medical Diagnosis},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {320--325},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_36},
  doi          = {10.1007/978-3-540-78610-8\_36},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LinC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LoT08,
  author       = {Chia{-}Tien Dan Lo and
                  Yi{-}Gang Tai},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Highly Space Efficient Counters for Perl Compatible Regular Expressions
                  in FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {51--62},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_8},
  doi          = {10.1007/978-3-540-78610-8\_8},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LoT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LopesC08,
  author       = {Antonio Roldao Lopes and
                  George A. Constantinides},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {A High Throughput FPGA-based Floating Point Conjugate Gradient Implementation},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {75--86},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_10},
  doi          = {10.1007/978-3-540-78610-8\_10},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LopesC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MarconiLBG08,
  author       = {Thomas Marconi and
                  Yi Lu and
                  Koen Bertels and
                  Georgi Gaydadjiev},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Online Hardware Task Scheduling and Placement Algorithm on Partially
                  Reconfigurable Devices},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {302--307},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_33},
  doi          = {10.1007/978-3-540-78610-8\_33},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MarconiLBG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Nakanishi08,
  author       = {Masaki Nakanishi},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {An {FPGA} Configuration Scheme for Bitstream Protection},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {326--331},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_37},
  doi          = {10.1007/978-3-540-78610-8\_37},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Nakanishi08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OliveiraSCA08,
  author       = {Filipe Oliveira and
                  Castro M. P. Silva Santos and
                  Fernando A. Castro and
                  Jos{\'{e}} Carlos Alves},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {A Custom Processor for a {TDMA} Solver in a {CFD} Application},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {63--74},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_9},
  doi          = {10.1007/978-3-540-78610-8\_9},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/OliveiraSCA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SaiprasertBC08,
  author       = {Chalermpol Saiprasert and
                  Christos{-}Savvas Bouganis and
                  George A. Constantinides},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Multivariate Gaussian Random Number Generator Targeting Specific Resource
                  Utilization in an {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {231--242},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_23},
  doi          = {10.1007/978-3-540-78610-8\_23},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SaiprasertBC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SanderBHB08,
  author       = {Oliver Sander and
                  Lars Braun and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Data reallocation by exploiting {FPGA} configuration mechanisms},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {308--313},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_34},
  doi          = {10.1007/978-3-540-78610-8\_34},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SanderBHB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SantnerPAA08,
  author       = {Shane Santner and
                  Wesley Peck and
                  Jason Agron and
                  David Andrews},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Symmetric Multiprocessor Design for Hybrid {CPU/FPGA} SoCs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {99--110},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_12},
  doi          = {10.1007/978-3-540-78610-8\_12},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SantnerPAA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SilvaDVN08,
  author       = {V{\'{\i}}tor Silva and
                  Rui Policarpo Duarte and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Hor{\'{a}}cio C. Neto},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Multiplier-based double precision floating point divider according
                  to the {IEEE-754} standard},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {260--265},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_26},
  doi          = {10.1007/978-3-540-78610-8\_26},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SilvaDVN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SinghG08,
  author       = {Satnam Singh and
                  David J. Greaves},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Synthesizing {FPGA} Circuits from Parallel Programs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {1},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_1},
  doi          = {10.1007/978-3-540-78610-8\_1},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SinghG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TuanA08,
  author       = {Vu Manh Tuan and
                  Hideharu Amano},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {A Preemption Algorithm for a Multitasking Environment on Dynamically
                  Reconfigurable Processor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {171--182},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_18},
  doi          = {10.1007/978-3-540-78610-8\_18},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TuanA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Underwood08,
  author       = {Keith D. Underwood},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {From Silicon to Science: The Long Road to Production Reconfigurable
                  Supercomputing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {2},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_2},
  doi          = {10.1007/978-3-540-78610-8\_2},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Underwood08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VassiliadisTN08,
  author       = {Nikolaos Vassiliadis and
                  George Theodoridis and
                  Spiridon Nikolaidis},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {{ARISE} Machines: Extending Processors with Hybrid Accelerators},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {195--206},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_20},
  doi          = {10.1007/978-3-540-78610-8\_20},
  timestamp    = {Tue, 04 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/VassiliadisTN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WielgoszJW08,
  author       = {Maciej Wielgosz and
                  Ernest Jamro and
                  Kazimierz Wiatr},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Highly efficient structure of 64-bit exponential function implemented
                  in FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {272--277},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_28},
  doi          = {10.1007/978-3-540-78610-8\_28},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WielgoszJW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/XiaDX08,
  author       = {Fei Xia and
                  Yong Dou and
                  Jinbo Xu},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Hardware {BLAST} Algorithms with Multi-seeds Detection and Parallel
                  Extension},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {39--50},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_7},
  doi          = {10.1007/978-3-540-78610-8\_7},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/XiaDX08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YazdaniCP08,
  author       = {Samar Yazdani and
                  Joel Cambonie and
                  Bernard Pottier},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Programming Reconfigurable Decoupled Application Control Accelerator
                  For Mobile Systems},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {15--26},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_5},
  doi          = {10.1007/978-3-540-78610-8\_5},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YazdaniCP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ZhouDLD08,
  author       = {Jie Zhou and
                  Yong Dou and
                  Yuanwu Lei and
                  Yazhuo Dong},
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Hybrid-Mode Floating-Point {FPGA} {CORDIC} Co-processor},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  pages        = {254--259},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8\_25},
  doi          = {10.1007/978-3-540-78610-8\_25},
  timestamp    = {Fri, 31 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ZhouDLD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2008,
  editor       = {Roger F. Woods and
                  Katherine Compton and
                  Christos{-}Savvas Bouganis and
                  Pedro C. Diniz},
  title        = {Reconfigurable Computing: Architectures, Tools and Applications, 4th
                  International Workshop, {ARC} 2008, London, UK, March 26-28, 2008.
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4943},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-3-540-78610-8},
  doi          = {10.1007/978-3-540-78610-8},
  isbn         = {978-3-540-78609-2},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2008.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ArmstrongLL07,
  author       = {Nilton B. Armstrong and
                  Heitor S. Lopes and
                  Carlos Raimundo Erig Lima},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Reconfigurable Computing for Accelerating Protein Folding Simulations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {314--325},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_29},
  doi          = {10.1007/978-3-540-71431-6\_29},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ArmstrongLL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BeuchatMOO07,
  author       = {Jean{-}Luc Beuchat and
                  Takanori Miyoshi and
                  Yoshihito Oyama and
                  Eiji Okamoto},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Multiplication over F\({}_{\mbox{p\({}^{\mbox{m}}\)}}\) on {FPGA:}
                  {A} Survey},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {214--225},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_20},
  doi          = {10.1007/978-3-540-71431-6\_20},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BeuchatMOO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BispoSCV07,
  author       = {Jo{\~{a}}o Bispo and
                  Ioannis Sourdis and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Synthesis of Regular Expressions Targeting FPGAs: Current Status and
                  Open Issues},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {179--190},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_17},
  doi          = {10.1007/978-3-540-71431-6\_17},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/BispoSCV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BouwensBKG07,
  author       = {Frank Bouwens and
                  Mladen Berekovic and
                  Andreas Kanstein and
                  Georgi Gaydadjiev},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Architectural Exploration of the {ADRES} Coarse-Grained Reconfigurable
                  Array},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {1--13},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_1},
  doi          = {10.1007/978-3-540-71431-6\_1},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BouwensBKG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChikhiDNQ07,
  author       = {Rayan Chikhi and
                  Steven Derrien and
                  Auguste Noumsi and
                  Patrice Quinton},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Combining Flash Memory and FPGAs to Efficiently Implement a Massively
                  Parallel Algorithm for Content-Based Image Retrieval},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {247--258},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_23},
  doi          = {10.1007/978-3-540-71431-6\_23},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChikhiDNQ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DiazRMC07,
  author       = {Javier D{\'{\i}}az and
                  Eduardo Ros and
                  Sonia Mota and
                  Richard R. Carrillo},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Image Processing Architecture for Local Features Computation},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {259--270},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_24},
  doi          = {10.1007/978-3-540-71431-6\_24},
  timestamp    = {Tue, 10 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/DiazRMC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DongDZ07,
  author       = {Yazhuo Dong and
                  Yong Dou and
                  Jie Zhou},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Optimized Generation of Memory Structure in Compiling Window Operations
                  onto Reconfigurable Hardware},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {110--121},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_11},
  doi          = {10.1007/978-3-540-71431-6\_11},
  timestamp    = {Fri, 31 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DongDZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DouXW07,
  author       = {Yong Dou and
                  Jinhui Xu and
                  Guiming Wu},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {The Implementation of a Coarse-Grained Reconfigurable Architecture
                  with Loop Self-pipelining},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {155--166},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_15},
  doi          = {10.1007/978-3-540-71431-6\_15},
  timestamp    = {Thu, 25 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/DouXW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Drimer07,
  author       = {Saar Drimer},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Authentication of {FPGA} Bitstreams: Why and How},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {73--84},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_7},
  doi          = {10.1007/978-3-540-71431-6\_7},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Drimer07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FerlinLLC07,
  author       = {Edson Pedro Ferlin and
                  Heitor S. Lopes and
                  Carlos Raimundo Erig Lima and
                  Ederson Cichaczewski},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Reconfigurable Parallel Architecture for Genetic Algorithms: Application
                  to the Synthesis of Digital Circuits},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {326--336},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_30},
  doi          = {10.1007/978-3-540-71431-6\_30},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FerlinLLC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FerrerBM07,
  author       = {Edgar Ferrer and
                  Dorothy Bollman and
                  Oscar Moreno},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {A Fast Finite Field Multiplier},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {238--246},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_22},
  doi          = {10.1007/978-3-540-71431-6\_22},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FerrerBM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GaluzziBV07,
  author       = {Carlo Galuzzi and
                  Koen Bertels and
                  Stamatis Vassiliadis},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {A Linear Complexity Algorithm for the Automatic Generation of Convex
                  Multiple Input Multiple Output Instructions},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {130--141},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_13},
  doi          = {10.1007/978-3-540-71431-6\_13},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GaluzziBV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HerveMS07,
  author       = {Nicolas Herv{\'{e}} and
                  Daniel M{\'{e}}nard and
                  Olivier Sentieys},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {About the Importance of Operation Grouping Procedures for Multiple
                  Word-Length Architecture Optimizations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {191--200},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_18},
  doi          = {10.1007/978-3-540-71431-6\_18},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HerveMS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HiramotoNYN07,
  author       = {Shinya Hiramoto and
                  Masaki Nakanishi and
                  Shigeru Yamashita and
                  Yasuhiko Nakashima},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {A Hardware {SAT} Solver Using Non-chronological Backtracking and Clause
                  Recording Without Overheads},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {343--349},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_32},
  doi          = {10.1007/978-3-540-71431-6\_32},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HiramotoNYN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HurSWV07,
  author       = {Jae Young Hur and
                  Todor P. Stefanov and
                  Stephan Wong and
                  Stamatis Vassiliadis},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Systematic Customization of On-Chip Crossbar Interconnects},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {61--72},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_6},
  doi          = {10.1007/978-3-540-71431-6\_6},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HurSWV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HurWV07,
  author       = {Jae Young Hur and
                  Stephan Wong and
                  Stamatis Vassiliadis},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Partially Reconfigurable Point-to-Point Interconnects in Virtex-II
                  Pro FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {49--60},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_5},
  doi          = {10.1007/978-3-540-71431-6\_5},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HurWV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JevticCC07,
  author       = {Ruzica Jevtic and
                  Carlos Carreras and
                  Gabriel Caffarena},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Switching Activity Models for Power Estimation in {FPGA} Multipliers},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {201--213},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_19},
  doi          = {10.1007/978-3-540-71431-6\_19},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JevticCC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Knittel07,
  author       = {G{\"{u}}nter Knittel},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {A Compact Shader for FPGA-Based Volume Rendering Accelerators},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {271--282},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_25},
  doi          = {10.1007/978-3-540-71431-6\_25},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Knittel07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LeeCHKMPLKL07,
  author       = {Yong{-}Min Lee and
                  Chang{-}Seok Choi and
                  Seung{-}Gon Hwang and
                  Hyun Dong Kim and
                  Chul Hong Min and
                  Jaehyun Park and
                  Hanho Lee and
                  Tae{-}Seon Kim and
                  Chong Ho Lee},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Ubiquitous Evolvable Hardware System for Heart Disease Diagnosis Applications},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {283--292},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_26},
  doi          = {10.1007/978-3-540-71431-6\_26},
  timestamp    = {Thu, 02 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/LeeCHKMPLKL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LeeHS07,
  author       = {Jae{-}Jin Lee and
                  Dong{-}Guk Hwang and
                  Gi{-}Yong Song},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Design of a Reversible {PLD} Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {85--90},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_8},
  doi          = {10.1007/978-3-540-71431-6\_8},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LeeHS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LeeLC07,
  author       = {Je{-}Hoon Lee and
                  Seung{-}Sook Lee and
                  Kyoung{-}Rok Cho},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Asynchronous {ARM} Processor Employing an Adaptive Pipeline Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {39--48},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_4},
  doi          = {10.1007/978-3-540-71431-6\_4},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LeeLC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LimaLMM07,
  author       = {Carlos Raimundo Erig Lima and
                  Heitor S. Lopes and
                  Maiko R. Moroz and
                  Ramon M. Menezes},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Multiple Sequence Alignment Using Reconfigurable Computing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {379--384},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_37},
  doi          = {10.1007/978-3-540-71431-6\_37},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LimaLMM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MatsuyamaANYIS07,
  author       = {Kazunori Matsuyama and
                  Motoki Amagasaki and
                  Hideaki Nakayama and
                  Ryoichi Yamaguchi and
                  Masahiro Iida and
                  Toshinori Sueyoshi},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Evaluating Variable-Grain Logic Cells Using Heterogeneous Technology
                  Mapping},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {142--154},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_14},
  doi          = {10.1007/978-3-540-71431-6\_14},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MatsuyamaANYIS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/McGettrickGM07,
  author       = {S{\'{e}}amas McGettrick and
                  Dermot Geraghty and
                  Ciar{\'{a}}n McElroy},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Searching the Web with an {FPGA} Based Search Engine},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {350--357},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_33},
  doi          = {10.1007/978-3-540-71431-6\_33},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/McGettrickGM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MotaRDRC07,
  author       = {Sonia Mota and
                  Eduardo Ros and
                  Javier D{\'{\i}}az and
                  Rafael Rodr{\'{\i}}guez{-}G{\'{o}}mez and
                  Richard R. Carrillo},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {A Space Variant Mapping Architecture for Reliable Car Segmentation},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {337--342},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_31},
  doi          = {10.1007/978-3-540-71431-6\_31},
  timestamp    = {Tue, 10 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MotaRDRC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ParkD07,
  author       = {Joonseok Park and
                  Pedro C. Diniz},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Partial Data Reuse for Windowing Computations: Performance Modeling
                  for {FPGA} Implementations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {97--109},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_10},
  doi          = {10.1007/978-3-540-71431-6\_10},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ParkD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RockeMMM07,
  author       = {Patrick Rocke and
                  Brian McGinley and
                  Fearghal Morgan and
                  John Maher},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Reconfigurable Hardware Evolution Platform for a Spiking Neural Network
                  Robotics Controller},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {373--378},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_36},
  doi          = {10.1007/978-3-540-71431-6\_36},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RockeMMM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Rodriguez-HenriquezMSC07,
  author       = {Francisco Rodr{\'{\i}}guez{-}Henr{\'{\i}}quez and
                  Guillermo Morales{-}Luna and
                  Nazar Abbas Saqib and
                  Nareli Cruz Cort{\'{e}}s},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {A Parallel Version of the Itoh-Tsujii Multiplicative Inversion Algorithm},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {226--237},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_21},
  doi          = {10.1007/978-3-540-71431-6\_21},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Rodriguez-HenriquezMSC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SaghirN07,
  author       = {Mazen A. R. Saghir and
                  Rawan Naous},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {A Configurable Multi-ported Register File Architecture for Soft Processor
                  Cores},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {14--25},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_2},
  doi          = {10.1007/978-3-540-71431-6\_2},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SaghirN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Scholz07,
  author       = {Rainer Scholz},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Adapting and Automating XILINX's Partial Reconfiguration Flow for
                  Multiple Module Implementations},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {122--129},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_12},
  doi          = {10.1007/978-3-540-71431-6\_12},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Scholz07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SioziosMST07,
  author       = {Kostas Siozios and
                  Stelios Mamagkakis and
                  Dimitrios Soudris and
                  Antonios Thanailakis},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Designing Heterogeneous FPGAs with Multiple SBs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {91--96},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_9},
  doi          = {10.1007/978-3-540-71431-6\_9},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SioziosMST07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ThomasLS07,
  author       = {David B. Thomas and
                  Wayne Luk and
                  Michael Stumpf},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Reconfigurable Hardware Acceleration of Canonical Graph Labelling},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {302--313},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_28},
  doi          = {10.1007/978-3-540-71431-6\_28},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ThomasLS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TomasiDR07,
  author       = {Matteo Tomasi and
                  Javier D{\'{\i}}az and
                  Eduardo Ros},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Real Time Architectures for Moving-Objects Tracking},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {365--372},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_35},
  doi          = {10.1007/978-3-540-71431-6\_35},
  timestamp    = {Tue, 10 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/TomasiDR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Torres-HuitzilGG07,
  author       = {C{\'{e}}sar Torres{-}Huitzil and
                  Bernard Girau and
                  Adrien Gauffriau},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Hardware/Software Codesign for Embedded Implementation of Neural Networks},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {167--178},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_16},
  doi          = {10.1007/978-3-540-71431-6\_16},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Torres-HuitzilGG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WeinertBLL07,
  author       = {Wagner Rodrigo Weinert and
                  C{\'{e}}sar Manuel Vargas Ben{\'{\i}}tez and
                  Heitor S. Lopes and
                  Carlos Raimundo Erig Lima},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Simulation of the Dynamic Behavior of One-Dimensional Cellular Automata
                  Using Reconfigurable Computing},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {385--390},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_38},
  doi          = {10.1007/978-3-540-71431-6\_38},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WeinertBLL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WuKMB07,
  author       = {Kehuai Wu and
                  Andreas Kanstein and
                  Jan Madsen and
                  Mladen Berekovic},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {{MT-ADRES:} Multithreading on Coarse-Grained Reconfigurable Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {26--38},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_3},
  doi          = {10.1007/978-3-540-71431-6\_3},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WuKMB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YamaguchiKOM07,
  author       = {Yoshiki Yamaguchi and
                  Kenji Kanazawa and
                  Yoshiharu Ohke and
                  Tsutomu Maruyama},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {An Acceleration Method for Evolutionary Systems Based on Iterated
                  Prisoner's Dilemma},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {358--364},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_34},
  doi          = {10.1007/978-3-540-71431-6\_34},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YamaguchiKOM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YangMD07,
  author       = {Xiaodong Yang and
                  Shengmei Mou and
                  Yong Dou},
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {FPGA-Accelerated Molecular Dynamics Simulations: An Overview},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  pages        = {293--301},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6\_27},
  doi          = {10.1007/978-3-540-71431-6\_27},
  timestamp    = {Thu, 31 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YangMD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2007,
  editor       = {Pedro C. Diniz and
                  Eduardo Marques and
                  Koen Bertels and
                  Marcio Merino Fernandes and
                  Jo{\~{a}}o M. P. Cardoso},
  title        = {Reconfigurable Computing: Architectures, Tools and Applications, Third
                  International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29,
                  2007},
  series       = {Lecture Notes in Computer Science},
  volume       = {4419},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-71431-6},
  doi          = {10.1007/978-3-540-71431-6},
  isbn         = {978-3-540-71430-9},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2007.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AgisDRCO06,
  author       = {Rodrigo Ag{\'{\i}}s and
                  Javier D{\'{\i}}az and
                  Eduardo Ros and
                  Richard R. Carrillo and
                  Eva M. Ortigosa},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Event-Driven Simulation Engine for Spiking Neural Networks on a Chip},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {36--45},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_6},
  doi          = {10.1007/11802839\_6},
  timestamp    = {Tue, 10 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/AgisDRCO06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/AngCCL06,
  author       = {Su{-}Shin Ang and
                  George A. Constantinides and
                  Peter Y. K. Cheung and
                  Wayne Luk},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {A Flexible Multi-port Caching Scheme for Reconfigurable Platforms},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {205--216},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_29},
  doi          = {10.1007/11802839\_29},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/AngCCL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BeckGC06,
  author       = {Antonio Carlos Schneider Beck and
                  Victor F. Gomes and
                  Luigi Carro},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Dynamic Instruction Merging and a Reconfigurable Array: Dataflow Execution
                  with Software Compatibility},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {449--454},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_54},
  doi          = {10.1007/11802839\_54},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BeckGC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BenitezMRL06,
  author       = {Domingo Benitez and
                  Juan C. Moure and
                  Dolores Rexachs and
                  Emilio Luque},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {A Reconfigurable Data Cache for Adaptive Processors},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {230--242},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_31},
  doi          = {10.1007/11802839\_31},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BenitezMRL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BonatoHM06,
  author       = {Vanderlei Bonato and
                  Jos{\'{e}} A. M. de Holanda and
                  Eduardo Marques},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {An Embedded Multi-camera System for Simultaneous Localization and
                  Mapping},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {109--114},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_15},
  doi          = {10.1007/11802839\_15},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BonatoHM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BrandenBTD06,
  author       = {Gerd Van den Branden and
                  Geert Braeckman and
                  Abdellah Touhafi and
                  Erik F. Dirkx},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Case Study: Implementation of a Virtual Instrument on a Dynamically
                  Reconfigurable Platform},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {12--17},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_2},
  doi          = {10.1007/11802839\_2},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BrandenBTD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BraunesS06,
  author       = {Jens Braunes and
                  Rainer G. Spallek},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {A Compiler-Oriented Architecture Description for Reconfigurable Systems},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {443--448},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_53},
  doi          = {10.1007/11802839\_53},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BraunesS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BravoJMLM06,
  author       = {Ignacio Bravo Mu{\~{n}}oz and
                  Pedro Jim{\'{e}}nez and
                  Manuel Mazo and
                  Jos{\'{e}} Luis L{\'{a}}zaro and
                  Ernesto Mart{\'{\i}}n Gorostiza},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Architecture Based on FPGA's for Real-Time Image Processing},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {152--157},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_21},
  doi          = {10.1007/11802839\_21},
  timestamp    = {Thu, 20 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/BravoJMLM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/BuyukkurtGN06,
  author       = {Betul Buyukkurt and
                  Zhi Guo and
                  Walid A. Najjar},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Impact of Loop Unrolling on Area, Throughput and Clock Frequency in
                  {ROCCC:} {C} to {VHDL} Compiler for FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {401--412},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_48},
  doi          = {10.1007/11802839\_48},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/BuyukkurtGN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/CichonGP06,
  author       = {Slawomir Cichon and
                  Marek Gorgon and
                  Miroslaw Pac},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Handel-C Design Enhancement for FPGA-Based {DV} Decoder},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {128--133},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_18},
  doi          = {10.1007/11802839\_18},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/CichonGP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DaleSKLHS06,
  author       = {Kevin Dale and
                  Jeremy W. Sheaffer and
                  Vinu Vijay Kumar and
                  David P. Luebke and
                  Greg Humphreys and
                  Kevin Skadron},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Applications of Small-Scale Reconfigurability to Graphics Processors},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {99--108},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_14},
  doi          = {10.1007/11802839\_14},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DaleSKLHS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DiazVMR06,
  author       = {Javier D{\'{\i}}az and
                  Eduardo Ros Vidal and
                  Sonia Mota and
                  Rafael Rodr{\'{\i}}guez{-}G{\'{o}}mez},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Highly Paralellized Architecture for Image Motion Estimation},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {75--86},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_11},
  doi          = {10.1007/11802839\_11},
  timestamp    = {Wed, 22 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/DiazVMR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/DormaleQ06,
  author       = {Guerric Meurice de Dormale and
                  Jean{-}Jacques Quisquater},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Iterative Modular Division over GF(2\({}^{\mbox{\emph{m}}}\)): Novel
                  Algorithm and Implementations on {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {370--382},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_45},
  doi          = {10.1007/11802839\_45},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/DormaleQ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/FonsFCL06,
  author       = {Francisco Fons and
                  Mariano Fons and
                  Enrique Cant{\'{o}} and
                  Mariano L{\'{o}}pez},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Trigonometric Computing Embedded in a Dynamically Reconfigurable {CORDIC}
                  System-on-Chip},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {122--127},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_17},
  doi          = {10.1007/11802839\_17},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/FonsFCL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GericotaALF06,
  author       = {Manuel G. Gericota and
                  Gustavo R. Alves and
                  Lu{\'{\i}}s F. Lemos and
                  Jos{\'{e}} M. Ferreira},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {A New Approach to Assess Defragmentation Strategies in Dynamically
                  Reconfigurable FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {262--267},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_34},
  doi          = {10.1007/11802839\_34},
  timestamp    = {Tue, 28 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GericotaALF06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Gonzalez-GomezGGB06,
  author       = {J. Gonzalez{-}Gomez and
                  Iv{\'{a}}n Gonz{\'{a}}lez and
                  Francisco J. Gomez{-}Arribas and
                  Eduardo I. Boemo},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Evaluation of a Locomotion Algorithm for Worm-Like Robots on FPGA-Embedded
                  Processors},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {24--29},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_4},
  doi          = {10.1007/11802839\_4},
  timestamp    = {Fri, 12 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Gonzalez-GomezGGB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GotzD06,
  author       = {Marcelo G{\"{o}}tz and
                  Florian Dittmann},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Scheduling Reconfiguration Activities of Run-Time Reconfigurable {RTOS}
                  Using an Aperiodic Task Server},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {255--261},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_33},
  doi          = {10.1007/11802839\_33},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GotzD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GuntoroZSKKG06,
  author       = {Andre Guntoro and
                  Peter Zipf and
                  Oliver Soffke and
                  Harald Klingbeil and
                  Martin Kumm and
                  Manfred Glesner},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Implementation of Realtime and Highspeed Phase Detector on {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {1--11},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_1},
  doi          = {10.1007/11802839\_1},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GuntoroZSKKG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/GuoBF06,
  author       = {Jie Guo and
                  Gleb Belov and
                  Gerhard P. Fettweis},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {A Basic Data Routing Model for a Coarse-Grain Reconfigurable Hardware},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {419--424},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_50},
  doi          = {10.1007/11802839\_50},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/GuoBF06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/HeyrmanPCVBP06,
  author       = {Kris Heyrman and
                  Antonis Papanikolaou and
                  Francky Catthoor and
                  Peter Veelaert and
                  Koen De Bosschere and
                  Wilfried Philips},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Energy Consumption for Transport of Control Information on a Segmented
                  Software-Controlled Communication Architecture},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {52--58},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_8},
  doi          = {10.1007/11802839\_8},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/HeyrmanPCVBP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/JoshiVS06,
  author       = {Hiren Joshi and
                  S. S. Verma and
                  G. K. Sharma},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Quality Driven Dynamic Low Power Reconfiguration of Handhelds},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {59--64},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_9},
  doi          = {10.1007/11802839\_9},
  timestamp    = {Wed, 17 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/JoshiVS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KavaldjievSWJ06,
  author       = {Nikolay Kavaldjiev and
                  Gerard J. M. Smit and
                  Pascal T. Wolkotte and
                  Pierre G. Jansen},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Providing QoS Guarantees in a NoC by Virtual Channel Reservation},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {299--310},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_38},
  doi          = {10.1007/11802839\_38},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KavaldjievSWJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/KellerKCM06,
  author       = {Maurice Keller and
                  Tim Kerins and
                  Francis M. Crowe and
                  William P. Marnane},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {{FPGA} Implementation of a \emph{GF}(2\({}^{\mbox{\emph{m}}}\)) Tate
                  Pairing Architecture},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {358--369},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_44},
  doi          = {10.1007/11802839\_44},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/KellerKCM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LeeS06,
  author       = {Jae{-}Jin Lee and
                  Gi{-}Yong Song},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {High-Level Synthesis Using {SPARK} and Systolic Array},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {455--460},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_55},
  doi          = {10.1007/11802839\_55},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LeeS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LeeS06a,
  author       = {Jae{-}Jin Lee and
                  Gi{-}Yong Song},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Super Semi-systolic Array-Based Application-Specific {PLD} Architecture},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {461--466},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_56},
  doi          = {10.1007/11802839\_56},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LeeS06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LepistoTO06,
  author       = {Niklas Lepist{\"{o}} and
                  Benny Th{\"{o}}rnberg and
                  Mattias O'Nils},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Design Exploration of a Video Pre-processor for an {FPGA} Based SoC},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {87--92},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_12},
  doi          = {10.1007/11802839\_12},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/LepistoTO06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MentensBPV06,
  author       = {Nele Mentens and
                  Lejla Batina and
                  Bart Preneel and
                  Ingrid Verbauwhede},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Time-Memory Trade-Off Attack on {FPGA} Platforms: {UNIX} Password
                  Cracking},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {323--334},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_41},
  doi          = {10.1007/11802839\_41},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MentensBPV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MotaVDT06,
  author       = {Sonia Mota and
                  Eduardo Ros Vidal and
                  Javier D{\'{\i}}az and
                  Francisco de Toro},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {General Purpose Real-Time Image Segmentation System},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {164--169},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_23},
  doi          = {10.1007/11802839\_23},
  timestamp    = {Wed, 22 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/MotaVDT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/NgouangaSTGSS06,
  author       = {Alex Ngouanga and
                  Gilles Sassatelli and
                  Lionel Torres and
                  Thierry Gil and
                  Andr{\'{e}} Borin Suarez and
                  Altamiro Amadeu Susin},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Run-Time Resources Management on Coarse Grained, Packet-Switching
                  Reconfigurable Architecture: {A} Case Study Through the APACHES' Platform},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {134--145},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_19},
  doi          = {10.1007/11802839\_19},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/NgouangaSTGSS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OhLL06,
  author       = {Yeong{-}Jae Oh and
                  Hanho Lee and
                  Chong Ho Lee},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Dynamic Partial Reconfigurable {FIR} Filter Design},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {30--35},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_5},
  doi          = {10.1007/11802839\_5},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/OhLL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/OrtigosaCRDM06,
  author       = {Eva M. Ortigosa and
                  Antonio Ca{\~{n}}as and
                  Rafael Rodr{\'{\i}}guez{-}G{\'{o}}mez and
                  Javier D{\'{\i}}az and
                  Sonia Mota},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Towards an Optimal Implementation of {MLP} in {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {46--51},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_7},
  doi          = {10.1007/11802839\_7},
  timestamp    = {Mon, 27 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/OrtigosaCRDM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ParkSK06,
  author       = {Joong{-}ho Park and
                  Bang{-}Hyun Sung and
                  Seok{-}Yoon Kim},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {An Efficient Estimation Method of Dynamic Power Dissipation on {VLSI}
                  Interconnects},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {65--74},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_10},
  doi          = {10.1007/11802839\_10},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ParkSK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/PiedadeS06,
  author       = {Rodrigo Piedade and
                  Leonel Sousa},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Configurable Embedded Core for Controlling Electro-Mechanical Systems},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {18--23},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_3},
  doi          = {10.1007/11802839\_3},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/PiedadeS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/Poznanovic06,
  author       = {Daniel S. Poznanovic},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {The Emergence of Non-von Neumann Processors},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {243--254},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_32},
  doi          = {10.1007/11802839\_32},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/Poznanovic06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/QinSB06,
  author       = {Hui Qin and
                  Tsutomu Sasao and
                  Jon T. Butler},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Implementation of {LPM} Address Generators on FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {170--181},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_24},
  doi          = {10.1007/11802839\_24},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/QinSB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RodriguezSD06,
  author       = {David Rodr{\'{\i}}guez and
                  Juan M. S{\'{a}}nchez and
                  Arturo Duran},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Mobile Fingerprint Identification Using a Hardware Accelerated Biometric
                  Service Provider},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {383--388},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_46},
  doi          = {10.1007/11802839\_46},
  timestamp    = {Mon, 10 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RodriguezSD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RomanSMM06,
  author       = {Sara Rom{\'{a}}n Navarro and
                  Julio Septi{\'{e}}n and
                  Hortensia Mecha and
                  Daniel Mozos},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Constant Complexity Management of 2D {HW} Multitasking in Run-Time
                  Reconfigurable FPGAs},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {187--192},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_26},
  doi          = {10.1007/11802839\_26},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RomanSMM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RosDMVP06,
  author       = {Eduardo Ros Vidal and
                  Javier D{\'{\i}}az and
                  Sonia Mota and
                  F. Vargas{-}Mart{\'{\i}}n and
                  Maria Dolores Pel{\'{a}}ez{-}Coca},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Real Time Image Processing on a Portable Aid Device for Low Vision
                  Patients},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {158--163},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_22},
  doi          = {10.1007/11802839\_22},
  timestamp    = {Wed, 09 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/RosDMVP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SakiyamaMBPV06,
  author       = {Kazuo Sakiyama and
                  Nele Mentens and
                  Lejla Batina and
                  Bart Preneel and
                  Ingrid Verbauwhede},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Reconfigurable Modular Arithmetic Logic Unit for High-Performance
                  Public-Key Cryptosystems},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {347--357},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_43},
  doi          = {10.1007/11802839\_43},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SakiyamaMBPV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ScholzB06,
  author       = {Rainer Scholz and
                  Klaus Buchenrieder},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Self Reconfiguring {EPIC} Soft Core Processors},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {182--186},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_25},
  doi          = {10.1007/11802839\_25},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ScholzB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SeoK06,
  author       = {Young{-}Ho Seo and
                  Dong{-}Wook Kim},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {A New {VLSI} Architecture of Lifting-Based {DWT}},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {146--151},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_20},
  doi          = {10.1007/11802839\_20},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SeoK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ShuklaBB06,
  author       = {Sunil Shukla and
                  Neil W. Bergmann and
                  J{\"{u}}rgen Becker},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {{QUKU:} {A} Fast Run Time Reconfigurable Platform for Image Edge Detection},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {93--98},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_13},
  doi          = {10.1007/11802839\_13},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ShuklaBB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SinghBBNBB06,
  author       = {Sanjay Pratap Singh and
                  Shilpa Bhoj and
                  Dheera Balasubramanian and
                  Tanvi Nagda and
                  Dinesh Bhatia and
                  Poras T. Balsara},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Generic Network Interfaces for Plug and Play NoC Based Architecture},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {287--298},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_37},
  doi          = {10.1007/11802839\_37},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SinghBBNBB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SoKCKS06,
  author       = {Kwangsup So and
                  Jin{-}Sang Kim and
                  Won{-}Kyung Cho and
                  Young Soo Kim and
                  Doug Young Suh},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Implementation of Inner Product Architecture for Increased Flexibility
                  in Bitwidths of Input Array},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {199--204},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_28},
  doi          = {10.1007/11802839\_28},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SoKCKS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/StandaertMPQ06,
  author       = {Fran{\c{c}}ois{-}Xavier Standaert and
                  Fran{\c{c}}ois Mac{\'{e}} and
                  Eric Peeters and
                  Jean{-}Jacques Quisquater},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Updates on the Security of FPGAs Against Power Analysis Attacks},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {335--346},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_42},
  doi          = {10.1007/11802839\_42},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/StandaertMPQ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SureshGBN06,
  author       = {Dinesh C. Suresh and
                  Zhi Guo and
                  Betul Buyukkurt and
                  Walid A. Najjar},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Automatic Compilation Framework for Bloom Filter Based Intrusion Detection},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {413--418},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_49},
  doi          = {10.1007/11802839\_49},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SureshGBN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SutterMBABMCCCCFDTKKK06,
  author       = {Bjorn De Sutter and
                  Bingfeng Mei and
                  T. Andrei Bartic and
                  Tom Vander Aa and
                  Mladen Berekovic and
                  Jean{-}Yves Mignolet and
                  Kris Croes and
                  Paul Coene and
                  Miro Cupac and
                  A{\"{\i}}ssa Couvreur and
                  Andy Folens and
                  Steven Dupont and
                  Bert Van Thielen and
                  Andreas Kanstein and
                  Hong{-}Seok Kim and
                  Sukjin Kim},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Hardware and a Tool Chain for {ADRES}},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {425--430},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_51},
  doi          = {10.1007/11802839\_51},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SutterMBABMCCCCFDTKKK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TichySG06,
  author       = {Milan Tich{\'{y}} and
                  Jan Schier and
                  David Gregg},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Efficient Floating-Point Implementation of High-Order {(N)LMS} Adaptive
                  Filters in {FPGA}},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {311--316},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_39},
  doi          = {10.1007/11802839\_39},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TichySG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/TuanHKA06,
  author       = {Vu Manh Tuan and
                  Yohei Hasegawa and
                  Naohiro Katsura and
                  Hideharu Amano},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Performance/Cost Trade-Off Evaluation for the {DCT} Implementation
                  on the Dynamically Reconfigurable Processor},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {115--121},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_16},
  doi          = {10.1007/11802839\_16},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/TuanHKA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VassiliadisKWPGBC06,
  author       = {Stamatis Vassiliadis and
                  Georgi Kuzmanov and
                  Stephan Wong and
                  Elena Moscu Panainte and
                  Georgi Gaydadjiev and
                  Koen Bertels and
                  Dmitry Cheresiz},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {{PISC:} Polymorphic Instruction Set Computers},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {274--286},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_36},
  doi          = {10.1007/11802839\_36},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/VassiliadisKWPGBC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VassiliadisTN06,
  author       = {Nikolaos Vassiliadis and
                  George Theodoridis and
                  Spiridon Nikolaidis},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Enhancing a Reconfigurable Instruction Set Processor with Partial
                  Predication and Virtual Opcode Support},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {217--229},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_30},
  doi          = {10.1007/11802839\_30},
  timestamp    = {Tue, 04 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/VassiliadisTN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/VestiasN06,
  author       = {M{\'{a}}rio P. V{\'{e}}stias and
                  Hor{\'{a}}cio C. Neto},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Area/Performance Improvement of NoC Architectures},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {193--198},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_27},
  doi          = {10.1007/11802839\_27},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/VestiasN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WangLP06,
  author       = {Hongzhi Wang and
                  Pierre Leray and
                  Jacques Palicot},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {A Reconfigurable Architecture for {MIMO} Square Root Decoder},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {317--322},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_40},
  doi          = {10.1007/11802839\_40},
  timestamp    = {Wed, 06 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WangLP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WatanabeK06,
  author       = {Minoru Watanabe and
                  Fuminori Kobayashi},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {A 1, 632 Gate-Count Zero-Overhead Dynamic Optically Reconfigurable
                  Gate Array {VLSI}},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {268--273},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_35},
  doi          = {10.1007/11802839\_35},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WatanabeK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WhithamA06,
  author       = {Jack Whitham and
                  Neil C. Audsley},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Integrating Custom Instruction Specifications into {C} Development
                  Processes},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {431--442},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_52},
  doi          = {10.1007/11802839\_52},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/WhithamA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/YusufLSO06,
  author       = {Sherif Yusuf and
                  Wayne Luk and
                  M. K. N. Szeto and
                  William George Osborne},
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {{UNITE:} Uniform Hardware-Based Network Intrusion deTection Engine},
  booktitle    = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  pages        = {389--400},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839\_47},
  doi          = {10.1007/11802839\_47},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/YusufLSO06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/arc/2006,
  editor       = {Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Stamatis Vassiliadis},
  title        = {Reconfigurable Computing: Architectures and Applications, Second International
                  Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised
                  Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3985},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11802839},
  doi          = {10.1007/11802839},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/2006.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics