Search dblp for Publications

export results for "stream:streams/conf/mcsoc:"

 download as .bib file

@inproceedings{DBLP:conf/mcsoc/AbbottB23,
  author       = {Thomas Abbott and
                  Bevan M. Baas},
  title        = {A Scalable {JPEG} Encoder on a Many-Core Array},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {411--418},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00068},
  doi          = {10.1109/MCSOC60832.2023.00068},
  timestamp    = {Fri, 09 Feb 2024 20:38:48 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AbbottB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AbdollahiMSMEBB23,
  author       = {Meisam Abdollahi and
                  Sepideh Mashhadi and
                  Ramin Sabzalizadeh and
                  Alireza Mirzaei and
                  Mohammad Elahi and
                  Mohammad Baharloo and
                  Amirali Baniasadi},
  title        = {IODnet: Indoor/Outdoor Telecommunication Signal Detection through
                  Deep Neural Network},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {134--141},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00028},
  doi          = {10.1109/MCSOC60832.2023.00028},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AbdollahiMSMEBB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AnantharajaiahLHB23,
  author       = {Nidhi Anantharajaiah and
                  Fabian Lesniak and
                  Tanja Harbaum and
                  J{\"{u}}rgen Becker},
  title        = {Reinforcement Learning Enabled Multi-Layered NoC for Mixed Criticality
                  Systems},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {38--44},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00014},
  doi          = {10.1109/MCSOC60832.2023.00014},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AnantharajaiahLHB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BaggaGJ23,
  author       = {Sachin Bagga and
                  Ruchika Gupta and
                  John Jose},
  title        = {PortBlocker: Detection and Mitigation of Hardware Trojan through Re-routing
                  and Bypassing},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {325--331},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00055},
  doi          = {10.1109/MCSOC60832.2023.00055},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BaggaGJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BarocciFMU23,
  author       = {Michelangelo Barocci and
                  Vittorio Fra and
                  Enrico Macii and
                  Gianvito Urgese},
  title        = {Review of open neuromorphic architectures and a first integration
                  in the {RISC-V} {PULP} platform},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {470--477},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00076},
  doi          = {10.1109/MCSOC60832.2023.00076},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BarocciFMU23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BellodiBBFFZ23,
  author       = {Elena Bellodi and
                  Davide Bertozzi and
                  Alice Bizzarri and
                  Michele Favalli and
                  Michele Fraccaroli and
                  Riccardo Zese},
  title        = {Efficient Resource-Aware Neural Architecture Search with a Neuro-Symbolic
                  Approach},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {171--178},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00034},
  doi          = {10.1109/MCSOC60832.2023.00034},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BellodiBBFFZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BenAsherQ23,
  author       = {Yosi Ben{-}Asher and
                  Ibrahim Qashqoush},
  title        = {Using Multiple Clocks in Highlevel Synthesis to overcome unbalanced
                  clock cycles},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {552--559},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00087},
  doi          = {10.1109/MCSOC60832.2023.00087},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BenAsherQ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BenedettiCRC23,
  author       = {Priscilla Benedetti and
                  Giuseppe Coviello and
                  Kunal Rao and
                  Srimat Chakradhar},
  title        = {Scale Up while Scaling Out Microservices in Video Analytics Pipelines},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {584--591},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00091},
  doi          = {10.1109/MCSOC60832.2023.00091},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BenedettiCRC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BenoitL23,
  author       = {Nicolas Benoit and
                  St{\'{e}}phane Louise},
  title        = {Runtime support for automatic placement of workloads on heterogeneous
                  processors},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {210--217},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00039},
  doi          = {10.1109/MCSOC60832.2023.00039},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BenoitL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BrehlerC23,
  author       = {Marius Brehler and
                  Lucas Camphausen},
  title        = {Combining Decision Tree and Convolutional Neural Network for Energy
                  Efficient On-Device Activity Recognition},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {179--185},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00035},
  doi          = {10.1109/MCSOC60832.2023.00035},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BrehlerC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DaoBKTB23,
  author       = {Hiep Manh Dao and
                  Vincent Beroulle and
                  Yann Kieffer and
                  Xuan{-}Tu Tran and
                  Duy{-}Hieu Bui},
  title        = {Low-cost Low-Power Implementation of Binary Edwards Curve for Secure
                  Passive {RFID} Tags},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {494--500},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00079},
  doi          = {10.1109/MCSOC60832.2023.00079},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DaoBKTB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DaoP23,
  author       = {Hong N. Dao and
                  Incheon Paik},
  title        = {Patient Similarity using Electronic Health Records and Self-supervised
                  Learning},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {105--110},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00023},
  doi          = {10.1109/MCSOC60832.2023.00023},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DaoP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DarwichKIB23,
  author       = {Mahmoud Darwich and
                  Kasem Khalil and
                  Yasser Ismail and
                  Magdy A. Bayoumi},
  title        = {Deep Learning-Driven Video Summarization on the Cloud: {A} Pathway
                  to Efficient Storage and Quick Access},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {360--365},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00060},
  doi          = {10.1109/MCSOC60832.2023.00060},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DarwichKIB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DuongPLTINTN23,
  author       = {Thi Sang Duong and
                  Hoai Luan Pham and
                  Vu Trung Duong Le and
                  Thi Diem Tran and
                  Ren Imamura and
                  Quoc Duy Nam Nguyen and
                  Thi Hong Tran and
                  Yasuhiko Nakashima},
  title        = {Universal 32/64-bit {CGRA} for Lightweight Cryptography in Securing
                  IoT Data Transmission},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {419--425},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00069},
  doi          = {10.1109/MCSOC60832.2023.00069},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DuongPLTINTN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/EdlandaPGP23,
  author       = {Kevin Fernando Edlanda and
                  Stanley Kent Purnama and
                  Anderes Gui and
                  Anwar Allah Pitchay},
  title        = {Analysis of The Influence of Instagram Social Media Use on User's
                  Consumptive Behavior},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {148--153},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00030},
  doi          = {10.1109/MCSOC60832.2023.00030},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/EdlandaPGP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ElshimyIGG23,
  author       = {Mahmoud Ahmed Elshimy and
                  Veronia Iskandar and
                  Diana Goehringer and
                  Mohamed A. Abd El Ghany},
  title        = {A Near-Memory Dynamically Programmable Many-Core Overlay},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {268--275},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00047},
  doi          = {10.1109/MCSOC60832.2023.00047},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ElshimyIGG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FerroGHJ23,
  author       = {Quentin Ferro and
                  Stef Graillat and
                  Thibault Hilaire and
                  Fabienne J{\'{e}}z{\'{e}}quel},
  title        = {Performance of precision auto-tuned neural networks},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {592--599},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00092},
  doi          = {10.1109/MCSOC60832.2023.00092},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FerroGHJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FukasawaKSK23,
  author       = {Yusuke Fukasawa and
                  Kazuhiko Komatsu and
                  Masayuki Sato and
                  Hiroaki Kobayashi},
  title        = {Appropriate Graph-Algorithm Selection for Edge Devices Using Machine
                  Learning},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {544--551},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00086},
  doi          = {10.1109/MCSOC60832.2023.00086},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FukasawaKSK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GomezPPFMU23,
  author       = {Walter Gallego Gomez and
                  Andrea Pignata and
                  Riccardo Pignari and
                  Vittorio Fra and
                  Enrico Macii and
                  Gianvito Urgese},
  title        = {First steps towards micro-benchmarking the Lava-Loihi neuromorphic
                  ecosystem},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {462--469},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00075},
  doi          = {10.1109/MCSOC60832.2023.00075},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GomezPPFMU23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GuoHXWZ23,
  author       = {Longtao Guo and
                  Huakun Huang and
                  Sihun Xue and
                  Peiliang Wang and
                  Lingjun Zhao},
  title        = {Reentrancy Vulnerability Detection Based on Graph Convolutional Networks
                  and Expert Patterns},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {312--316},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00053},
  doi          = {10.1109/MCSOC60832.2023.00053},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GuoHXWZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HaberABA23,
  author       = {Gadi Haber and
                  Shachaf Altman and
                  Yosi Ben{-}Asher and
                  Cfir Aguston},
  title        = {{OCA} - Code Advisory Tool for OpenMP Parallelization of Sequential
                  Code},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {89--96},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00021},
  doi          = {10.1109/MCSOC60832.2023.00021},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HaberABA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HirayamaST23,
  author       = {Yuki Hirayama and
                  Kengo Suga and
                  Shinya Takamaeda{-}Yamazaki},
  title        = {GeMP-BNN: High-Performance Sampling-Free Bayesian Neural Network Accelerator
                  with Gaussian Error Moment Propagation},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {522--529},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00083},
  doi          = {10.1109/MCSOC60832.2023.00083},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HirayamaST23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HitayezuUTJK23,
  author       = {Antoine Hitayezu and
                  Ritha Marie Umutoni and
                  Emmanuel Tuyishime and
                  Kayalvizhi Jayavel and
                  Charles Kabiri},
  title        = {Enhancing Road Safety with Cloud-Integrated IoT Road Signal Detection
                  System},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {117--122},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00025},
  doi          = {10.1109/MCSOC60832.2023.00025},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HitayezuUTJK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HoangDHP23,
  author       = {Van{-}Phuc Hoang and
                  Ngoc{-}Tuan Do and
                  Trong{-}Thuc Hoang and
                  Cong{-}Kha Pham},
  title        = {Revealing Secret Key from Low Success Rate Deep Learning-Based Side
                  Channel Attacks},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {9--14},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00010},
  doi          = {10.1109/MCSOC60832.2023.00010},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HoangDHP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HsuWT23,
  author       = {Huan{-}Ke Hsu and
                  I{-}Chyn Wey and
                  Tee Hui Teo},
  title        = {A Energy-Efficient Re-configurable Multi-mode Convolution Neuron Network
                  Accelerator},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {45--50},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00015},
  doi          = {10.1109/MCSOC60832.2023.00015},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HsuWT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HuWLFT23,
  author       = {Nanjie Hu and
                  Ningyu Wang and
                  Jie Lin and
                  Qinghao Fu and
                  Benying Tan},
  title        = {A Lightweight Action Recognition Method for Deployable Embedded Devices
                  for Human-Computer Interaction},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {262--267},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00046},
  doi          = {10.1109/MCSOC60832.2023.00046},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HuWLFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HuangWGT23,
  author       = {Tzu{-}Huan Huang and
                  I{-}Chyn Wey and
                  Emil Goh and
                  Tee Hui Teo},
  title        = {Convolutional Neural Networks Inference Accelerator Design using Selective
                  Convolutional Layer},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {166--170},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00033},
  doi          = {10.1109/MCSOC60832.2023.00033},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HuangWGT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HubertH23,
  author       = {Bastien Hubert and
                  Omar Hammami},
  title        = {Multi-objective Optimisation of {RISC-V} {CV32A6} for {ML} application},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {15--22},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00011},
  doi          = {10.1109/MCSOC60832.2023.00011},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HubertH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/InageIA23,
  author       = {Takumi Inage and
                  Kensuke Iizuka and
                  Hideharu Amano},
  title        = {Board Allocation Algorithm for the Resource Management System of FiC},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {218--224},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00040},
  doi          = {10.1109/MCSOC60832.2023.00040},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/InageIA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/JosnaKJJ23,
  author       = {V. R. Josna and
                  Rose George Kunthara and
                  Rekha K. James and
                  John Jose},
  title        = {Modelling and Impact Analysis of Push Back Attack in 3D Bufferless
                  Network on Chip},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {426--432},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00070},
  doi          = {10.1109/MCSOC60832.2023.00070},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/JosnaKJJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KajaGKDE23,
  author       = {Endri Kaja and
                  Nicolas Gerlin and
                  Robert Kunzelmann and
                  Keerthikumara Devarajegowda and
                  Wolfgang Ecker},
  title        = {Modelling Peripheral Designs using FSM-like Notation for Complete
                  Property Set Generation},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {508--515},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00081},
  doi          = {10.1109/MCSOC60832.2023.00081},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KajaGKDE23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KayanomaJN23,
  author       = {Ryota Kayanoma and
                  Akira Jinguji and
                  Hiroki Nakahara},
  title        = {A Many-core Architecture for an Ensemble Ternary Neural Network Toward
                  High-Throughput Inference},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {446--453},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00073},
  doi          = {10.1109/MCSOC60832.2023.00073},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KayanomaJN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KempfHHB23,
  author       = {Fabian Kempf and
                  Julian H{\"{o}}fer and
                  Tim Hotfilter and
                  J{\"{u}}rgen Becker},
  title        = {A Low-Stall Methodology for an Interleaved Processor State Replication},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {276--283},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00048},
  doi          = {10.1109/MCSOC60832.2023.00048},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KempfHHB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Kise23,
  author       = {Kenji Kise},
  title        = {An open-source and GUI-capable {RISC-V} computer system on a low-end
                  {FPGA} board},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {23--30},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00012},
  doi          = {10.1109/MCSOC60832.2023.00012},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Kise23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KoedaTS23,
  author       = {Shunsuke Koeda and
                  Yoichi Tomioka and
                  Hiroshi Saito},
  title        = {Fault-Tolerant Ensemble CNNs Increasing Diversity Based on Knowledge
                  Distillation},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {399--405},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00066},
  doi          = {10.1109/MCSOC60832.2023.00066},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KoedaTS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KutsunaKTN23,
  author       = {Kaito Kutsuna and
                  Takuya Kojima and
                  Hideki Takase and
                  Hiroshi Nakamura},
  title        = {An Area-Efficient Coarse-Grained Reconfigurable Array Design for Approximate
                  Computing},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {59--64},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00017},
  doi          = {10.1109/MCSOC60832.2023.00017},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KutsunaKTN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LePTDN23,
  author       = {Vu Trung Duong Le and
                  Hoai Luan Pham and
                  Thi Hong Tran and
                  Thi Sang Duong and
                  Yasuhiko Nakashima},
  title        = {High-efficiency Reconfigurable Crypto Accelerator Utilizing Innovative
                  Resource Sharing and Parallel Processing},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {576--583},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00090},
  doi          = {10.1109/MCSOC60832.2023.00090},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LePTDN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LesniakHB23,
  author       = {Fabian Lesniak and
                  Tanja Harbaum and
                  J{\"{u}}rgen Becker},
  title        = {Low-latency inter-domain communication on the Xen hypervisor},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {340--346},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00057},
  doi          = {10.1109/MCSOC60832.2023.00057},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LesniakHB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiLLLYZHX23,
  author       = {Aobo Li and
                  Jiahao Lu and
                  Dongsheng Liu and
                  Xiang Li and
                  Shuo Yang and
                  Jiaming Zhang and
                  Tianze Huang and
                  Siqi Xiong},
  title        = {Hybrid Hardware-Software Architecture for Quantum Secure IoT Embedded
                  Systems},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00009},
  doi          = {10.1109/MCSOC60832.2023.00009},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiLLLYZHX23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiWMWM23,
  author       = {Yujie Li and
                  Xinghe Wang and
                  Zihang Ma and
                  Yifu Wang and
                  Michael Conrad Meyer},
  title        = {SwinGaze: Egocentric Gaze Estimation with Video Swin Transformer},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {123--127},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00026},
  doi          = {10.1109/MCSOC60832.2023.00026},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiWMWM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiuR23,
  author       = {Shuang Liu and
                  Martin Radetzki},
  title        = {Systematic Construction of Deadlock-Free Routing for NoC Using Integer
                  Linear Programming},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {332--339},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00056},
  doi          = {10.1109/MCSOC60832.2023.00056},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiuR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiuWZT23,
  author       = {Chia{-}Chi Liu and
                  I{-}Chyn Wey and
                  Xuezhi Zhang and
                  Tee Hui Teo},
  title        = {Selective Pruning of Sparsity-Supported Energy-Efficient Accelerator
                  for Convolutional Neural Networks},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {454--461},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00074},
  doi          = {10.1109/MCSOC60832.2023.00074},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiuWZT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MeyerW23,
  author       = {Michael Conrad Meyer and
                  Yu Wang},
  title        = {Delay-optimized Topology Management of a Wirelessly Networked Disaster
                  Area},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {386--391},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00064},
  doi          = {10.1109/MCSOC60832.2023.00064},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MeyerW23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MiahSHOA23,
  author       = {Abu Saleh Musa Miah and
                  Jungpil Shin and
                  Md. Al Mehedi Hasan and
                  Yuichi Okuyama and
                  Nobuyoshi Asai},
  title        = {Dynamic Hand Gesture Recognition Using Effective Feature Extraction
                  and Attention Based Deep Neural Network},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {241--247},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00043},
  doi          = {10.1109/MCSOC60832.2023.00043},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MiahSHOA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MukunokiKI23,
  author       = {Daichi Mukunoki and
                  Masatoshi Kawai and
                  Toshiyuki Imamura},
  title        = {Sparse Matrix-Vector Multiplication with Reduced-Precision Memory
                  Accessor},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {608--615},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00094},
  doi          = {10.1109/MCSOC60832.2023.00094},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MukunokiKI23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NagarMKME23,
  author       = {Mitul Sudhirkumar Nagar and
                  Sayantan Maiti and
                  Rahul Kumar and
                  Hiren Mewada and
                  Pinalkumar Engineer},
  title        = {Memory-efficient Edge-based Non-Neural Face Recognition Algorithm
                  on the Parallel Ultra-Low Power {(PULP)} Cluster},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {347--353},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00058},
  doi          = {10.1109/MCSOC60832.2023.00058},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NagarMKME23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NguyenD23,
  author       = {Ngo{-}Doanh Nguyen and
                  Khanh N. Dang},
  title        = {A Novel Yield Improvement Approach for 3D Stacking Neuromorphic Architecture},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {392--398},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00065},
  doi          = {10.1109/MCSOC60832.2023.00065},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NguyenD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NiwaseHYWA23,
  author       = {Ryohei Niwase and
                  Hikaru Harasawa and
                  Yoshiki Yamaguchi and
                  Kaijie Wei and
                  Hideharu Amano},
  title        = {A cost/power efficient storage system with directly connected {FPGA}
                  and {SATA} disks},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {51--58},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00016},
  doi          = {10.1109/MCSOC60832.2023.00016},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NiwaseHYWA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OnodaKKSK23,
  author       = {Makoto Onoda and
                  Kazuhiko Komatsu and
                  Masahito Kumagai and
                  Masayuki Sato and
                  Hiroaki Kobayashi},
  title        = {A Constraint Partition Method for Combinatorial Optimization Problems},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {600--607},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00093},
  doi          = {10.1109/MCSOC60832.2023.00093},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OnodaKKSK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ParkP23,
  author       = {Seunghyun Park and
                  Daejin Park},
  title        = {Integrated 3D Active Noise Cancellation Simulation and Synthesis Platform
                  Using Tcl},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {111--116},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00024},
  doi          = {10.1109/MCSOC60832.2023.00024},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ParkP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/PuriBJV23,
  author       = {Amit Puri and
                  Abir Banerjee and
                  John Jose and
                  Tamarapalli Venkatesh},
  title        = {Understanding the Performance Impact of Queue-Based Resource Allocation
                  in Scalable Disaggregated Memory Systems},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {317--324},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00054},
  doi          = {10.1109/MCSOC60832.2023.00054},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/PuriBJV23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SaikiOK23,
  author       = {Akihiro Saiki and
                  Yu Omori and
                  Keiji Kimura},
  title        = {Parallel Verification in {RISC-V} Secure Boot},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {568--575},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00089},
  doi          = {10.1109/MCSOC60832.2023.00089},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SaikiOK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SaitoXSLCA23,
  author       = {Yoshiyuki Saito and
                  Ningyi Xie and
                  Jungpil Shin and
                  Xinwei Lee and
                  Dongsheng Cai and
                  Nobuyoshi Asai},
  title        = {Iterative Refinement Quantum Amplitude Estimation},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {202--209},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00038},
  doi          = {10.1109/MCSOC60832.2023.00038},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SaitoXSLCA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SankarJGN23,
  author       = {Syam Sankar and
                  John Jose and
                  Ruchika Gupta and
                  Sukumar Nandi},
  title        = {Enhancing Anonymity in NoC Communication to Counter Traffic Profiling
                  by Hardware Trojans},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {560--567},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00088},
  doi          = {10.1109/MCSOC60832.2023.00088},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SankarJGN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SatoS23,
  author       = {Ken Sato and
                  Daisuke Suzuki},
  title        = {Design of a Nonvolatile-Neural-Network-Accelerator-Embedded Edge-IoT
                  Device and Its Hardware Emulation},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {379--385},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00063},
  doi          = {10.1109/MCSOC60832.2023.00063},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SatoS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Shang23,
  author       = {Zixia Shang},
  title        = {Recursive Algorithm {FIR} Filter Quantization and Low-Cost Structure
                  Optimization Design Based on {MATLAB} and Simulink},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {530--535},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00084},
  doi          = {10.1109/MCSOC60832.2023.00084},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Shang23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShiHW23,
  author       = {Shiling Shi and
                  Stefan Holst and
                  Xiaoqing Wen},
  title        = {Mitigating Test-Induced Yield-Loss by IR-Drop-Aware X-Filling},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {501--507},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00080},
  doi          = {10.1109/MCSOC60832.2023.00080},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShiHW23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShresthamaliK23,
  author       = {Shaswot Shresthamali and
                  Masaaki Kondo},
  title        = {Enhancing Deep Reinforcement Learning with Compressed Sensing-based
                  State Estimation},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {371--378},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00062},
  doi          = {10.1109/MCSOC60832.2023.00062},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShresthamaliK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShunOYRKSS23,
  author       = {Sugaya Shun and
                  Yuichi Okuyama and
                  Yuta Shintomi and
                  Kusano Ryota and
                  Tanaka Kohsuke and
                  Jungpil Shin and
                  Nishimura Satoshi},
  title        = {Generating Realistic Images with NeRF for Training of Autonomous Driving
                  Network},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {254--261},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00045},
  doi          = {10.1109/MCSOC60832.2023.00045},
  timestamp    = {Sat, 09 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShunOYRKSS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SinhaLFML23,
  author       = {Aman Sinha and
                  Pei{-}Yi Liu and
                  Yuhao Fang and
                  Jhih{-}Yong Mai and
                  Bo{-}Cheng Lai},
  title        = {{GRONA} : {A} Framework for Gather-and-Reduce On Near-Memory Accelerators},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {225--232},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00041},
  doi          = {10.1109/MCSOC60832.2023.00041},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SinhaLFML23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SongH23,
  author       = {Yan Song and
                  Junchu Huang},
  title        = {Exploring Discriminability of Categorical Anchors for Heterogeneous
                  Domain Adaptation},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {537--543},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00085},
  doi          = {10.1109/MCSOC60832.2023.00085},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SongH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/StammlerSKSB23,
  author       = {Matthias Stammler and
                  Vladimir Sidorenko and
                  Fabian Kre{\ss} and
                  Patrick Schmidt and
                  J{\"{u}}rgen Becker},
  title        = {Context-Aware Layer Scheduling for Seamless Neural Network Inference
                  in Cloud-Edge Systems},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {97--104},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00022},
  doi          = {10.1109/MCSOC60832.2023.00022},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/StammlerSKSB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SuranaDGT23,
  author       = {Shraddha Surana and
                  Chinmay Dhawan and
                  Digvijay Gunjal and
                  Rajesh Tamhane},
  title        = {Overcoming Limited Data Challenges: Training Large-Scale Deduplication
                  Models through Distributed and Non-Distributed Methods},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {81--88},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00020},
  doi          = {10.1109/MCSOC60832.2023.00020},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SuranaDGT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SurhonneMWH23,
  author       = {Anmol Surhonne and
                  Florian Maurer and
                  Thomas Wild and
                  Andreas Herkersdorf},
  title        = {{LCT-TL} : Learning Classifier Table {(LCT)} with Transfer Learning
                  for runtime SoC performance-power optimization},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {73--80},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00019},
  doi          = {10.1109/MCSOC60832.2023.00019},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SurhonneMWH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SuzukiSTS23,
  author       = {Yasuyuki Suzuki and
                  Shogo Semba and
                  Yoichi Tomioka and
                  Hiroshi Saito},
  title        = {Autonomous Driving Robot Using {FPGA} and {BNN} with Random Forest},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {433--440},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00071},
  doi          = {10.1109/MCSOC60832.2023.00071},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SuzukiSTS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TakanoYK23,
  author       = {Keisuke Takano and
                  Takeaki Yajima and
                  Satoshi Kawakami},
  title        = {Design of The Ultra-Low-Power Driven {VMM} Configurations for {\(\mu\)}W
                  Scale IoT Devices},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {65--72},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00018},
  doi          = {10.1109/MCSOC60832.2023.00018},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TakanoYK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TanakaT23,
  author       = {Sun Tanaka and
                  Shinya Takamaeda{-}Yamazaki},
  title        = {{MAO:} Memory Architecture Obfuscation},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {233--240},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00042},
  doi          = {10.1109/MCSOC60832.2023.00042},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TanakaT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TocciZZ23,
  author       = {Dylan Tocci and
                  Ruolin Zhou and
                  Kairui Zhang},
  title        = {{FPGA} Accelerated Decentralized Reinforcement Learning for Anomaly
                  Detection in {UAV} Networks},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {248--253},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00044},
  doi          = {10.1109/MCSOC60832.2023.00044},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TocciZZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TominSGG23,
  author       = {Elijah Tomin and
                  Marina Solnyshkina and
                  Elzara Gafiyatova and
                  Albina Galiakhmetova},
  title        = {Automatic Text Classification as Relevance Measure for Russian School
                  Physics Texts},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {366--370},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00061},
  doi          = {10.1109/MCSOC60832.2023.00061},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TominSGG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TranC23,
  author       = {Duc M. Tran and
                  Joon{-}Young Choi},
  title        = {Distributed Data Logger Based on Dual-Core {MCU} in Motor Drive},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {406--410},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00067},
  doi          = {10.1109/MCSOC60832.2023.00067},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TranC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TranDangK23,
  author       = {Hoa Tran{-}Dang and
                  Dong{-}Seong Kim},
  title        = {An Efficient Bandit Learning for Matching based Distributed Task Offloading
                  in Fog Computing Network},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {128--133},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00027},
  doi          = {10.1109/MCSOC60832.2023.00027},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TranDangK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/VandrommeP23,
  author       = {Maxence Vandromme and
                  Serge G. Petiton},
  title        = {Graph structure and homophily for label propagation in Graph Neural
                  Networks},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {194--201},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00037},
  doi          = {10.1109/MCSOC60832.2023.00037},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/VandrommeP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WangDA23,
  author       = {Zhishang Wang and
                  Khanh N. Dang and
                  Abderazek Ben Abdallah},
  title        = {Interlinked Chain Method for Blockchain-Based Collaborative Learning
                  in Vehicular Networks},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {354--359},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00059},
  doi          = {10.1109/MCSOC60832.2023.00059},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WangDA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WangZQLS23,
  author       = {Haoda Wang and
                  Lingjun Zhao and
                  Chen Qiu and
                  Zhuotao Lian and
                  Chunhua Su},
  title        = {Privacy-stealing Approach in Distributed IoMT Systems},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {301--305},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00051},
  doi          = {10.1109/MCSOC60832.2023.00051},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WangZQLS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WasalaNPGP23,
  author       = {Sudam Maduranga Wasala and
                  Sobhan Niknam and
                  Anuj Pathania and
                  Clemens Grelck and
                  Andy D. Pimentel},
  title        = {Lifetime Estimation for Core-Failure Resilient Multi-Core Processors},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {293--300},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00050},
  doi          = {10.1109/MCSOC60832.2023.00050},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WasalaNPGP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WuHK23,
  author       = {Junfeng Wu and
                  Yuan He and
                  Masaaki Kondo},
  title        = {Accelerating Graph-Based {SLAM} through Data Parallelism and Mixed
                  Precision on FPGAs},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {284--292},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00049},
  doi          = {10.1109/MCSOC60832.2023.00049},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WuHK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YamadaBYK23,
  author       = {Yuji Yamada and
                  Nesrine Berjab and
                  Tomohiro Yoneda and
                  Kenji Kise},
  title        = {A remote partial-reconfigurable SoC with a {RISC-V} soft processor
                  targeting low-end FPGAs},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {31--37},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00013},
  doi          = {10.1109/MCSOC60832.2023.00013},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YamadaBYK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YangWHT23,
  author       = {Shih{-}Yi Yang and
                  I{-}Chyn Wey and
                  Huan{-}Ke Hsu and
                  Tee Hui Teo},
  title        = {A Convolutional Neural Network Inference Accelerator Design using
                  Algorithmic Noise-Tolerance Technology},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {154--159},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00031},
  doi          = {10.1109/MCSOC60832.2023.00031},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YangWHT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YasunagaSWKCYM23,
  author       = {Mari Yasunaga and
                  Junnosuke Suzuki and
                  Masato Watanabe and
                  Kazushi Kawamura and
                  Thiem Van Chu and
                  Jaehoon Yu and
                  Masato Motomura},
  title        = {A Highly Accurate and Parallel Vision {MLP} {FPGA} Accelerator based
                  on {FP7/8} {SIMD} Operations},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {478--485},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00077},
  doi          = {10.1109/MCSOC60832.2023.00077},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YasunagaSWKCYM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YingLHJAZ23,
  author       = {Loh Wan Ying and
                  Weng Fook Lee and
                  Razaidi Hussin and
                  Aiman Zakwan Jidin and
                  Norhawati Ahmad and
                  Nor Azura Zakaria},
  title        = {Novel March {WY} Approach for Dynamic Fault Detection in Memory {BIST}},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {516--521},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00082},
  doi          = {10.1109/MCSOC60832.2023.00082},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YingLHJAZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ZacchiCUZMJGMD23,
  author       = {Jo{\~{a}}o{-}Vitor Zacchi and
                  Francesco Carella and
                  Priyank Upadhya and
                  Shanza Ali Zafar and
                  John Molloy and
                  Lisa J{\"{o}}ckel and
                  Janek Gro{\ss} and
                  N{\'{u}}ria Mata and
                  Nguyen Anh Vu Doan},
  title        = {Reliability Estimation of {ML} for Image Perception: {A} Lightweight
                  Nonlinear Transformation Approach Based on Full Reference Image Quality
                  Metrics},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {186--193},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00036},
  doi          = {10.1109/MCSOC60832.2023.00036},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ZacchiCUZMJGMD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ZhangHZXZ23,
  author       = {Chaoran Zhang and
                  Huakun Huang and
                  Lingjun Zhao and
                  Chenkai Xu and
                  Rui Zhao},
  title        = {A Novel Supervised Distributed Dictionary Learning based on Learned
                  {K-SVD} for Image Denoising},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {306--311},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00052},
  doi          = {10.1109/MCSOC60832.2023.00052},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ZhangHZXZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ZhangWCCS23,
  author       = {Yiran Zhang and
                  Bo Wang and
                  Jinwu Chen and
                  Xi Chen and
                  Xin Si},
  title        = {Evaluation Model for Current-Domain SRAM-based Computing-in-Memory
                  Circuits},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {160--165},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00032},
  doi          = {10.1109/MCSOC60832.2023.00032},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ZhangWCCS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ZhangWXT23,
  author       = {Xuezhi Zhang and
                  I{-}Chyn Wey and
                  Maoyang Xiang and
                  Tee Hui Teo},
  title        = {Implementation of Physics Informed Neural Networks on Edge Device},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {441--445},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00072},
  doi          = {10.1109/MCSOC60832.2023.00072},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ZhangWXT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ZhongWCCL23,
  author       = {Hongyang Zhong and
                  Ziwen Wang and
                  Zhiyi Chen and
                  Wenhao Chen and
                  Yujie Li},
  title        = {A novel fire monitoring system for electric bicycle shed based on
                  YOLOv8},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {142--147},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00029},
  doi          = {10.1109/MCSOC60832.2023.00029},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ZhongWCCL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ZhouGDY23,
  author       = {Yuxiao Zhou and
                  Zhishan Guo and
                  Zheng Dong and
                  Kecheng Yang},
  title        = {TensorRT Implementations of Model Quantization on Edge SoC},
  booktitle    = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  pages        = {486--493},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023.00078},
  doi          = {10.1109/MCSOC60832.2023.00078},
  timestamp    = {Fri, 01 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ZhouGDY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2023,
  title        = {16th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MCSoC60832.2023},
  doi          = {10.1109/MCSOC60832.2023},
  isbn         = {979-8-3503-9361-3},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AbdelhamidY22,
  author       = {Riadh Ben Abdelhamid and
                  Yoshiki Yamaguchi},
  title        = {Packed {SIMD} Vectorization of the {DRAGON2-CB}},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {85--92},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00023},
  doi          = {10.1109/MCSOC57363.2022.00023},
  timestamp    = {Thu, 26 Jan 2023 11:35:12 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AbdelhamidY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Agbewali-KokuRH22,
  author       = {Cyril Elorm Kodjo Agbewali{-}Koku and
                  Md. Atiqur Rahman and
                  Mohamed Hamada and
                  Mohammad Ameer Ali and
                  Lutfun Nahar Oysharja and
                  Md Tazmim Hossain},
  title        = {A systematic review of machine learning techniques in online learning
                  platforms},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {247--250},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00046},
  doi          = {10.1109/MCSOC57363.2022.00046},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Agbewali-KokuRH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AhmedTH22,
  author       = {Akram Ben Ahmed and
                  Ryousei Takano and
                  Takahiro Hirofuchi},
  title        = {Exploring the Potential of Error-permissive Communication in Multi-FPGA-based
                  Edge Computing},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {93--97},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00024},
  doi          = {10.1109/MCSOC57363.2022.00024},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AhmedTH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AkbarWB22,
  author       = {Muhammad Ali Akbar and
                  Bo Wang and
                  Amine Bermak},
  title        = {Evaluating the Optimal Self-Checking Carry Propagate Adder for Cryptographic
                  Processor},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {10--13},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00011},
  doi          = {10.1109/MCSOC57363.2022.00011},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AkbarWB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Al-ShatariHART22,
  author       = {Mohammed Omar Awadh Al{-}Shatari and
                  Fawnizu Azmadi Hussin and
                  Azrina Abd Aziz and
                  Mohd Saufy Rohmad and
                  Xuan{-}Tu Tran},
  title        = {Composite Lightweight Authenticated Encryption Based on {LED} Block
                  Cipher and {PHOTON} Hash Function for IoT Devices},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {134--139},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00030},
  doi          = {10.1109/MCSOC57363.2022.00030},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Al-ShatariHART22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AminRWD22,
  author       = {Md. Faizul Ibne Amin and
                  Md. Mostafizer Rahman and
                  Yutaka Watanobe and
                  Muepu Mukendi Daniel},
  title        = {Impact of Programming Language Skills in Programming Learning},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {271--277},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00050},
  doi          = {10.1109/MCSOC57363.2022.00050},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AminRWD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BhagyanathS22,
  author       = {Anoop Bhagyanath and
                  Klaus Schneider},
  title        = {Buffer Allocation for Exposed Datapath Architectures},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {18--25},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00013},
  doi          = {10.1109/MCSOC57363.2022.00013},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BhagyanathS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BommanaB22,
  author       = {Ashish Reddy Bommana and
                  Srinivas Boppu},
  title        = {A Run-time Tapered Floating-Point Adder/Subtractor Supporting Vectorization},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {305--312},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00056},
  doi          = {10.1109/MCSOC57363.2022.00056},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BommanaB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChangCCW22,
  author       = {Yu{-}Cheng Chang and
                  Pin{-}Hsuan Chao and
                  Sin{-}Horng Chen and
                  Chun{-}Shu Wei},
  title        = {Toward EEG-Based Brain State Recognition for Personalized Neuromodulation},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {291--296},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00053},
  doi          = {10.1109/MCSOC57363.2022.00053},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChangCCW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChangSH22,
  author       = {Yu{-}Hong Chang and
                  Tourangbam Harishore Singh and
                  Po{-}Tsang Huang},
  title        = {Cognitive Bus Coding Scheme for Inter-Chip Communications of Deep
                  Learning Accelerator Chiplet on Low-cost Si and Glass Interposer},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {232--238},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00044},
  doi          = {10.1109/MCSOC57363.2022.00044},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChangSH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChenNLG22,
  author       = {Yizhi Chen and
                  Yarib Nevarez and
                  Zhonghai Lu and
                  Alberto Garc{\'{\i}}a{-}Ortiz},
  title        = {Accelerating Non-Negative Matrix Factorization on Embedded {FPGA}
                  with Hybrid Logarithmic Dot-Product Approximation},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {239--246},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00070},
  doi          = {10.1109/MCSOC57363.2022.00070},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChenNLG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChenWZCK22,
  author       = {Xiang{-}Yu Chen and
                  Yu{-}Hsiang Wang and
                  Yao{-}Song Zhang and
                  Yen{-}Jui Chen and
                  Shiann{-}Rong Kuang},
  title        = {Hardware Implementation of an Automatic Color Equalization Algorithm
                  for Real-time Image Enhancement},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {174--179},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00036},
  doi          = {10.1109/MCSOC57363.2022.00036},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChenWZCK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChenXS22,
  author       = {Jinwu Chen and
                  Tianzhu Xiong and
                  Xin Si},
  title        = {A Charge-Digital Hybrid Compute-In-Memory Macro with full precision
                  8-bit Multiply-Accumulation for Edge Computing Devices},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {153--158},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00033},
  doi          = {10.1109/MCSOC57363.2022.00033},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChenXS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChenZCL22,
  author       = {Yizhi Chen and
                  Wenyao Zhu and
                  De{-}Jiu Chen and
                  Zhonghai Lu},
  title        = {Online Image Sensor Fault Detection for Autonomous Vehicles},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {120--127},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00028},
  doi          = {10.1109/MCSOC57363.2022.00028},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChenZCL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChristianWLVT22,
  author       = {Albert Budi Christian and
                  Yu{-}Hsuan Wu and
                  Chih{-}Yu Lin and
                  Lan{-}Da Van and
                  Yu{-}Chee Tseng},
  title        = {Radar and Camera Fusion for Object Forecasting in Driving Scenarios},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {105--111},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00026},
  doi          = {10.1109/MCSOC57363.2022.00026},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChristianWLVT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChuaTTW22,
  author       = {Shi Hui Chua and
                  Tee Hui Teo and
                  Mulat Ayinet Tiruye and
                  I{-}Chyn Wey},
  title        = {Systolic Array Based Convolutional Neural Network Inference on {FPGA}},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {128--133},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00029},
  doi          = {10.1109/MCSOC57363.2022.00029},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChuaTTW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CowanDKRS22,
  author       = {Rhiannon L. Cowan and
                  Tyler S. Davis and
                  Bornali Kundu and
                  John D. Rolston and
                  Elliot H. Smith},
  title        = {Neuronal population biomarkers of temporal difference learning in
                  human impulsive choices},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {297--300},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00054},
  doi          = {10.1109/MCSOC57363.2022.00054},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CowanDKRS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Defour22,
  author       = {David Defour},
  title        = {Using scheduling entropy amplification in CUDA/OpenMP code to exhibit
                  non-reproducibility issues},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {200--207},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00040},
  doi          = {10.1109/MCSOC57363.2022.00040},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Defour22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GaoHYJW22,
  author       = {Yujie Gao and
                  Yuan He and
                  Xiaohan Yue and
                  Haiyan Jiang and
                  Xibo Wang},
  title        = {Traffic-Aware Energy-Efficient Hybrid Input Buffer Design for On-Chip
                  Routers},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {395--401},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.10023992},
  doi          = {10.1109/MCSOC57363.2022.10023992},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GaoHYJW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GuoZHXG22,
  author       = {Zhuoqi Guo and
                  Yongchao Zhang and
                  Meiling Hu and
                  Zhongming Xue and
                  Li Geng},
  title        = {A 94.5{\%} Peak Efficiency, 14mV Output Ripple SC-Buck Step-Up Converter
                  with 1.2-to-5V Output Achieving 20.2{\%} Enhanced Power Efficiency
                  in New {PMU} Architecture for SoCs},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {159--166},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00034},
  doi          = {10.1109/MCSOC57363.2022.00034},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GuoZHXG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HeWBLDD22,
  author       = {Xuewen He and
                  Yajie Wu and
                  Yichuan Bai and
                  Jie Liu and
                  Li Du and
                  Yuan Du},
  title        = {A Reconfigurable Design of Flexible-arbitrated Crossbar Interconnects
                  in Multi-core SoC system},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {368--374},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00064},
  doi          = {10.1109/MCSOC57363.2022.00064},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HeWBLDD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HironakaIA22,
  author       = {Kazuei Hironaka and
                  Kensuke Iizuka and
                  Hideharu Amano},
  title        = {A Message Passing Interface Library for High-Level Synthesis on Multi-FPGA
                  Systems},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {45--52},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00017},
  doi          = {10.1109/MCSOC57363.2022.00017},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HironakaIA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HuangKP22,
  author       = {Ing{-}Jer Huang and
                  Yi{-}Ju Ke and
                  Shih{-}Jung Pao},
  title        = {Critical Signature Assertion and On-the-Fly Recovery for Control Flow
                  Errors in Processors},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {284--290},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00052},
  doi          = {10.1109/MCSOC57363.2022.00052},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HuangKP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/InabaOIK22,
  author       = {Takumi Inaba and
                  Takatsugu Ono and
                  Koji Inoue and
                  Satoshi Kawakami},
  title        = {A Hybrid Opto-Electrical Floating-point Multiplier},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {313--320},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00057},
  doi          = {10.1109/MCSOC57363.2022.00057},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/InabaOIK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KadomotoIS22,
  author       = {Junichiro Kadomoto and
                  Hidetsugu Irie and
                  Shuichi Sakai},
  title        = {Evaluation of Different Microarchitectures for Energy-Efficient {RISC-V}
                  Cores},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {78--84},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00022},
  doi          = {10.1109/MCSOC57363.2022.00022},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KadomotoIS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KawabeHTOS22,
  author       = {Akihisa Kawabe and
                  Ryuto Haga and
                  Yoichi Tomioka and
                  Yuichi Okuyama and
                  Jungpil Shin},
  title        = {Fake Image Detection Using An Ensemble of {CNN} Models Specialized
                  For Individual Face Parts},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {72--77},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00021},
  doi          = {10.1109/MCSOC57363.2022.00021},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KawabeHTOS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KohsukeYYT22,
  author       = {Tanaka Kohsuke and
                  Yuta Shintomi and
                  Yuichi Okuyama and
                  Taro Suzuki},
  title        = {Design of Reward Functions for RL-based High-Speed Autonomous Driving},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {32--37},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00015},
  doi          = {10.1109/MCSOC57363.2022.00015},
  timestamp    = {Wed, 05 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KohsukeYYT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiCC22,
  author       = {Menglei Li and
                  Hongbo Chen and
                  Zixue Cheng},
  title        = {A Lightweight End-to-end Network for Wearing Mask Recognition on Low-resolution
                  Images},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {38--44},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00016},
  doi          = {10.1109/MCSOC57363.2022.00016},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiCC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiuPZWZWJF22,
  author       = {Yiyang Liu and
                  Boyuan Peng and
                  Xin Zhu and
                  Wenwen Wang and
                  Qin Zhou and
                  Shixuan Wang and
                  Jingjing Jiang and
                  Li Fang},
  title        = {Automatic endometrial segmentation in ultrasound images using deep
                  learning},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {67--71},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00020},
  doi          = {10.1109/MCSOC57363.2022.00020},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiuPZWZWJF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LooTTW22,
  author       = {Tan Rong Loo and
                  Tee Hui Teo and
                  Mulat Ayinet Tiruye and
                  I{-}Chyn Wey},
  title        = {High-Performance Asynchronous {CNN} Accelerator with Early Termination},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {140--144},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00031},
  doi          = {10.1109/MCSOC57363.2022.00031},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LooTTW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LvWZLSWW22,
  author       = {Zhenbin Lv and
                  Xin Wang and
                  Haifeng Zhi and
                  Bo Lin and
                  Yitao Shen and
                  Yanyan Wang and
                  Chenxu Wang},
  title        = {Design and implementation of vehicle oil online information monitoring
                  system},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {145--152},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00032},
  doi          = {10.1109/MCSOC57363.2022.00032},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LvWZLSWW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MasatakaW22,
  author       = {Hida Masataka and
                  Yutaka Watanobe},
  title        = {Making Software Based on Human-Driven Design Case Study: {SQL} for
                  non-experts},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {264--270},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00049},
  doi          = {10.1109/MCSOC57363.2022.00049},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MasatakaW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MauryaSASMK22,
  author       = {Manish Kumar Maurya and
                  Anubhav Shivhare and
                  Aadil Ali and
                  Satakshi and
                  Ashutosh Mishra and
                  Manish Kumar},
  title        = {Cluster Based Smart Random Walk for Data Aggregation in Wireless Sensor
                  Network},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {98--104},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00025},
  doi          = {10.1109/MCSOC57363.2022.00025},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MauryaSASMK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MauryaTCCW22,
  author       = {Raman Maurya and
                  Tee Hui Teo and
                  Shi Hui Chua and
                  Hwang{-}Cherng Chow and
                  I{-}Chyn Wey},
  title        = {Complex Human Activities Recognition Based on High Performance 1D
                  {CNN} Model},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {330--336},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00059},
  doi          = {10.1109/MCSOC57363.2022.00059},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MauryaTCCW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MiahSHMOT22,
  author       = {Abu Saleh Musa Miah and
                  Jungpil Shin and
                  Md. Al Mehedi Hasan and
                  Md. Khademul Islam Molla and
                  Yuichi Okuyama and
                  Yoichi Tomioka},
  title        = {Movie Oriented Positive Negative Emotion Classification from {EEG}
                  Signal using Wavelet transformation and Machine learning Approaches},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {26--31},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00014},
  doi          = {10.1109/MCSOC57363.2022.00014},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MiahSHMOT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NgAL22,
  author       = {Jien Hau Ng and
                  Chee Hong Ang and
                  Hwa Chaw Law},
  title        = {A Realization of {IO} Physical Memory Protection for {RISC-V} Systems},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {375--380},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00066},
  doi          = {10.1109/MCSOC57363.2022.00066},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NgAL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/QuadirHAWB22,
  author       = {Nasir Abdul Quadir and
                  Maher Hamdi and
                  Muhammad Asfandyar Awan and
                  Bo Wang and
                  Amine Bermak},
  title        = {Design and Analysis of {A} Dual-Band Bistatic Backscatter Circuit
                  for Passive {RFID} Tags},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {301--304},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00055},
  doi          = {10.1109/MCSOC57363.2022.00055},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/QuadirHAWB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RR22,
  author       = {Soujanya S. R and
                  Madhav Rao},
  title        = {Hardware characterization of Integer-Net based seizure detection models
                  on {FPGA}},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {224--231},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00043},
  doi          = {10.1109/MCSOC57363.2022.00043},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RautKCK22,
  author       = {Ashwin Raut and
                  Divesh Kumar and
                  Vijay Kumar Chaurasiya and
                  Manish Kumar},
  title        = {Distributed Decision Fusion for Large Scale IoT- Ecosystem},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {112--119},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00027},
  doi          = {10.1109/MCSOC57363.2022.00027},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RautKCK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RobledoC22,
  author       = {Julian Robledo and
                  Jer{\'{o}}nimo Castrill{\'{o}}n},
  title        = {Parameterizable mobile workloads for adaptable base station optimizations},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {381--386},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00067},
  doi          = {10.1109/MCSOC57363.2022.00067},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RobledoC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RoumageAL22,
  author       = {Guillaume Roumage and
                  Selma Azaiez and
                  St{\'{e}}phane Louise},
  title        = {A survey of main dataflow MoCCs for {CPS} design and verification},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00010},
  doi          = {10.1109/MCSOC57363.2022.00010},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RoumageAL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SaravananWP22,
  author       = {Vijayalakshmi Saravanan and
                  Gang Wan and
                  Anju S. Pillai},
  title        = {Exploration of an Enhanced Scheduling Approach with Feasibility Analysis
                  on a Single {CPU} System},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {180--186},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00037},
  doi          = {10.1109/MCSOC57363.2022.00037},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SaravananWP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SatoIK22,
  author       = {Eito Sato and
                  Koji Inoue and
                  Satoshi Kawakami},
  title        = {Design and Analysis of a Nano-photonic Processing Unit for Low-Latency
                  Recurrent Neural Network Applications},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {321--329},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00058},
  doi          = {10.1109/MCSOC57363.2022.00058},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SatoIK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShammasiBAB22,
  author       = {Mohammadmehdi Shammasi and
                  Mohammad Baharloo and
                  Meisam Abdollahi and
                  Amirali Baniasadi},
  title        = {Turn-aware Application Mapping using Reinforcement Learning in Power
                  Gating-enabled Network on Chip},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {345--352},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00061},
  doi          = {10.1109/MCSOC57363.2022.00061},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShammasiBAB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShiSB22,
  author       = {Peiyao Shi and
                  Aaron Stillmaker and
                  Bevan M. Baas},
  title        = {Efficient and High-Performance Sparse Matrix-Vector Multiplication
                  on a Many-Core Array},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {187--194},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00038},
  doi          = {10.1109/MCSOC57363.2022.00038},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShiSB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SiddamshettyBG22,
  author       = {Susheel Ujwal Siddamshetty and
                  Srinivas Boppu and
                  Debapratim Ghosh},
  title        = {Efficient Hardware Architecture for Posit Addition/Subtraction},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {387--394},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00068},
  doi          = {10.1109/MCSOC57363.2022.00068},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SiddamshettyBG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SrivastavaSGM22,
  author       = {Smriti Srivastava and
                  Mushtaq Ahmed Shaikh and
                  Shivaneetha G and
                  Minal Moharir},
  title        = {Intelligent congestion control for NoC architecture in Gem5 simulator},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {353--360},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00062},
  doi          = {10.1109/MCSOC57363.2022.00062},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SrivastavaSGM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SunFW22,
  author       = {Wei{-}Che Sun and
                  Chih{-}Peng Fan and
                  Chung{-}Bin Wu},
  title        = {Design and {FPGA} Implementation of Lite Convolutional Neural Network
                  Based Hardware Accelerator for Ocular Biometrics Recognition Technology},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {278--283},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00051},
  doi          = {10.1109/MCSOC57363.2022.00051},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SunFW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SuzukiH22,
  author       = {Daisuke Suzuki and
                  Takahiro Hanyu},
  title        = {A Spintronics-Based Nonvolatile {FPGA} and Its Application to Edge-AI
                  Accelerator},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {53--60},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00018},
  doi          = {10.1109/MCSOC57363.2022.00018},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SuzukiH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SuzukiWS22,
  author       = {Tomonori Suzuki and
                  Yutaka Watanobe and
                  Divij G. Singh},
  title        = {Algorithm to Interconvert {SQL} and Procedural Visual Queries},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {256--263},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00048},
  doi          = {10.1109/MCSOC57363.2022.00048},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SuzukiWS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TanH22,
  author       = {Sih Pin Tan and
                  Yung It Ho},
  title        = {Scalability of Post-Silicon Test Generation for Multi-core {RISC-V}
                  {SOC} Validation},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {14--17},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00012},
  doi          = {10.1109/MCSOC57363.2022.00012},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TanH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TanimuHRM22,
  author       = {Jesse Jeremiah Tanimu and
                  Mohamed Hamada and
                  Patience Robert and
                  Anand Mahendran},
  title        = {Network Intrusion Detection System Using Deep Learning Method with
                  {KDD} Cup'99 Dataset},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {251--255},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00047},
  doi          = {10.1109/MCSOC57363.2022.00047},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TanimuHRM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/UetsukiSOTS22,
  author       = {Toshihiro Uetsuki and
                  Yohei Shimmyo and
                  Yuichi Okuyama and
                  Yoichi Tomioka and
                  Jungpil Shin},
  title        = {Acceleration of DNN-based Hand Pose Estimation with Monocular Camera
                  Using {TVM}},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {61--66},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00019},
  doi          = {10.1109/MCSOC57363.2022.00019},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/UetsukiSOTS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WaidyasooriyaOH22,
  author       = {Hasitha Muthumala Waidyasooriya and
                  Yuta Ohma and
                  Masanori Hariyama},
  title        = {FPGA-Based Prototype of a Quantum Annealing Simulator for Sparse Ising
                  Model},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {195--199},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00039},
  doi          = {10.1109/MCSOC57363.2022.00039},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WaidyasooriyaOH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WangSNI22,
  author       = {Yuan Wang and
                  Hidetomo Shibamura and
                  KuanYi Ng and
                  Koji Inoue},
  title        = {Implementation of Edge-cloud Cooperative {CNN} Inference on an IoT
                  Platform},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {337--344},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00060},
  doi          = {10.1109/MCSOC57363.2022.00060},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WangSNI22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YamanashiYKNO22,
  author       = {Shouhei Yamanashi and
                  Hisashi Yashiro and
                  Takahiro Katagiri and
                  Toru Nagai and
                  Satoshi Ohshima},
  title        = {Autotuning Power Consumption and Computation Accuracy using ppOpen-AT},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {208--215},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00041},
  doi          = {10.1109/MCSOC57363.2022.00041},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YamanashiYKNO22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YanZSWW22,
  author       = {Kai Yan and
                  Chaoyue Zhao and
                  Chengkang Shen and
                  Peiyan Wang and
                  Guoqing Wang},
  title        = {Driver Status Monitoring System with Feedback from Fatigue Detection
                  and Lane Line Detection},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {167--173},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00035},
  doi          = {10.1109/MCSOC57363.2022.00035},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YanZSWW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YangLHC22,
  author       = {Yun{-}Ru Yang and
                  Wei Lu and
                  Po{-}Tsang Huang and
                  Hung{-}Ming Chen},
  title        = {Digital Computation-in-Memory Design with Adaptive Floating Point
                  for Deep Neural Networks},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {216--223},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00042},
  doi          = {10.1109/MCSOC57363.2022.00042},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YangLHC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YuqingNA22,
  author       = {Zhou Yuqing and
                  Naoya Niwa and
                  Hideharu Amano},
  title        = {Distance Aware Compression for Low Latency High Bandwidth Interconnection
                  Network},
  booktitle    = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  pages        = {361--367},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022.00063},
  doi          = {10.1109/MCSOC57363.2022.00063},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YuqingNA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2022,
  title        = {15th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCSoC57363.2022},
  doi          = {10.1109/MCSOC57363.2022},
  isbn         = {978-1-6654-6499-4},
  timestamp    = {Thu, 26 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AhmedKN21,
  author       = {Tanvir Ahmed and
                  Johannes Maximilian K{\"{u}}hn and
                  Ken Namura},
  title        = {A Highly Efficient Layout-Aware {FPGA} Overlay Accelerator Mapping
                  Method},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {265--272},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00046},
  doi          = {10.1109/MCSOC51149.2021.00046},
  timestamp    = {Fri, 11 Feb 2022 09:29:47 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AhmedKN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BaoGLZ21,
  author       = {Zhenshan Bao and
                  Junnan Guo and
                  Xiaqing Li and
                  Wenbo Zhang},
  title        = {{MSCU:} Accelerating {CNN} Inference with Multiple Sizes of Compute
                  Unit on FPGAs},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {106--113},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00023},
  doi          = {10.1109/MCSOC51149.2021.00023},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BaoGLZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BhadeS21,
  author       = {Pavitra Prakash Bhade and
                  Sharad Sinha},
  title        = {Detection of Cache Side Channel Attacks Using Thread Level Monitoring
                  of Hardware Performance Counters},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {210--217},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00039},
  doi          = {10.1109/MCSOC51149.2021.00039},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BhadeS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BlochBM21,
  author       = {Aurelien Bloch and
                  Simone Casale Brunet and
                  Marco Mattavelli},
  title        = {{SIMD} Parallel Execution on {GPU} from High-Level Dataflow Synthesis},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {62--68},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00017},
  doi          = {10.1109/MCSOC51149.2021.00017},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BlochBM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BlochBM21a,
  author       = {Aurelien Bloch and
                  Simone Casale Brunet and
                  Marco Mattavelli},
  title        = {Performance Estimation of High-Level Dataflow Program on Heterogeneous
                  Platforms},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {69--76},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00018},
  doi          = {10.1109/MCSOC51149.2021.00018},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BlochBM21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CaoLGG21,
  author       = {Tiancheng Cao and
                  Chen Liu and
                  Yuan Gao and
                  Wang Ling Goh},
  title        = {Parasitic-Aware Modelling for Neural Networks Implemented with Memristor
                  Crossbar Array},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {122--126},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00025},
  doi          = {10.1109/MCSOC51149.2021.00025},
  timestamp    = {Mon, 06 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CaoLGG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChenJ21,
  author       = {Hongbo Chen and
                  Lei Jing},
  title        = {Light-weight Enhanced Semantics-Guided Neural Networks for Skeleton-Based
                  Human Action Recognition},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {190--196},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00036},
  doi          = {10.1109/MCSOC51149.2021.00036},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChenJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChoDLLL21,
  author       = {Younghyun Cho and
                  James Demmel and
                  Xiaoye S. Li and
                  Yang Liu and
                  Hengrui Luo},
  title        = {Enhancing Autotuning Capability with a History Database},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {249--257},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00044},
  doi          = {10.1109/MCSOC51149.2021.00044},
  timestamp    = {Sat, 26 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChoDLLL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChristianLVT21,
  author       = {Albert Budi Christian and
                  Chih{-}Yu Lin and
                  Lan{-}Da Van and
                  Yu{-}Chee Tseng},
  title        = {Data Fusion Driven Lane-level Precision Data Transmission for {V2X}
                  Road Applications},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {157--163},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00031},
  doi          = {10.1109/MCSOC51149.2021.00031},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChristianLVT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DehnaviGG21,
  author       = {Saeid Dehnavi and
                  Dip Goswami and
                  Kees Goossens},
  title        = {Analyzable Publish-Subcribe Communication Through a Wait-Free {FIFO}
                  Channel for MPSoC Real-Time Applications},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {388--395},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00064},
  doi          = {10.1109/MCSOC51149.2021.00064},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DehnaviGG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DelgadilloBKB21,
  author       = {Octavio Delgadillo and
                  Bernhard Blieninger and
                  Juri Kuhn and
                  Uwe Baumgarten},
  title        = {An Architecture to Enable Machine-Learning-Based Task Migration for
                  Multi-Core Real-Time Systems},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {405--412},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00066},
  doi          = {10.1109/MCSOC51149.2021.00066},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DelgadilloBKB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FensterB21,
  author       = {Richard Fenster and
                  S{\'{e}}bastien Le Beux},
  title        = {{RELAX:} a REconfigurabLe Approximate Network-on-Chip},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {381--387},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00063},
  doi          = {10.1109/MCSOC51149.2021.00063},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FensterB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FirmansyahY21,
  author       = {Iman Firmansyah and
                  Yoshiki Yamaguchi},
  title        = {FPGA-Based Implementation of the Stereo Matching Algorithm Using High-Level
                  Synthesis},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00009},
  doi          = {10.1109/MCSOC51149.2021.00009},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FirmansyahY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FornoSMU21,
  author       = {Evelina Forno and
                  Andrea Spitale and
                  Enrico Macii and
                  Gianvito Urgese},
  title        = {Configuring an Embedded Neuromorphic Coprocessor Using a {RISC-V}
                  Chip for Enabling Edge Computing Applications},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {328--332},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00055},
  doi          = {10.1109/MCSOC51149.2021.00055},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FornoSMU21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FukushimaIA21,
  author       = {Yasuyu Fukushima and
                  Kensuke Iizuka and
                  Hideharu Amano},
  title        = {Parallel Implementation of {CNN} on Multi-FPGA Cluster},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {77--83},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00019},
  doi          = {10.1109/MCSOC51149.2021.00019},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FukushimaIA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GiardinoSFF21,
  author       = {Michael J. Giardino and
                  Daniel Schwyn and
                  Bonnie H. Ferri and
                  Aldo A. Ferri},
  title        = {2QoSM: {A} Q-Learner QoS Manager for Application-Guided Power-Aware
                  Systems},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {218--225},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00040},
  doi          = {10.1109/MCSOC51149.2021.00040},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GiardinoSFF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HamadaTHKR21,
  author       = {Mohamed Hamada and
                  Jesse Jeremiah Tanimu and
                  Mohammed Hassan and
                  Habeebah Adamu Kakudi and
                  Patience Robert},
  title        = {Evaluation of Recursive Feature Elimination and {LASSO} Regularization-based
                  optimized feature selection approaches for cervical cancer prediction},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {333--339},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00056},
  doi          = {10.1109/MCSOC51149.2021.00056},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HamadaTHKR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HamanakaKK21,
  author       = {Fumio Hamanaka and
                  Takuto Kanamori and
                  Kenji Kise},
  title        = {A Low Cost and Portable Mini Motor Car System with a {BNN} Accelerator
                  on {FPGA}},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {84--91},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00020},
  doi          = {10.1109/MCSOC51149.2021.00020},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HamanakaKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HasanAS21,
  author       = {Md. Al Mehedi Hasan and
                  Fuad Al Abir and
                  Jungpil Shin},
  title        = {Surface Type Classification for Autonomous Robots Using Temporal,
                  Statistical and Spectral Feature Extraction and Selection},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {145--150},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00029},
  doi          = {10.1109/MCSOC51149.2021.00029},
  timestamp    = {Mon, 13 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HasanAS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HoshinoYK21,
  author       = {Takayuki Hoshino and
                  Rentaro Yoshioka and
                  Yukihide Kohira},
  title        = {Design of a Knowledge Experience Based Environment for Museum Data
                  Exploration and Knowledge Creation},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {296--303},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00051},
  doi          = {10.1109/MCSOC51149.2021.00051},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HoshinoYK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/IslamK21,
  author       = {Md. Ashraful Islam and
                  Kenji Kise},
  title        = {Efficient Resource Shared {RISC-V} Multicore Processor},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {366--372},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00061},
  doi          = {10.1109/MCSOC51149.2021.00061},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/IslamK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/JessicaHYH21,
  author       = {Egwom Onyinyechi Jessica and
                  Mohamed Hamada and
                  Saratu Ilu Yusuf and
                  Mohammed Hassan},
  title        = {The Role of Linear Discriminant Analysis for Accurate Prediction of
                  Breast Cancer},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {340--344},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00057},
  doi          = {10.1109/MCSOC51149.2021.00057},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/JessicaHYH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/JungP21,
  author       = {Dongkyu Jung and
                  Daejin Park},
  title        = {Accelerated on-Chip Algorithm Based on Semantic Region-Based Partial
                  Difference Detection for LiDAR-Vision Depth Data Transmission Reduction
                  in Lightweight Controller Systems of Autonomous Vehicle},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {16--22},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00011},
  doi          = {10.1109/MCSOC51149.2021.00011},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/JungP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KadomotoIS21,
  author       = {Junichiro Kadomoto and
                  Hidetsugu Irie and
                  Shuichi Sakai},
  title        = {Multiport Register File Design for High-Performance Embedded Cores},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {281--286},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00048},
  doi          = {10.1109/MCSOC51149.2021.00048},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KadomotoIS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KameiKAYMUHSB21,
  author       = {Aika Kamei and
                  Takuya Kojima and
                  Hideharu Amano and
                  Daiki Yokoyama and
                  Hisato Miyauchi and
                  Kimiyoshi Usami and
                  Keizo Hiraga and
                  Kenta Suzuki and
                  Kazuhiro Bessho},
  title        = {Energy saving in a multi-context coarse grained reconfigurable array
                  with non-volatile flip-flops},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {273--280},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00047},
  doi          = {10.1109/MCSOC51149.2021.00047},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KameiKAYMUHSB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KanamoriK21,
  author       = {Takuto Kanamori and
                  Kenji Kise},
  title        = {RVCoreP-32IC: An optimized {RISC-} {V} soft processor supporting the
                  compressed instructions},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {38--45},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00014},
  doi          = {10.1109/MCSOC51149.2021.00014},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KanamoriK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KasugaSHOT21,
  author       = {Yuta Kasuga and
                  Jungpil Shin and
                  Md. Al Mehedi Hasan and
                  Yuichi Okuyama and
                  Yoichi Tomioka},
  title        = {EEG-based Positive-Negative Emotion Classification Using Machine Learning
                  Techniques},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {135--139},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00027},
  doi          = {10.1109/MCSOC51149.2021.00027},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KasugaSHOT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KimmP21,
  author       = {Haklin Kimm and
                  Incheon Paik},
  title        = {Distributed Neural Network with TensorFlow on Human Activity Recognition
                  Over Multicore {TPU}},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {127--134},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00026},
  doi          = {10.1109/MCSOC51149.2021.00026},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KimmP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KimmPK21,
  author       = {Haklin Kimm and
                  Incheon Paik and
                  Hanke Kimm},
  title        = {Performance Comparision of TPU, GPU, {CPU} on Google Colaboratory
                  Over Distributed Deep Learning},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {312--319},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00053},
  doi          = {10.1109/MCSOC51149.2021.00053},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KimmPK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KleijnenRSW21,
  author       = {R. Kleijnen and
                  Markus Robens and
                  Michael Schiek and
                  Stefan van Waasen},
  title        = {A Network Simulator for the Estimation of Bandwidth Load and Latency
                  Created by Heterogeneous Spiking Neural Networks on Neuromorphic Computing
                  Communication Networks},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {320--327},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00054},
  doi          = {10.1109/MCSOC51149.2021.00054},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KleijnenRSW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KomiyamaS21,
  author       = {Tomoko Komiyama and
                  Tomohiro Suzuki},
  title        = {Sparse Matrix Ordering Method with a Quantum Annealing Approach and
                  its Parameter Tuning},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {258--264},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00045},
  doi          = {10.1109/MCSOC51149.2021.00045},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KomiyamaS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KonoNHM21,
  author       = {Fumiya Kono and
                  Naohito Nakasato and
                  Naru Hirata and
                  Koji Matsumoto},
  title        = {Acceleration of Gravitation Field Analysis for Asteroids by {GPU}
                  Computation},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {8--15},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00010},
  doi          = {10.1109/MCSOC51149.2021.00010},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KonoNHM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KumagaiKSK21,
  author       = {Masahito Kumagai and
                  Kazuhiko Komatsu and
                  Masayuki Sato and
                  Hiroaki Kobayashi},
  title        = {Ising-Based Combinatorial Clustering Using the Kernel Method},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {197--203},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00037},
  doi          = {10.1109/MCSOC51149.2021.00037},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KumagaiKSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KundelEMGAHS21,
  author       = {Ralf Kundel and
                  Kadir Eryigit and
                  Jonas Markussen and
                  Carsten Griwodz and
                  Osama Abboud and
                  Rhaban Hark and
                  Ralf Steinmetz},
  title        = {Host Bypassing: Direct Data Piping from the Network to the Hardware
                  Accelerator},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {23--30},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00012},
  doi          = {10.1109/MCSOC51149.2021.00012},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KundelEMGAHS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LuZSEM21,
  author       = {Yufan Lu and
                  Xiaojun Zhai and
                  Sangeet Saha and
                  Shoaib Ehsan and
                  Klaus D. McDonald{-}Maier},
  title        = {{FPGA} based Adaptive Hardware Acceleration for Multiple Deep Learning
                  Tasks},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {204--209},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00038},
  doi          = {10.1109/MCSOC51149.2021.00038},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LuZSEM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MiedemaRG21,
  author       = {Lukas Miedema and
                  Benjamin Rouxel and
                  Clemens Grelck},
  title        = {Task-level Redundancy vs Instruction-level Redundancy against Single
                  Event Upsets in Real-time {DAG} scheduling},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {373--380},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00062},
  doi          = {10.1109/MCSOC51149.2021.00062},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MiedemaRG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MukunokiHI21,
  author       = {Daichi Mukunoki and
                  Yusuke Hirota and
                  Toshiyuki Imamura},
  title        = {Task Scheduling Strategies for Batched Basic Linear Algebra Subprograms
                  on Many-core CPUs},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {234--241},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00042},
  doi          = {10.1109/MCSOC51149.2021.00042},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MukunokiHI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MusaHAH21,
  author       = {Aminu Musa and
                  Mohamed Hamada and
                  Farouq Muhammad Aliyu and
                  Mohammed Hassan},
  title        = {An Intelligent Plant Dissease Detection System for Smart Hydroponic
                  Using Convolutional Neural Network},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {345--351},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00058},
  doi          = {10.1109/MCSOC51149.2021.00058},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MusaHAH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OdanKK21,
  author       = {Takashi Odan and
                  Takuto Kanamori and
                  Kenji Kise},
  title        = {A function-rich {FPGA} system of camera image processing for video
                  meeting},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {31--37},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00013},
  doi          = {10.1109/MCSOC51149.2021.00013},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OdanKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RKSR21,
  author       = {Risikesh RK and
                  Sharad Sinha and
                  Nanditha P. Rao},
  title        = {Variable Bit-Precision Vector Extension for {RISC-V} Based Processors},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {114--121},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00024},
  doi          = {10.1109/MCSOC51149.2021.00024},
  timestamp    = {Mon, 06 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RKSR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RahimiSWP21,
  author       = {Parisa Rahimi and
                  Amit Kumar Singh and
                  Xiaohang Wang and
                  Alok Prakash},
  title        = {Trends and Challenges in Ensuring Security for Low-Power and High-Performance
                  Embedded SoCs},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {226--233},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00041},
  doi          = {10.1109/MCSOC51149.2021.00041},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RahimiSWP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RahmanHR21,
  author       = {Md. Atiqur Rahman and
                  Mohamed Hamada and
                  Md. Asfaqur Rahman},
  title        = {Text Compression Based on an Alternative Approach of Run-Length Coding
                  Using Burrows-Wheeler Transform and Arithmetic Coding},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {287--291},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00049},
  doi          = {10.1109/MCSOC51149.2021.00049},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RahmanHR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RoederRG21,
  author       = {Julius Roeder and
                  Benjamin Rouxel and
                  Clemens Grelck},
  title        = {Scheduling DAGs of Multi-Version Multi-Phase Tasks on Heterogeneous
                  Real-Time Systems},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {54--61},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00016},
  doi          = {10.1109/MCSOC51149.2021.00016},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RoederRG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShimmyoO21,
  author       = {Yohei Shimmyo and
                  Yuichi Okuyama},
  title        = {Mini-Batch Training along Convolution Windows for Representation Learning
                  Based on Spike-Time-Dependent-Plasticity Rule},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {304--311},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00052},
  doi          = {10.1109/MCSOC51149.2021.00052},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShimmyoO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShimuraTZ21,
  author       = {Kaisei Shimura and
                  Yoichi Tomioka and
                  Qiangfu Zhao},
  title        = {A Distance Estimation Method to Railway Crossing Using Warning Signs},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {178--181},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00034},
  doi          = {10.1109/MCSOC51149.2021.00034},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShimuraTZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShresthamaliKN21,
  author       = {Shaswot Shresthamali and
                  Masaaki Kondo and
                  Hiroshi Nakamura},
  title        = {Multi-objective Reinforcement Learning for Energy Harvesting Wireless
                  Sensor Nodes},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {98--105},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00022},
  doi          = {10.1109/MCSOC51149.2021.00022},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShresthamaliKN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Sim21,
  author       = {Mong Tee Sim},
  title        = {Boosting {CPU} Performance using Pipelined Branch and Jump Folding
                  Hardware with Turbo Module},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {359--365},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00060},
  doi          = {10.1109/MCSOC51149.2021.00060},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Sim21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SugawaraSET21,
  author       = {Shunpei Sugawara and
                  Yoichi Shimomura and
                  Ryusuke Egawa and
                  Hiroyuki Takizawa},
  title        = {Portability of Vectorization-aware Performance Tuning Expertise across
                  System Generations},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {242--248},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00043},
  doi          = {10.1109/MCSOC51149.2021.00043},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SugawaraSET21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SuzukiOH21,
  author       = {Daisuke Suzuki and
                  Takahiro Oka and
                  Takahiro Hanyu},
  title        = {A Memory-Access-Minimized {BCNN} Accelerator Using Nonvolatile {FPGA}
                  with Only-Once- Write Shifting},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {92--97},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00021},
  doi          = {10.1109/MCSOC51149.2021.00021},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SuzukiOH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SuzukiT21,
  author       = {Takaharu Suzuki and
                  Kiyofumi Tanaka},
  title        = {Execution Right Delegation Scheduling Algorithm for Multiprocessor},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {46--53},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00015},
  doi          = {10.1109/MCSOC51149.2021.00015},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SuzukiT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TakamiyaRW21,
  author       = {Atsushi Takamiya and
                  Md. Mostafizer Rahman and
                  Yutaka Watanobe},
  title        = {A Framework and Its User Interface to Learn Machine Learning Models},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {352--358},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00059},
  doi          = {10.1109/MCSOC51149.2021.00059},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TakamiyaRW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TamahashiYH21,
  author       = {Toru Tamahashi and
                  Rentaro Yoshioka and
                  Takayuki Hoshino},
  title        = {{UI} Method to Support Knowledge Creation in Hybrid Museum Experience},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {292--295},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00050},
  doi          = {10.1109/MCSOC51149.2021.00050},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TamahashiYH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/UetsukiOS21,
  author       = {Toshihiro Uetsuki and
                  Yuichi Okuyama and
                  Jungpil Shin},
  title        = {CNN-based End-to-end Autonomous Driving on {FPGA} Using {TVM} and
                  {VTA}},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {140--144},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00028},
  doi          = {10.1109/MCSOC51149.2021.00028},
  timestamp    = {Wed, 05 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/UetsukiOS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/VanWTJ21,
  author       = {Lan{-}Da Van and
                  Tao{-}Jung Wang and
                  Sing{-}Jia Tzeng and
                  Tzyy{-}Ping Jung},
  title        = {A Computation-Aware {TPL} Utilization Procedure for Parallelizing
                  the FastICA Algorithm on a Multi-Core {CPU}},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {171--177},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00033},
  doi          = {10.1109/MCSOC51149.2021.00033},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/VanWTJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/XiangT21,
  author       = {Maoyang Xiang and
                  Tee Hui Teo},
  title        = {A Multi-scale Binarized Neural Network Application Based on All Programmable
                  System on Chip},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {151--156},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00030},
  doi          = {10.1109/MCSOC51149.2021.00030},
  timestamp    = {Thu, 08 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/XiangT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/XuMM21,
  author       = {Vasco Miguel Liang Xu and
                  Liam White McShane and
                  Daniel Moss{\'{e}}},
  title        = {{LUSH:} Lightweight Framework for User-level Scheduling in Heterogeneous
                  Multicores},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {396--404},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00065},
  doi          = {10.1109/MCSOC51149.2021.00065},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/XuMM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ZengP21,
  author       = {Kungan Zeng and
                  Incheon Paik},
  title        = {Dynamic Service Recommendation Using Lightweight BERT-based Service
                  Embedding in Edge Computing},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {182--189},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00035},
  doi          = {10.1109/MCSOC51149.2021.00035},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ZengP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ZhouFXH21,
  author       = {Zikang Zhou and
                  Chao Fu and
                  Ruiqi Xie and
                  Jun Han},
  title        = {A Heterogeneous Full-stack {AI} Platform for Performance Monitoring
                  and Hardware-specific Optimizations},
  booktitle    = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  pages        = {164--170},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021.00032},
  doi          = {10.1109/MCSOC51149.2021.00032},
  timestamp    = {Wed, 15 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ZhouFXH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2021,
  title        = {14th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2021, Singapore, Singapore, December 20-23,
                  2021},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MCSoC51149.2021},
  doi          = {10.1109/MCSOC51149.2021},
  isbn         = {978-1-6654-3860-5},
  timestamp    = {Fri, 11 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AbdelhamidYB19,
  author       = {Riadh Ben Abdelhamid and
                  Yoshiki Yamaguchi and
                  Taisuke Boku},
  title        = {{MITRACA:} {A} Next-Gen Heterogeneous Architecture},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {304--311},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00050},
  doi          = {10.1109/MCSOC.2019.00050},
  timestamp    = {Tue, 26 Nov 2019 20:28:29 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AbdelhamidYB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AgungAET19,
  author       = {Mulya Agung and
                  Muhammad Alfian Amrizal and
                  Ryusuke Egawa and
                  Hiroyuki Takizawa},
  title        = {An Automatic {MPI} Process Mapping Method Considering Locality and
                  Memory Congestion on {NUMA} Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {17--24},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00010},
  doi          = {10.1109/MCSOC.2019.00010},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AgungAET19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AlonsoFTB19,
  author       = {Miguel Gorgues Alonso and
                  Jos{\'{e}} Flich and
                  Meriem Turki and
                  Davide Bertozzi},
  title        = {A Low-Latency and Flexible {TDM} NoC for Strong Isolation in Security-Critical
                  Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {149--156},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00029},
  doi          = {10.1109/MCSOC.2019.00029},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AlonsoFTB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AnzaiW19,
  author       = {Kazuki Anzai and
                  Yutaka Watanobe},
  title        = {Algorithm to Determine Extended Edit Distance between Program Codes},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {180--186},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00033},
  doi          = {10.1109/MCSOC.2019.00033},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AnzaiW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AzegamiMHAKHA19,
  author       = {Keita Azegami and
                  Kazusa Musha and
                  Kazuei Hironaka and
                  Akram Ben Ahmed and
                  Michihiro Koibuchi and
                  Yao Hu and
                  Hideharu Amano},
  title        = {A {STDM} (Static Time Division Multiplexing) Switch on a Multi-FPGA
                  System},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {328--333},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00053},
  doi          = {10.1109/MCSOC.2019.00053},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AzegamiMHAKHA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CongC19,
  author       = {Thanh Cong and
                  Fran{\c{c}}ois Charot},
  title        = {Designing Application-Specific Heterogeneous Architectures from Performance
                  Models},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {265--272},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00045},
  doi          = {10.1109/MCSOC.2019.00045},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CongC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DaiJ19,
  author       = {Zeyang Dai and
                  Lei Jing},
  title        = {Real-Time Attitude Estimation of Sigma-Point Kalman Filter via Matrix
                  Operation Accelerator},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {342--346},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00055},
  doi          = {10.1109/MCSOC.2019.00055},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DaiJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DangAT19,
  author       = {Khanh N. Dang and
                  Akram Ben Ahmed and
                  Xuan{-}Tu Tran},
  title        = {An on-Communication Multiple-TSV Defects Detection and Localization
                  for Real-Time 3D-ICs},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {223--228},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00039},
  doi          = {10.1109/MCSOC.2019.00039},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DangAT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DurandBS19,
  author       = {Julien Durand and
                  Youcef Bouchebaba and
                  Luca Santinelli},
  title        = {Statistical Analysis for Shared Resources Effects with Multi-Core
                  Real-Time Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {362--371},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00058},
  doi          = {10.1109/MCSOC.2019.00058},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DurandBS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ElsayedK19,
  author       = {Elsayed A. Elsayed and
                  Kenji Kise},
  title        = {Towards an Efficient Hardware Architecture for Odd-Even Based Merge
                  Sorter},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {249--256},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00043},
  doi          = {10.1109/MCSOC.2019.00043},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ElsayedK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FukuharaISN19,
  author       = {Akihiro Fukuhara and
                  Tomomu Iwai and
                  Yuiko Sakuma and
                  Hiroaki Nishi},
  title        = {Implementation of Content-Based Anonymization Edge Router on NetFPGA},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {123--128},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00025},
  doi          = {10.1109/MCSOC.2019.00025},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FukuharaISN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GruzlikovKKT19,
  author       = {Alexander M. Gruzlikov and
                  Nikolai V. Kolesov and
                  Dmitrii Kostygov and
                  Marina V. Tolmacheva},
  title        = {A Real-Time Fault-Tolerant and Power-Efficient Multicore System on
                  Chip},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {354--361},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00057},
  doi          = {10.1109/MCSOC.2019.00057},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GruzlikovKKT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HanMJW19,
  author       = {Zhengqian Han and
                  Michael Conrad Meyer and
                  Xin Jiang and
                  Takahiro Watanabe},
  title        = {Low-Cost Congestion Detection Mechanism for Networks-on-Chip},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {157--163},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00030},
  doi          = {10.1109/MCSOC.2019.00030},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HanMJW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HondaWA19,
  author       = {Koki Honda and
                  Kaijie Wei and
                  Hideharu Amano},
  title        = {FPGA/Python Co-Design for Lane Line Detection on a {PYNQ-Z1} Board},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {53--60},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00015},
  doi          = {10.1109/MCSOC.2019.00015},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HondaWA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HoshinoY19,
  author       = {Takayuki Hoshino and
                  Rentaro Yoshioka},
  title        = {Design of Knowledge Templates and Multi-View Symbols for Experiential
                  Learning},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {201--208},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00036},
  doi          = {10.1109/MCSOC.2019.00036},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HoshinoY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HouHR19,
  author       = {Jie Hou and
                  Qi Han and
                  Martin Radetzki},
  title        = {A Machine Learning Enabled Long-Term Performance Evaluation Framework
                  for NoCs},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {164--171},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00031},
  doi          = {10.1109/MCSOC.2019.00031},
  timestamp    = {Fri, 31 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HouHR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/JainP19,
  author       = {Sarika Jain and
                  Archana Patel},
  title        = {Smart Ontology-Based Event Identification},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {135--142},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00027},
  doi          = {10.1109/MCSOC.2019.00027},
  timestamp    = {Wed, 10 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/JainP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KamaleldinARGG19,
  author       = {Ahmed Kamaleldin and
                  Muhammad Ali and
                  Pedram Amini Rad and
                  Marcus Gottschalk and
                  Diana G{\"{o}}hringer},
  title        = {Modular Memory System for {RISC-V} Based MPSoCs on Xilinx FPGAs},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {68--73},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00017},
  doi          = {10.1109/MCSOC.2019.00017},
  timestamp    = {Mon, 07 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KamaleldinARGG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KatoS19,
  author       = {Hayato Kato and
                  Hiroshi Saito},
  title        = {Design of Asynchronous {CNN} Circuits on Commercial {FPGA} from Synchronous
                  {CNN} Circuits},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {61--67},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00016},
  doi          = {10.1109/MCSOC.2019.00016},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KatoS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KiyamaAI19,
  author       = {Masato Kiyama and
                  Motoki Amagasaki and
                  Masahiro Iida},
  title        = {Deep Learning Framework with Arbitrary Numerical Precision},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {81--86},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00019},
  doi          = {10.1109/MCSOC.2019.00019},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KiyamaAI19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KuanA19,
  author       = {Kyle Kuan and
                  Tosiron Adegbija},
  title        = {Energy and Performance Analysis of {STTRAM} Caches for Mobile Applications},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {257--264},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00044},
  doi          = {10.1109/MCSOC.2019.00044},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KuanA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Kuhn19,
  author       = {Johannes Maximilian K{\"{u}}hn},
  title        = {Building Scalable and Highly Efficient Accelerators Near the End of
                  Conventional Scaling},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {46--52},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00014},
  doi          = {10.1109/MCSOC.2019.00014},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Kuhn19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KuramochiSSSN19,
  author       = {Ryosuke Kuramochi and
                  Youki Sada and
                  Masayuki Shimoda and
                  Shimpei Sato and
                  Hiroki Nakahara},
  title        = {Many Universal Convolution Cores for Ensemble Sparse Convolutional
                  Neural Networks},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {93--100},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00021},
  doi          = {10.1109/MCSOC.2019.00021},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KuramochiSSSN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiuSZW19,
  author       = {Baohua Liu and
                  Wenfeng Shen and
                  Xin Zhu and
                  Xingyu Wangchen},
  title        = {Integrating Intra-and Intercellular Simulation of a 2D {HL-1} Cardiac
                  Model Based on Embedded GPUs},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {236--240},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00041},
  doi          = {10.1109/MCSOC.2019.00041},
  timestamp    = {Thu, 08 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiuSZW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Louise19,
  author       = {St{\'{e}}phane Louise},
  title        = {Graph Transformations and Derivation of Scheduling Constraints Applied
                  to the Mapping of Real-Time Distributed Applications},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {295--303},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00049},
  doi          = {10.1109/MCSOC.2019.00049},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Louise19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LuoMJW19,
  author       = {Yaoying Luo and
                  Michael Conrad Meyer and
                  Xin Jiang and
                  Takahiro Watanabe},
  title        = {A Hotspot-Pattern-Aware Routing Algorithm for Networks-on-Chip},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {229--235},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00040},
  doi          = {10.1109/MCSOC.2019.00040},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LuoMJW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MajdLSDT19,
  author       = {Amin Majd and
                  Mohammad Loni and
                  Golnaz Sahebi and
                  Masoud Daneshtalab and
                  Elena Troubitsyna},
  title        = {A Cloud Based Super-Optimization Method to Parallelize the Sequential
                  Code's Nested Loops},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {281--287},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00047},
  doi          = {10.1109/MCSOC.2019.00047},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MajdLSDT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MalekiAO19,
  author       = {Adele Maleki and
                  Hamidreza Ahmadian and
                  Roman Obermaisser},
  title        = {Fault Detection and Localization for Network-on-Chips in Mixed-Criticality
                  Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {217--222},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00038},
  doi          = {10.1109/MCSOC.2019.00038},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MalekiAO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MatsuiIK19,
  author       = {Katsunoshin Matsui and
                  Md. Ashraful Islam and
                  Kenji Kise},
  title        = {An Efficient Implementation of a {TAGE} Branch Predictor for Soft
                  Processors on {FPGA}},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {108--115},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00023},
  doi          = {10.1109/MCSOC.2019.00023},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MatsuiIK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Meyer0W19,
  author       = {Michael Conrad Meyer and
                  Yu Wang and
                  Takahiro Watanabe},
  title        = {Fault-Tolerant Traffic-Aware Routing Algorithm for 3-D Photonic Networks-on-Chip},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {172--179},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00032},
  doi          = {10.1109/MCSOC.2019.00032},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Meyer0W19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MyintA0IK19,
  author       = {Theingi Myint and
                  Motoki Amagasaki and
                  Qian Zhao and
                  Masahiro Iida and
                  Masato Kiyama},
  title        = {A Novel SLM-Based Virtual {FPGA} Overlay Architecture},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {74--80},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00018},
  doi          = {10.1109/MCSOC.2019.00018},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MyintA0IK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NakamuraOO19,
  author       = {Aki Nakamura and
                  Yuichi Okuyama and
                  Ryuichi Oka},
  title        = {Real-Time Implementation of Time-Space Continuous Dynamic Programming
                  for Air-Drawn Character Recognition Using GPUs},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {288--294},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00048},
  doi          = {10.1109/MCSOC.2019.00048},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NakamuraOO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OhashiW19,
  author       = {Hiroki Ohashi and
                  Yutaka Watanobe},
  title        = {Convolutional Neural Network for Classification of Source Codes},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {194--200},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00035},
  doi          = {10.1109/MCSOC.2019.00035},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OhashiW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OhkawaTSHOW19,
  author       = {Takeshi Ohkawa and
                  Ikuta Tanigawa and
                  Mikiko Sato and
                  Kenji Hisazumi and
                  Nobuhiko Ogura and
                  Harumi Watanabe},
  title        = {Prototype of {FPGA} Dynamic Reconfiguration Based-on Context-Oriented
                  Programming},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {116--122},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00024},
  doi          = {10.1109/MCSOC.2019.00024},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OhkawaTSHOW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OhshimaYIY19,
  author       = {Satoshi Ohshima and
                  Ichitaro Yamazaki and
                  Akihiro Ida and
                  Rio Yokota},
  title        = {Optimization of Numerous Small Dense-Matrix-Vector Multiplications
                  in H-Matrix Arithmetic on {GPU}},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {9--16},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00009},
  doi          = {10.1109/MCSOC.2019.00009},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OhshimaYIY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OkuharaKA19,
  author       = {Hayate Okuhara and
                  Ryosuke Kazami and
                  Hideharu Amano},
  title        = {A System Delay Monitor Exploiting Automatic Cell-Based Design Flow
                  and Post-Silicon Calibration},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {32--37},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00012},
  doi          = {10.1109/MCSOC.2019.00012},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OkuharaKA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/PengSZI19,
  author       = {Lu Peng and
                  Wentao Shi and
                  Jian Zhang and
                  Samuel Irving},
  title        = {Exploiting Model-Level Parallelism in Recurrent Neural Network Accelerators},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {241--248},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00042},
  doi          = {10.1109/MCSOC.2019.00042},
  timestamp    = {Fri, 09 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/PengSZI19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RahmanH19,
  author       = {Md. Atiqur Rahman and
                  Mohamed Hamada},
  title        = {A Semi-Lossless Image Compression Procedure using a Lossless Mode
                  of {JPEG}},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {143--148},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00028},
  doi          = {10.1109/MCSOC.2019.00028},
  timestamp    = {Thu, 02 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RahmanH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RanbirsinghKK19,
  author       = {Jagadish Kumar Ranbirsingh and
                  Hanke Kimm and
                  Haklin Kimm},
  title        = {Distributed Neural Networks using TensorFlow over Multicore and Many-Core
                  Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {101--107},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00022},
  doi          = {10.1109/MCSOC.2019.00022},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RanbirsinghKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RichthammerG19,
  author       = {Valentina Richthammer and
                  Michael Gla{\ss}},
  title        = {Efficient Search-Space Encoding for System-Level Design Space Exploration
                  of Embedded Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {273--280},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00046},
  doi          = {10.1109/MCSOC.2019.00046},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RichthammerG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SagiDWH19,
  author       = {Mark Sagi and
                  Nguyen Anh Vu Doan and
                  Thomas Wild and
                  Andreas Herkersdorf},
  title        = {Multicore Power Estimation using Independent Component Analysis Based
                  Modeling},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {38--45},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00013},
  doi          = {10.1109/MCSOC.2019.00013},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SagiDWH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SpieckWSTG19,
  author       = {Jan Spieck and
                  Stefan Wildermann and
                  Tobias Schwarzer and
                  J{\"{u}}rgen Teich and
                  Michael Gla{\ss}},
  title        = {Data-Driven Scenario-Based Application Mapping for Heterogeneous Many-Core
                  Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {334--341},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00054},
  doi          = {10.1109/MCSOC.2019.00054},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SpieckWSTG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/StrobelR19,
  author       = {Manuel Strobel and
                  Martin Radetzki},
  title        = {Design-Time Memory Subsystem Optimization for Low-Power Multi-Core
                  Embedded Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {347--353},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00056},
  doi          = {10.1109/MCSOC.2019.00056},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/StrobelR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Suzuki19,
  author       = {Tomohiro Suzuki},
  title        = {Performance Tuning of Tile Matrix Decomposition},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {25--31},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00011},
  doi          = {10.1109/MCSOC.2019.00011},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Suzuki19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TeoTT19,
  author       = {Tee Hui Teo and
                  Wei Ming Tan and
                  Yi Shu Tan},
  title        = {Tumour Detection using Convolutional Neural Network on a Lightweight
                  Multi-Core Device},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {87--92},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00020},
  doi          = {10.1109/MCSOC.2019.00020},
  timestamp    = {Fri, 30 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TeoTT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TeradaW19,
  author       = {Kenta Terada and
                  Yutaka Watanobe},
  title        = {Automatic Generation of Fill-in-the-Blank Programming Problems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {187--193},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00034},
  doi          = {10.1109/MCSOC.2019.00034},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TeradaW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TerashimaKOMASK19,
  author       = {Sayaka Terashima and
                  Takuya Kojima and
                  Hayate Okuhara and
                  Kazusa Musha and
                  Hideharu Amano and
                  Ryuichi Sakamoto and
                  Masaaki Kondo and
                  Mitaro Namiki},
  title        = {A Preliminary Evaluation of Building Block Computing Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {312--319},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00051},
  doi          = {10.1109/MCSOC.2019.00051},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TerashimaKOMASK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TranTH19,
  author       = {Van{-}Toan Tran and
                  Quang{-}Kien Trinh and
                  Van{-}Phuc Hoang},
  title        = {Enhanced {ID} Authentication Scheme Using FPGA-Based Ring Oscillator
                  {PUF}},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {320--327},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00052},
  doi          = {10.1109/MCSOC.2019.00052},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TranTH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/XuMJW19,
  author       = {Siying Xu and
                  Michael Conrad Meyer and
                  Xin Jiang and
                  Takahiro Watanabe},
  title        = {A Traffic-Robust Routing Algorithm for Network-on-Chip Systems},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {209--216},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00037},
  doi          = {10.1109/MCSOC.2019.00037},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/XuMJW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YipLLS19,
  author       = {Eugene Yip and
                  Erjola Lalo and
                  Gerald L{\"{u}}ttgen and
                  Andreas Sailer},
  title        = {Lightweight Semantics-Preserving Communication for Real-Time Automotive
                  Software},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {372--379},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00059},
  doi          = {10.1109/MCSOC.2019.00059},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YipLLS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YoshiokaM19,
  author       = {Rentaro Yoshioka and
                  Naoyuki Murata},
  title        = {Unified Symbol Framework to Improve {UI} Comprehension},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {129--134},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00026},
  doi          = {10.1109/MCSOC.2019.00026},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YoshiokaM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YuRB19,
  author       = {Chenhan D. Yu and
                  Severin Reiz and
                  George Biros},
  title        = {Distributed {O(N)} Linear Solver for Dense Symmetric Hierarchical
                  Semi-Separable Matrices},
  booktitle    = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCSoC.2019.00008},
  doi          = {10.1109/MCSOC.2019.00008},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YuRB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2019,
  title        = {13th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2019, Singapore, Singapore, October 1-4, 2019},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8893993/proceeding},
  isbn         = {978-1-7281-4882-3},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AhmedOMKA18,
  author       = {Akram Ben Ahmed and
                  Hayate Okuhara and
                  Hiroki Matsutani and
                  Michihiro Koibuchi and
                  Hideharu Amano},
  title        = {Adaptive Body Bias Control Scheme for Ultra Low-Power Network-on-Chip
                  Systems},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {146--153},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00034},
  doi          = {10.1109/MCSOC2018.2018.00034},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AhmedOMKA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AliPK18,
  author       = {Ghazanfar Ali and
                  Jerrin Pathrose and
                  Hans G. Kerkhoff},
  title        = {On-Chip Lifetime Prediction for Dependable Many-Processor SoCs Based
                  on Data Fusion},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {44--51},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00019},
  doi          = {10.1109/MCSOC2018.2018.00019},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AliPK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AzumaSK18,
  author       = {Yuuma Azuma and
                  Hayato Sakagami and
                  Kenji Kise},
  title        = {An Efficient Parallel Hardware Scheme for Solving the N-Queens Problem},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {16--22},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00015},
  doi          = {10.1109/MCSOC2018.2018.00015},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AzumaSK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Ben-AsherL18,
  author       = {Yosi Ben{-}Asher and
                  Irina Lipov},
  title        = {Unifying Wire and Time Scheduling for Highlevel Synthesis},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {28--35},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00017},
  doi          = {10.1109/MCSOC2018.2018.00017},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Ben-AsherL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Ben-AsherTPZH18,
  author       = {Yosi Ben{-}Asher and
                  Vladislav Tartakovsky and
                  Katrina Portman and
                  Orr Zilberman and
                  Avishi Hadar},
  title        = {An {FPGA} Scalable Parallel Viterbi Decoder},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {8--15},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00014},
  doi          = {10.1109/MCSOC2018.2018.00014},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Ben-AsherTPZH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DangT18,
  author       = {Khanh N. Dang and
                  Xuan{-}Tu Tran},
  title        = {Parity-Based {ECC} and Mechanism for Detecting and Correcting Soft
                  Errors in On-Chip Communication},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {154--161},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00035},
  doi          = {10.1109/MCSOC2018.2018.00035},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DangT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DuongCJ18,
  author       = {Vinh Van Duong and
                  Thuong Nguyen Canh and
                  Byeungwoo Jeon},
  title        = {Light Field Image Coding for Efficient Refocusing},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {74--78},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00024},
  doi          = {10.1109/MCSOC2018.2018.00024},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DuongCJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DuongTD18,
  author       = {Son Ngoc Duong and
                  Anh Vu{-}Tuan Trinh and
                  Thai{-}Mai Dinh},
  title        = {Bluetooth Low Energy Based Indoor Positioning on iOS Platform},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {57--63},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00021},
  doi          = {10.1109/MCSOC2018.2018.00021},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DuongTD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DuyT18,
  author       = {Doan Duy and
                  Kiyofumi Tanaka},
  title        = {A Novel Task-to-Processor Assignment Approach for Optimal Multiprocessor
                  Real-Time Scheduling},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {101--108},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00028},
  doi          = {10.1109/MCSOC2018.2018.00028},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DuyT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ElsayedK18,
  author       = {Elsayed A. Elsayed and
                  Kenji Kise},
  title        = {Design and Evaluation of a Configurable Hardware Merge Sorter for
                  Various Output Records},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {201--208},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00041},
  doi          = {10.1109/MCSOC2018.2018.00041},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ElsayedK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GoensMC18,
  author       = {Andres Goens and
                  Christian Menard and
                  Jer{\'{o}}nimo Castrill{\'{o}}n},
  title        = {On the Representation of Mappings to Multicores},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {184--191},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00039},
  doi          = {10.1109/MCSOC2018.2018.00039},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GoensMC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HamadaLH18,
  author       = {Mohamed Hamada and
                  Abdulsalam Latifat and
                  Mohammed Hassan},
  title        = {Adaptive Genetic Algorithm for Improving Prediction Accuracy of a
                  Multi-Criteria Recommender System},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {79--86},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00025},
  doi          = {10.1109/MCSOC2018.2018.00025},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HamadaLH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HamadaOH18,
  author       = {Mohamed Hamada and
                  Nkiruka Bridget Odu and
                  Mohammed Hassan},
  title        = {A Fuzzy-Based Approach for Modelling Preferences of Users in Multi-Criteria
                  Recommender Systems},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {87--94},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00026},
  doi          = {10.1109/MCSOC2018.2018.00026},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HamadaOH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HoangLP18,
  author       = {Trong{-}Thuc Hoang and
                  Duc{-}Hung Le and
                  Cong{-}Kha Pham},
  title        = {{VLSI} Design of Floating-Point Twiddle Factor Using Adaptive {CORDIC}
                  on Various Iteration Limitations},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {225--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00044},
  doi          = {10.1109/MCSOC2018.2018.00044},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HoangLP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HoangVanHP18,
  author       = {Xiem HoangVan and
                  Le Dao Thi Hue and
                  Giap PhamVan},
  title        = {Adaptive Long-Term Reference Selection for Efficient Scalable Surveillance
                  Video Coding},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {69--73},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00023},
  doi          = {10.1109/MCSOC2018.2018.00023},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HoangVanHP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HuongCHV18,
  author       = {Thao Nguyen Thi Huong and
                  Huy Phi Cong and
                  Xiem HoangVan and
                  Tien Huu Vu},
  title        = {A Practical High Efficiency Video Coding Solution for Visual Sensor
                  Network using Raspberry Pi Platform},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {64--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00022},
  doi          = {10.1109/MCSOC2018.2018.00022},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HuongCHV18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KanazawaC18,
  author       = {Kenji Kanazawa and
                  Shaowei Cai},
  title        = {{FPGA} Acceleration to Solve Maximum Clique Problems Encoded into
                  Partial MaxSAT},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {217--224},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00043},
  doi          = {10.1109/MCSOC2018.2018.00043},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KanazawaC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KidaneB18,
  author       = {Hiliwi Leake Kidane and
                  El{-}Bay Bourennane},
  title        = {{MARTE} and {IP-XACT} Based Approach for Run-Time Scalable NoC},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {162--167},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00036},
  doi          = {10.1109/MCSOC2018.2018.00036},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KidaneB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KomatsuK0MK18,
  author       = {Kazuhiko Komatsu and
                  Takumi Kishitani and
                  Masayuki Sato and
                  Akihiro Musa and
                  Hiroaki Kobayashi},
  title        = {Search Space Reduction for Parameter Tuning of a Tsunami Simulation
                  on the Intel Knights Landing Processor},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {117--124},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00030},
  doi          = {10.1109/MCSOC2018.2018.00030},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KomatsuK0MK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KostrovSBT18,
  author       = {Aleksandr Kostrov and
                  Viktor Stempitsky and
                  Artur Borovik and
                  Vladimir Tchekhovsky},
  title        = {Design Features of Analog-to-Digital Solutions for the Tracking Detector
                  Readout Electronics},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {52--56},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00020},
  doi          = {10.1109/MCSOC2018.2018.00020},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KostrovSBT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KudoUAHUOIAMT18,
  author       = {Takumi Kudo and
                  Kodai Ueyoshi and
                  Kota Ando and
                  Kazutoshi Hirose and
                  Ryota Uematsu and
                  Yuka Oba and
                  Masayuki Ikebe and
                  Tetsuya Asai and
                  Masato Motomura and
                  Shinya Takamaeda{-}Yamazaki},
  title        = {Area and Energy Optimization for Bit-Serial Log-Quantized {DNN} Accelerator
                  with Shared Accumulators},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {237--243},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00048},
  doi          = {10.1109/MCSOC2018.2018.00048},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KudoUAHUOIAMT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LoniMLDST18,
  author       = {Mohammad Loni and
                  Amin Majd and
                  Abdolah Loni and
                  Masoud Daneshtalab and
                  Mikael Sj{\"{o}}din and
                  Elena Troubitsyna},
  title        = {Designing Compact Convolutional Neural Network for Embedded Stereo
                  Vision Systems},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {244--251},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00049},
  doi          = {10.1109/MCSOC2018.2018.00049},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LoniMLDST18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MasingSKAHB18,
  author       = {Leonard Masing and
                  Akshay Srivatsa and
                  Fabian Kre{\ss} and
                  Nidhi Anantharajaiah and
                  Andreas Herkersdorf and
                  J{\"{u}}rgen Becker},
  title        = {In-NoC Circuits for Low-Latency Cache Coherence in Distributed Shared-Memory
                  Architectures},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {138--145},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00033},
  doi          = {10.1109/MCSOC2018.2018.00033},
  timestamp    = {Sun, 30 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MasingSKAHB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MieOS18,
  author       = {Shunsuke Mie and
                  Yuichi Okuyama and
                  Hiroaki Saito},
  title        = {Simplified Quadcopter Simulation Model for Spike-Based Hardware {PID}
                  Controller using SystemC-AMS},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {23--27},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00016},
  doi          = {10.1109/MCSOC2018.2018.00016},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MieOS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MoonCP18,
  author       = {Hyeongyun Moon and
                  Jeonghun Cho and
                  Daejin Park},
  title        = {Freeze-Safe IoT Hibernation using Power Profile Monitor Based on Communication-Centric
                  Auto-Tuning},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {132--137},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00032},
  doi          = {10.1109/MCSOC2018.2018.00032},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MoonCP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NguyenLDH18,
  author       = {Van{-}Tinh Nguyen and
                  Tieu{-}Khanh Luong and
                  Han Le Duc and
                  Van{-}Phuc Hoang},
  title        = {An Efficient Hardware Implementation of Activation Functions Using
                  Stochastic Computing for Deep Neural Networks},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {233--236},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00045},
  doi          = {10.1109/MCSOC2018.2018.00045},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NguyenLDH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NguyenTDL18,
  author       = {Phuc{-}Vinh Nguyen and
                  Thi{-}Thu{-}Trang Tran and
                  Phuoc{-}Loc Diep and
                  Duc{-}Hung Le},
  title        = {A Low-Power {ASIC} Implementation of Multi-Core OpenSPARC {T1} Processor
                  on 90nm {CMOS} Process},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {95--100},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00027},
  doi          = {10.1109/MCSOC2018.2018.00027},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NguyenTDL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/PaikIDC18,
  author       = {Incheon Paik and
                  Yuji Ishizuka and
                  Quang{-}Minh Do and
                  Wuhui Chen},
  title        = {On-Line Cost-Aware Workflow Allocation in Heterogeneous Computing
                  Environments},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {209--216},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00042},
  doi          = {10.1109/MCSOC2018.2018.00042},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/PaikIDC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/PhamHKVK18,
  author       = {Khoa Dang Pham and
                  Edson L. Horta and
                  Dirk Koch and
                  Anuj Vaishnav and
                  Thomas Kuhn},
  title        = {{IPRDF:} An Isolated Partial Reconfiguration Design Flow for Xilinx
                  FPGAs},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {36--43},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00018},
  doi          = {10.1109/MCSOC2018.2018.00018},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/PhamHKVK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SchwarzerRRKWGT18,
  author       = {Tobias Schwarzer and
                  Sascha Roloff and
                  Valentina Richthammer and
                  Rami Khaldi and
                  Stefan Wildermann and
                  Michael Gla{\ss} and
                  J{\"{u}}rgen Teich},
  title        = {On the Complexity of Mapping Feasibility in Many-Core Architectures},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {176--183},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00038},
  doi          = {10.1109/MCSOC2018.2018.00038},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SchwarzerRRKWGT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TakayanagiS18,
  author       = {Masatoshi Takayanagi and
                  Tomohiro Suzuki},
  title        = {Communication-Avoiding Tile {QR} Decomposition on {CPU/GPU} Heterogeneous
                  Cluster System},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {125--131},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00031},
  doi          = {10.1109/MCSOC2018.2018.00031},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TakayanagiS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TsurMD18,
  author       = {Elishai Ezra Tsur and
                  Elyassaf Madar and
                  Natan Danan},
  title        = {Code Generation of Graph-Based Vision Processing for Multiple {CUDA}
                  Cores SoC Jetson {TX}},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {1--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00013},
  doi          = {10.1109/MCSOC2018.2018.00013},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TsurMD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/UrbinaO18,
  author       = {Mois{\'{e}}s Urbina and
                  Roman Obermaisser},
  title        = {Evaluation of Performance and Fault Containment in {AUTOSAR} Micro-ECUs
                  on a Multi-Core Processor},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {192--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00040},
  doi          = {10.1109/MCSOC2018.2018.00040},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/UrbinaO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/VenkataramaniP018,
  author       = {Vanchinathan Venkataramani and
                  Anuj Pathania and
                  Muhammad Shafique and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  title        = {Scalable Dynamic Task Scheduling on Adaptive Many-Core},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {168--175},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00037},
  doi          = {10.1109/MCSOC2018.2018.00037},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/VenkataramaniP018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YabuuchiACK18,
  author       = {Hidehito Yabuuchi and
                  Shinichi Awamoto and
                  Hiroyuki Chishiro and
                  Shinpei Kato},
  title        = {Multikernel Design and Implementation for Improving Responsiveness
                  of Aperiodic Tasks},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {109--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00029},
  doi          = {10.1109/MCSOC2018.2018.00029},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YabuuchiACK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2018,
  title        = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8539038/proceeding},
  isbn         = {978-1-5386-6689-0},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AhmadianO17,
  author       = {Hamidreza Ahmadian and
                  Roman Obermaisser},
  title        = {Temporal Partitioning in Mixed-Criticality NoCs Using Timely Blocking},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {98--105},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.30},
  doi          = {10.1109/MCSOC.2017.30},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AhmadianO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ArezoomandAFFM17,
  author       = {Fatemeh Arezoomand and
                  Arghavan Asad and
                  Mahdi Fazeli and
                  Mahmood Fathy and
                  Farah Mohammadi},
  title        = {Reliability and Power Optimization in 3D-Stacked Cache Using a Run-Time
                  Reconfiguration Procedure},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {75--82},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.28},
  doi          = {10.1109/MCSOC.2017.28},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ArezoomandAFFM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AusinPS17,
  author       = {Daniel Sanz Ausin and
                  Luca Pezzarossa and
                  Martin Schoeberl},
  title        = {Real-Time Audio Processing on the T-Crest Multicore Platform},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {120--127},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.24},
  doi          = {10.1109/MCSOC.2017.24},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AusinPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AxKVJPR17,
  author       = {Johannes Ax and
                  Nils Kucza and
                  Marten Vohrmann and
                  Thorsten Jungeblut and
                  Mario Porrmann and
                  Ulrich R{\"{u}}ckert},
  title        = {Comparing Synchronous, Mesochronous and Asynchronous NoCs for {GALS}
                  Based MPSoCs},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {45--51},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.19},
  doi          = {10.1109/MCSOC.2017.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AxKVJPR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Ben-AsherHS17,
  author       = {Yosi Ben{-}Asher and
                  Gadi Haber and
                  Esti Stein},
  title        = {A Study of Conflicting Pairs of Compiler Optimizations},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {52--58},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.31},
  doi          = {10.1109/MCSOC.2017.31},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Ben-AsherHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BrandHTT17,
  author       = {Marcel Brand and
                  Frank Hannig and
                  Alexandru Tanase and
                  J{\"{u}}rgen Teich},
  title        = {Orthogonal Instruction Processing: An Alternative to Lightweight {VLIW}
                  Processors},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {5--12},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.17},
  doi          = {10.1109/MCSOC.2017.17},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BrandHTT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChuKFK17,
  author       = {Thiem Van Chu and
                  Myeonggu Kang and
                  Shi Fa and
                  Kenji Kise},
  title        = {Enhanced Long Edge First Routing Algorithm and Evaluation in Large-Scale
                  Networks-on-Chip},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {83--90},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.22},
  doi          = {10.1109/MCSOC.2017.22},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChuKFK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DaiJLW17,
  author       = {Jindun Dai and
                  Xin Jiang and
                  Renjie Li and
                  Takahiro Watanabe},
  title        = {An Efficient Deadlock-Free Adaptive Routing Algorithm for 3D Network-on-Chips},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {29--36},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.10},
  doi          = {10.1109/MCSOC.2017.10},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DaiJLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DashPRTMA17,
  author       = {Ranjita Kumari Dash and
                  Vinod Pangracious and
                  Jos{\'{e}} L. Risco{-}Mart{\'{\i}}n and
                  Ashok Kumar Turuk and
                  Amartya Majumdar and
                  Jos{\'{e}} Luis Ayala},
  title        = {Thermal Management in 3D Homogeneous NoC Systems Using Optimized Placement
                  of Liquid Microchannels},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {37--44},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.23},
  doi          = {10.1109/MCSOC.2017.23},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DashPRTMA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DoanMAOA17,
  author       = {Nguyen Anh Vu Doan and
                  Yusuke Matsushita and
                  Naoki Ando and
                  Hayate Okuhara and
                  Hideharu Amano},
  title        = {Multi-objective Optimization for Application Mapping and Body Bias
                  Control on a {CGRA}},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {143--150},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.20},
  doi          = {10.1109/MCSOC.2017.20},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DoanMAOA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DuttaKR17,
  author       = {Sourav Dutta and
                  Dimitri Kagaris and
                  Harini Ramaprasad},
  title        = {Hypervisor-Induced Negative Interference in Virtualized Multi-core
                  Platforms: The {P4080} Case},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {59--66},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.11},
  doi          = {10.1109/MCSOC.2017.11},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DuttaKR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GoyalLK17,
  author       = {Aakashdeep Goyal and
                  Zuqing Li and
                  Haklin Kimm},
  title        = {Comparative Study on Edge Detection Algorithms Using OpenACC and OpenMPI
                  on Multicore Systems},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {67--74},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.16},
  doi          = {10.1109/MCSOC.2017.16},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GoyalLK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HanyuZ17,
  author       = {Tatsuya Hanyu and
                  Qiangfu Zhao},
  title        = {Incremental Training of SVM-Based Human Detector},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {181--185},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.25},
  doi          = {10.1109/MCSOC.2017.25},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HanyuZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HassanH17,
  author       = {Mohammed Hassan and
                  Mohamed Hamada},
  title        = {A Computational Model for Improving the Accuracy of Multi-criteria
                  Recommender Systems},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {114--119},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.14},
  doi          = {10.1109/MCSOC.2017.14},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HassanH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Hur17,
  author       = {Jae Young Hur},
  title        = {Representing Contiguity in Page Table for Memory Management Units},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {21--28},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.12},
  doi          = {10.1109/MCSOC.2017.12},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Hur17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KidaneBO17,
  author       = {Hiliwi Leake Kidane and
                  El{-}Bay Bourennane and
                  Gilberto Ochoa{-}Ruiz},
  title        = {Run-Time Scalable NoC for {FPGA} Based Virtualized IPs},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {91--97},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.32},
  doi          = {10.1109/MCSOC.2017.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KidaneBO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KonoNHVS17,
  author       = {Fumiya Kono and
                  Naohito Nakasato and
                  Kensaku Hayashi and
                  Alexander Vazhenin and
                  Stanislav G. Sedukhin},
  title        = {Performance Evaluation of Tsunami Simulation Using OpenCL on {GPU}
                  and {FPGA}},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {106--113},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.15},
  doi          = {10.1109/MCSOC.2017.15},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KonoNHVS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiGK17,
  author       = {Zuqing Li and
                  Aakashdeep Goyal and
                  Haklin Kimm},
  title        = {Parallel Longest Common Sequence Algorithm on Multicore Systems Using
                  OpenACC, OpenMP and OpenMPI},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {158--165},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.13},
  doi          = {10.1109/MCSOC.2017.13},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiGK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiTDPK17,
  author       = {Yujie Li and
                  Benying Tan and
                  Shuxue Ding and
                  Incheon Paik and
                  Atsunori Kanemura},
  title        = {Key Frame Extraction from Video Based on Determinant-Type of Sparse
                  Measure and {DC} Programming},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {174--180},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.8},
  doi          = {10.1109/MCSOC.2017.8},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiTDPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MieOSCDA17,
  author       = {Shunsuke Mie and
                  Yuichi Okuyama and
                  Yusuke Sato and
                  Ye Chan and
                  Nam{-}Khanh Dang and
                  Ben Abdallah Abderazek},
  title        = {Real-Time {UAV} Attitude Heading Reference System Using Extended Kalman
                  Filter for Programmable SoC},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {136--142},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.26},
  doi          = {10.1109/MCSOC.2017.26},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MieOSCDA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OhkawaOYKA17,
  author       = {Takeshi Ohkawa and
                  Kanemitsu Ootsu and
                  Takashi Yokota and
                  Katsuya Kikuchi and
                  Masahiro Aoyagi},
  title        = {Designing Efficient Parallel Processing in 3D Standard-Chip Stacking
                  System with Standard Bus},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {128--135},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.27},
  doi          = {10.1109/MCSOC.2017.27},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OhkawaOYKA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/PaikKS17,
  author       = {Incheon Paik and
                  Yutaka Koshiba and
                  T. H. Akila S. Siriweera},
  title        = {Efficient Service Discovery Using Social Service Network Based on
                  Big Data Infrastructure},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {166--173},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.9},
  doi          = {10.1109/MCSOC.2017.9},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/PaikKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ParkKHK17,
  author       = {Hyun{-}Moon Park and
                  Jinsan Kwon and
                  Taeho Hwang and
                  Dong{-}Sun Kim},
  title        = {Improving Memory Access Efficiency for Heterogeneous Multiprocessor
                  Systems},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {1--4},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.21},
  doi          = {10.1109/MCSOC.2017.21},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ParkKHK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SakamotoTIKNOKA17,
  author       = {Ryuichi Sakamoto and
                  Ryo Takata and
                  Jun Ishii and
                  Masaaki Kondo and
                  Hiroshi Nakamura and
                  Tetsui Ohkubo and
                  Takuya Kojima and
                  Hideharu Amano},
  title        = {The Design and Implementation of Scalable Deep Neural Network Accelerator
                  Cores},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {13--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.29},
  doi          = {10.1109/MCSOC.2017.29},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SakamotoTIKNOKA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TakayanagiS17,
  author       = {Masatoshi Takayanagi and
                  Tomohiro Suzuki},
  title        = {Construction of Performance Model of Tile {CAQR} and Performance Result
                  of the Implementation},
  booktitle    = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  pages        = {151--157},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCSoC.2017.18},
  doi          = {10.1109/MCSOC.2017.18},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TakayanagiS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2017,
  title        = {11th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20,
                  2017},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8326547/proceeding},
  isbn         = {978-1-5386-3441-7},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AhmadianOA16,
  author       = {Hamidreza Ahmadian and
                  Roman Obermaisser and
                  Mohammed Abuteir},
  title        = {Time-Triggered and Rate-Constrained On-chip Communication in Mixed-Criticality
                  Systems},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {117--124},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.58},
  doi          = {10.1109/MCSOC.2016.58},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AhmadianOA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BelkacemiBDL16,
  author       = {Dihia Belkacemi and
                  Youcef Bouchebaba and
                  Mehammed Daoui and
                  Mustapha Lalam},
  title        = {Network on Chip and Parallel Computing in Embedded Systems},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {146--152},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.53},
  doi          = {10.1109/MCSOC.2016.53},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BelkacemiBDL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BrunetBM16,
  author       = {Simone Casale Brunet and
                  Endri Bezati and
                  Marco Mattavelli},
  title        = {Programming Models and Methods for Heterogeneous Parallel Embedded
                  Systems},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {289--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.39},
  doi          = {10.1109/MCSOC.2016.39},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BrunetBM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ButkoBGSNTR16,
  author       = {Anastasiia Butko and
                  Florent Bruguier and
                  Abdoulaye Gamati{\'{e}} and
                  Gilles Sassatelli and
                  David Novo and
                  Lionel Torres and
                  Michel Robert},
  title        = {Full-System Simulation of big.LITTLE Multicore Architecture for Performance
                  and Energy Exploration},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {201--208},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.20},
  doi          = {10.1109/MCSOC.2016.20},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ButkoBGSNTR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChoucheneAD16,
  author       = {Wissem Chouchene and
                  Rabie Ben Atitallah and
                  Jean{-}Luc Dekeyser},
  title        = {AFFORDe: Automatic Allocation and Floorplanning for {SPMD} Architecture},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {1--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.38},
  doi          = {10.1109/MCSOC.2016.38},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChoucheneAD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CudennecDGMS16,
  author       = {Lo{\"{\i}}c Cudennec and
                  Safae Dahmani and
                  Guy Gogniat and
                  C{\'{e}}dric Maignan and
                  Martha Johanna Sep{\'{u}}lveda},
  title        = {Network Contention-Aware Method to Evaluate Data Coherency Protocols
                  within a Compilation Toolchain},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {249--256},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.54},
  doi          = {10.1109/MCSOC.2016.54},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CudennecDGMS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CuiHTK16,
  author       = {Hang Cui and
                  Shoichi Hirasawa and
                  Hiroyuki Takizawa and
                  Hiroaki Kobayashi},
  title        = {A Code Selection Mechanism Using Deep Learning},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {385--392},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.46},
  doi          = {10.1109/MCSOC.2016.46},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CuiHTK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DurandBC16,
  author       = {Yves Durand and
                  Christian Bernard and
                  Fabien Clermidy},
  title        = {Distributed Dynamic Rate Adaptation on a Network on Chip with Traffic
                  Distortion},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {225--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.29},
  doi          = {10.1109/MCSOC.2016.29},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DurandBC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/EndoCC16,
  author       = {Fernando Akira Endo and
                  Damien Courouss{\'{e}} and
                  Henri{-}Pierre Charles},
  title        = {Pushing the Limits of Online Auto-Tuning: Machine Code Optimization
                  in Short-Running Kernels},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {265--272},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.11},
  doi          = {10.1109/MCSOC.2016.11},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/EndoCC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/EscamillaCF16,
  author       = {Jos{\'{e}} V. Escamilla and
                  Mario R. Casu and
                  Jos{\'{e}} Flich},
  title        = {Increasing the Efficiency of Latency-Driven {DVFS} with a Smart NoC
                  Congestion Management Strategy},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {241--248},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.42},
  doi          = {10.1109/MCSOC.2016.42},
  timestamp    = {Mon, 18 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/EscamillaCF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FriederichNB16,
  author       = {Stephanie Friederich and
                  Marco Neber and
                  J{\"{u}}rgen Becker},
  title        = {Power Management Controller for Online Power Saving in Network-on-Chips},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {109--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.22},
  doi          = {10.1109/MCSOC.2016.22},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FriederichNB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GabisSBKB16,
  author       = {Asma Benmessaoud Gabis and
                  Marc Sevaux and
                  Pierre Bomel and
                  Mouloud Koudil and
                  Karima Benatchba},
  title        = {Heuristic Based Routing Algorithm for Network on Chip},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {39--45},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.43},
  doi          = {10.1109/MCSOC.2016.43},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GabisSBKB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GamatieUSS16,
  author       = {Abdoulaye Gamati{\'{e}} and
                  Roman Ursu and
                  Manuel Selva and
                  Gilles Sassatelli},
  title        = {Performance Prediction of Application Mapping in Manycore Systems
                  with Artificial Neural Networks},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {185--192},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.17},
  doi          = {10.1109/MCSOC.2016.17},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GamatieUSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GharanK16,
  author       = {Masoud Oveis Gharan and
                  Gul N. Khan},
  title        = {Adaptive {VC} Organization and Arbitration for Efficient NoC Design},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {31--38},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.12},
  doi          = {10.1109/MCSOC.2016.12},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GharanK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GoensKCPP16,
  author       = {Andres Goens and
                  Robert Khasanov and
                  Jer{\'{o}}nimo Castrill{\'{o}}n and
                  Simon Polstra and
                  Andy D. Pimentel},
  title        = {Why Comparing System-Level MPSoC Mapping Approaches is Difficult:
                  {A} Case Study},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {281--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.48},
  doi          = {10.1109/MCSOC.2016.48},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GoensKCPP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GotzingerRPLJT16,
  author       = {Maximilian Gotzinger and
                  Amir M. Rahmani and
                  Martin Pongratz and
                  Pasi Liljeberg and
                  Axel Jantsch and
                  Hannu Tenhunen},
  title        = {The Role of Self-Awareness and Hierarchical Agents in Resource Management
                  for Many-Core Systems},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {53--60},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.57},
  doi          = {10.1109/MCSOC.2016.57},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GotzingerRPLJT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HuKB16,
  author       = {Yue Hu and
                  David M. Koppelman and
                  Steven R. Brandt},
  title        = {A Performance Model and Efficiency-Based Assignment of Buffering Strategies
                  for Automatic {GPU} Stencil Code Generation},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {361--368},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.37},
  doi          = {10.1109/MCSOC.2016.37},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HuKB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ImesKMH16,
  author       = {Connor Imes and
                  David H. K. Kim and
                  Martina Maggio and
                  Henry Hoffmann},
  title        = {Portable Multicore Resource Management for Applications with Performance
                  Constraints},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {305--312},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.10},
  doi          = {10.1109/MCSOC.2016.10},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ImesKMH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/IwasakiT16,
  author       = {Shintaro Iwasaki and
                  Kenjiro Taura},
  title        = {Autotuning of a Cut-Off for Task Parallel Programs},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {353--360},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.51},
  doi          = {10.1109/MCSOC.2016.51},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/IwasakiT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KaraouiPMWG16,
  author       = {Mohamed Lamine Karaoui and
                  Pierre{-}Yves Peneau and
                  Quentin L. Meunier and
                  Franck Wajsb{\"{u}}rt and
                  Alain Greiner},
  title        = {Exploiting Large Memory Using 32-Bit Energy-Efficient Manycore Architectures},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {61--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.44},
  doi          = {10.1109/MCSOC.2016.44},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KaraouiPMWG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KerrisonME16,
  author       = {Steve Kerrison and
                  David May and
                  Kerstin Eder},
  title        = {A Benes Based NoC Switching Architecture for Mixed Criticality Embedded
                  Systems},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {125--132},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.50},
  doi          = {10.1109/MCSOC.2016.50},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KerrisonME16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KidaneBO16,
  author       = {Hiliwi Leake Kidane and
                  El{-}Bay Bourennane and
                  Gilberto Ochoa{-}Ruiz},
  title        = {NoC Based Virtualized Accelerators for Cloud Computing},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {133--137},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.21},
  doi          = {10.1109/MCSOC.2016.21},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KidaneBO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KimuraTK16,
  author       = {Keiji Kimura and
                  Gakuho Taguchi and
                  Hironori Kasahara},
  title        = {Accelerating Multicore Architecture Simulation Using Application Profile},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {177--184},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.16},
  doi          = {10.1109/MCSOC.2016.16},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KimuraTK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiFC16,
  author       = {Lin Li and
                  Markus Fussenegger and
                  Gordon Cichon},
  title        = {A Data Locality and Memory Contention Analysis Method in Embedded
                  {NUMA} Multi-core Systems},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {85--92},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.15},
  doi          = {10.1109/MCSOC.2016.15},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiFC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Liu0C0G16,
  author       = {Feiyang Liu and
                  Haibo Zhang and
                  Yawen Chen and
                  Zhiyi Huang and
                  Huaxi Gu},
  title        = {Dynamic Ring-Based Multicast with Wavelength Reuse for Optical Network
                  on Chips},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {153--160},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.9},
  doi          = {10.1109/MCSOC.2016.9},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Liu0C0G16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiuSKE16,
  author       = {Ye Liu and
                  Hiroshi Sasaki and
                  Shinpei Kato and
                  Masato Edahiro},
  title        = {A Scalability Analysis of Many Cores and On-Chip Mesh Networks on
                  the TILE-Gx Platform},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {46--52},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.40},
  doi          = {10.1109/MCSOC.2016.40},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiuSKE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MasliahBF16,
  author       = {Ian Masliah and
                  Marc Baboulin and
                  Jo{\"{e}}l Falcou},
  title        = {Meta-programming and Multi-stage Programming for GPGPUs},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {369--376},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.49},
  doi          = {10.1109/MCSOC.2016.49},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MasliahBF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MichalskaBBM16,
  author       = {Malgorzata Michalska and
                  Simone Casale Brunet and
                  Endri Bezati and
                  Marco Mattavelli},
  title        = {High-Precision Performance Estimation of Dynamic Dataflow Programs},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {101--108},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.23},
  doi          = {10.1109/MCSOC.2016.23},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MichalskaBBM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MichalskaZBM16,
  author       = {Malgorzata Michalska and
                  Nicolas Zufferey and
                  Endri Bezati and
                  Marco Mattavelli},
  title        = {Design Space Exploration Problem Formulation for Dataflow Programs
                  on Heterogeneous Architectures},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {217--224},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.25},
  doi          = {10.1109/MCSOC.2016.25},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MichalskaZBM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MiddendorfH16,
  author       = {Lars Middendorf and
                  Christian Haubelt},
  title        = {Supporting Static Binding in Stream Rewriting for Heterogeneous Many-Core
                  Architectures},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {273--280},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.26},
  doi          = {10.1109/MCSOC.2016.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MiddendorfH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MukunokiIT16,
  author       = {Daichi Mukunoki and
                  Toshiyuki Imamura and
                  Daisuke Takahashi},
  title        = {Automatic Thread-Block Size Adjustment for Memory-Bound {BLAS} Kernels
                  on GPUs},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {377--384},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.32},
  doi          = {10.1109/MCSOC.2016.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MukunokiIT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NagashimaFY16,
  author       = {Seiji Nagashima and
                  Takeshi Fukaya and
                  Yusaku Yamamoto},
  title        = {On Constructing Cost Models for Online Automatic Tuning Using ATMathCoreLib:
                  Case Studies through the {SVD} Computation on a Multicore Processor},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {345--352},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.52},
  doi          = {10.1109/MCSOC.2016.52},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NagashimaFY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OoiHZ16,
  author       = {Joo On Ooi and
                  Fawnizu Azmadi B. Hussin and
                  Nordin Zakaria},
  title        = {Dual-Engine Cross-ISA {DBTO} Technique Utilising MultiThreaded Support
                  for Multicore Processor System},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {257--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.36},
  doi          = {10.1109/MCSOC.2016.36},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OoiHZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/PuschnerCP16,
  author       = {Peter P. Puschner and
                  Bekim Cilku and
                  Daniel Prokesch},
  title        = {Constructing Time-Predictable MPSoCs: Avoid Conflicts in Temporal
                  Control},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {321--328},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.55},
  doi          = {10.1109/MCSOC.2016.55},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/PuschnerCP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/RouxGSD16,
  author       = {Baptiste Roux and
                  Matthieu Gautier and
                  Olivier Sentieys and
                  Steven Derrien},
  title        = {Communication-Based Power Modelling for Heterogeneous Multiprocessor
                  Architectures},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {209--216},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.27},
  doi          = {10.1109/MCSOC.2016.27},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/RouxGSD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SaitoIY16,
  author       = {Hiroshi Saito and
                  Masashi Imai and
                  Tomohiro Yoneda},
  title        = {A Task Allocation Method for the {DTTR} Scheme Based on the Parallelism
                  of Tasks},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {169--176},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.31},
  doi          = {10.1109/MCSOC.2016.31},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SaitoIY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SakaiSMFA16,
  author       = {Ryotaro Sakai and
                  Naru Sugimoto and
                  Takaaki Miyajima and
                  Naoyuki Fujita and
                  Hideharu Amano},
  title        = {Acceleration of Full-PIC Simulation on a {CPU-FPGA} Tightly Coupled
                  Environment},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {8--14},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.33},
  doi          = {10.1109/MCSOC.2016.33},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SakaiSMFA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SalcicNPT16,
  author       = {Zoran A. Salcic and
                  Muhammad Nadeem and
                  HeeJong Park and
                  J{\"{u}}rgen Teich},
  title        = {Optimizing Latencies and Customizing NoC of Time-Predictable Heterogeneous
                  Multi-core Processor},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {233--240},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.34},
  doi          = {10.1109/MCSOC.2016.34},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SalcicNPT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SaussardBVR16,
  author       = {Romain Saussard and
                  Boubker Bouzid and
                  Marius Vasiliu and
                  Roger Reynaud},
  title        = {A Robust Methodology for Performance Analysis on Hybrid Embedded Multicore
                  Architectures},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {77--84},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.35},
  doi          = {10.1109/MCSOC.2016.35},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SaussardBVR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SiinoBDUA16,
  author       = {Alessandro Siino and
                  Francesco Barchi and
                  Sergio Davies and
                  Gianvito Urgese and
                  Andrea Acquaviva},
  title        = {Data and Commands Communication Protocol for Neuromorphic Platform
                  Configuration},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {23--30},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.41},
  doi          = {10.1109/MCSOC.2016.41},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SiinoBDUA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/StokkeSHG16,
  author       = {Kristoffer Robin Stokke and
                  H{\aa}kon Kvale Stensland and
                  P{\aa}l Halvorsen and
                  Carsten Griwodz},
  title        = {High-Precision Power Modelling of the Tegra {K1} Variable {SMP} Processor
                  Architecture},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {193--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.28},
  doi          = {10.1109/MCSOC.2016.28},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/StokkeSHG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Suzuki16,
  author       = {Tomohiro Suzuki},
  title        = {Faster Method for Tuning the Tile Size for Tile Matrix Decomposition},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {329--336},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.24},
  doi          = {10.1109/MCSOC.2016.24},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Suzuki16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TeichGRSSWW16,
  author       = {J{\"{u}}rgen Teich and
                  Michael Gla{\ss} and
                  Sascha Roloff and
                  Wolfgang Schr{\"{o}}der{-}Preikschat and
                  Gregor Snelting and
                  Andreas Weichslgartner and
                  Stefan Wildermann},
  title        = {Language and Compilation of Parallel Programs for *-Predictable MPSoC
                  Execution Using Invasive Computing},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {313--320},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.30},
  doi          = {10.1109/MCSOC.2016.30},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TeichGRSSWW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TinatiKH16,
  author       = {Melika Tinati and
                  Somayyeh Koohi and
                  Shaahin Hessabi},
  title        = {Impact of on-chip power distribution on Temperature-Induced Faults
                  in Optical NoCs},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {161--168},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.19},
  doi          = {10.1109/MCSOC.2016.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TinatiKH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TuongK16,
  author       = {Lau Phi Tuong and
                  Keiji Kimura},
  title        = {2-Step Power Scheduling with Adaptive Control Interval for Network
                  Intrusion Detection Systems on Multicores},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {69--76},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.18},
  doi          = {10.1109/MCSOC.2016.18},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TuongK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WormsT16,
  author       = {Julien Worms and
                  Sid Ahmed Ali Touati},
  title        = {Going beyond Mean and Median Programs Performances},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {93--100},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.14},
  doi          = {10.1109/MCSOC.2016.14},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WormsT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YamashinaKOOY16,
  author       = {Kazushi Yamashina and
                  Hitomi Kimura and
                  Takeshi Ohkawa and
                  Kanemitsu Ootsu and
                  Takashi Yokota},
  title        = {cReComp: Automated Design Tool for ROS-Compliant {FPGA} Component},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {138--145},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.47},
  doi          = {10.1109/MCSOC.2016.47},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YamashinaKOOY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YipGRB16,
  author       = {Eugene Yip and
                  Alain Girault and
                  Partha S. Roop and
                  Morteza Biglari{-}Abhari},
  title        = {The ForeC Synchronous Deterministic Parallel Programming Language
                  for Multicores},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {297--304},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.13},
  doi          = {10.1109/MCSOC.2016.13},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YipGRB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YoowattanaY16,
  author       = {Sarat Yoowattana and
                  Tomohiro Yoneda},
  title        = {Improvement of Line Coding Overhead Targeting Both Run-Length and
                  DC-Balance},
  booktitle    = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  pages        = {15--22},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/MCSoC.2016.45},
  doi          = {10.1109/MCSOC.2016.45},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YoowattanaY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2016,
  title        = {10th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7774235/proceeding},
  isbn         = {978-1-5090-3531-1},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AgyemanWVZYTM15,
  author       = {Michael Opoku Agyeman and
                  Ji{-}Xiang Wan and
                  Quoc{-}Tuan Vien and
                  Wen Zong and
                  Alex Yakovlev and
                  Kenneth Tong and
                  Terrence S. T. Mak},
  title        = {On the Design of Reliable Hybrid Wired-Wireless Network-on-Chip Architectures},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {251--258},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.11},
  doi          = {10.1109/MCSOC.2015.11},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AgyemanWVZYTM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AhmedOA15,
  author       = {Achraf Ben Ahmed and
                  Yuichi Okuyama and
                  Abderazek Ben Abdallah},
  title        = {Contention-Free Routing for Hybrid Photonic Mesh-Based Network-on-Chip
                  Systems},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {235--242},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.24},
  doi          = {10.1109/MCSOC.2015.24},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AhmedOA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AminotLCC15,
  author       = {Alexandre Aminot and
                  Yves Lhuillier and
                  Andrea Castagnetti and
                  Henri{-}Pierre Charles},
  title        = {{FPU} Speedup Estimation for Task Placement Optimization on Asymmetric
                  Multicore Designs},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {81--87},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.21},
  doi          = {10.1109/MCSOC.2015.21},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AminotLCC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ArndtLB15,
  author       = {Oliver Jakob Arndt and
                  Tile Lefherz and
                  Holger Blume},
  title        = {Abstracting Parallel Programming and Its Analysis Towards Framework
                  Independent Development},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {96--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.22},
  doi          = {10.1109/MCSOC.2015.22},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ArndtLB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AyadNL15,
  author       = {Gasser Ayad and
                  Ramakrishna Nittala and
                  Romain Lemaire},
  title        = {Automatic Runtime Customization for Variability Awareness on Multicore
                  Platforms},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {143--150},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.19},
  doi          = {10.1109/MCSOC.2015.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AyadNL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BaliEKA15,
  author       = {Olfa Bali and
                  Walid Elloumi and
                  Pavel Kr{\"{o}}mer and
                  Adel M. Alimi},
  title        = {{GPU} Particle Swarm Optimization Applied to Travelling Salesman Problem},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {112--119},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.18},
  doi          = {10.1109/MCSOC.2015.18},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BaliEKA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BenoitL15,
  author       = {Nicolas Benoit and
                  St{\'{e}}phane Louise},
  title        = {A Performance Prediction for Automatic Placement of Heterogeneous
                  Workloads on Many-cores},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {159--166},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.39},
  doi          = {10.1109/MCSOC.2015.39},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BenoitL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BombieriBF15,
  author       = {Nicola Bombieri and
                  Federico Busato and
                  Franco Fummi},
  title        = {An Enhanced Profiling Framework for the Analysis and Development of
                  Parallel Primitives for GPUs},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {1--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.16},
  doi          = {10.1109/MCSOC.2015.16},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BombieriBF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BortolottiBMRSB15,
  author       = {Daniele Bortolotti and
                  Andrea Bartolini and
                  Mauro Mangia and
                  Riccardo Rovatti and
                  Gianluca Setti and
                  Luca Benini},
  title        = {Energy-Aware Bio-signal Compressed Sensing Reconstruction: {FOCUSS}
                  on the WBSN-Gateway},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {120--126},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.34},
  doi          = {10.1109/MCSOC.2015.34},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BortolottiBMRSB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BuckerSNB15,
  author       = {H. Martin B{\"{u}}cker and
                  Ralf Seidler and
                  David Neuh{\"{a}}user and
                  Tobias Beier},
  title        = {The Approximate Discrete Radon Transform: {A} Case Study in Auto-Tuning
                  of OpenCL Implementations},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {219--226},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.38},
  doi          = {10.1109/MCSOC.2015.38},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BuckerSNB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/BusatoB15,
  author       = {Federico Busato and
                  Nicola Bombieri},
  title        = {On the Load Balancing Techniques for {GPU} Applications Based on Prefix-Scan},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {88--95},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.15},
  doi          = {10.1109/MCSOC.2015.15},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/BusatoB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CapotondiMB15,
  author       = {Alessandro Capotondi and
                  Andrea Marongiu and
                  Luca Benini},
  title        = {Enabling Scalable and Fine-Grained Nested Parallelism on Embedded
                  Many-cores},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {297--304},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.47},
  doi          = {10.1109/MCSOC.2015.47},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CapotondiMB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Defour15,
  author       = {David Defour},
  title        = {Measuring Predictability of Nvidia's {GPU} Schedulers: Application
                  to the Summation Problem},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {17--24},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.9},
  doi          = {10.1109/MCSOC.2015.9},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Defour15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DoLC15,
  author       = {XuanKhanh Do and
                  St{\'{e}}phane Louise and
                  Albert Cohen},
  title        = {Managing the Latency of Data-Dependent Tasks in Embedded Streaming
                  Applications},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {9--16},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.37},
  doi          = {10.1109/MCSOC.2015.37},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DoLC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ElhelwEF15,
  author       = {Amr Saleh Elhelw and
                  Ali El{-}Moursy and
                  Hossam Ali Hassan Fahmy},
  title        = {Adaptive Time-Based Least Memory Intensive Scheduling},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {167--174},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.13},
  doi          = {10.1109/MCSOC.2015.13},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ElhelwEF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FathabadiMBAM15,
  author       = {Asieh Salehi Fathabadi and
                  Luis Alfonso Maeda{-}Nunez and
                  Michael J. Butler and
                  Bashir M. Al{-}Hashimi and
                  Geoff V. Merrett},
  title        = {Towards Automatic Code Generation of Run-Time Power Management for
                  Embedded Systems Using Formal Methods},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {104--111},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.28},
  doi          = {10.1109/MCSOC.2015.28},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FathabadiMBAM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FeehrerHKPY15,
  author       = {John R. Feehrer and
                  Jeffry Hughes and
                  Hugh Kurth and
                  David Pabisz and
                  Peter Yakutis},
  title        = {Implementation and Modeling for High-performance {I/O} Hub Used in
                  {SPARC} {M7} Processor-Based Servers},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {275--282},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.29},
  doi          = {10.1109/MCSOC.2015.29},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FeehrerHKPY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GharanK15,
  author       = {Masoud Oveis Gharan and
                  Gul N. Khan},
  title        = {Dynamic {VC} Organization for Efficient NoC Communication},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {151--158},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.12},
  doi          = {10.1109/MCSOC.2015.12},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GharanK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GulzariAA15,
  author       = {Usman Ali Gulzari and
                  Sheraz Anjum and
                  Shahrukh Agha},
  title        = {Cross by Pass-Mesh Architecture for On-chip Communication},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {267--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.51},
  doi          = {10.1109/MCSOC.2015.51},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GulzariAA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KanduriRLT15,
  author       = {Anil Kanduri and
                  Amir{-}Mohammad Rahmani and
                  Pasi Liljeberg and
                  Hannu Tenhunen},
  title        = {Predictable Application Mapping for Manycore Real-Time and Cyber-Physical
                  Systems},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {135--142},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.36},
  doi          = {10.1109/MCSOC.2015.36},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KanduriRLT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KarimiHRTLN15,
  author       = {Elmira Karimi and
                  Mohammad Hashem Haghbayan and
                  Amir{-}Mohammad Rahmani and
                  Mahmoud Tabandeh and
                  Pasi Liljeberg and
                  Zainalabedin Navabi},
  title        = {Accelerated On-chip Communication Test Methodology Using a Novel High-Level
                  Fault Model},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {283--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.46},
  doi          = {10.1109/MCSOC.2015.46},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KarimiHRTLN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KobayashiK15,
  author       = {Ryohei Kobayashi and
                  Kenji Kise},
  title        = {{FACE:} Fast and Customizable Sorting Accelerator for Heterogeneous
                  Many-core Systems},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {49--56},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.40},
  doi          = {10.1109/MCSOC.2015.40},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KobayashiK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiangCLZLH15,
  author       = {Hao Liang and
                  Yi{-}Chung Chen and
                  Tao Luo and
                  Wei Zhang and
                  Hai Li and
                  Bingsheng He},
  title        = {Hierarchical Library Based Power Estimator for Versatile FPGAs},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {25--32},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.44},
  doi          = {10.1109/MCSOC.2015.44},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiangCLZLH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MeyerAOA15,
  author       = {Michael Conrad Meyer and
                  Akram Ben Ahmed and
                  Yuichi Okuyama and
                  Abderazek Ben Abdallah},
  title        = {{FTTDOR:} Microring Fault-resilient Optical Router for Reliable Optical
                  Network-on-Chip Systems},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {227--234},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.17},
  doi          = {10.1109/MCSOC.2015.17},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MeyerAOA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MurataIFTK15,
  author       = {Riku Murata and
                  Jun Irie and
                  Akihiro Fujii and
                  Teruo Tanaka and
                  Takahiro Katagiri},
  title        = {Enhancement of Incremental Performance Parameter Estimation on ppOpen-AT},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {203--210},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.23},
  doi          = {10.1109/MCSOC.2015.23},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MurataIFTK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NakaharaOMKA15,
  author       = {Hiroshi Nakahara and
                  Tomoya Ozaki and
                  Hiroki Matsutani and
                  Michihiro Koibuchi and
                  Hideharu Amano},
  title        = {Expandable Chip Stacking Method for Many-core Architectures Consisting
                  of Tiny Chips},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {41--48},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.26},
  doi          = {10.1109/MCSOC.2015.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NakaharaOMKA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NomuraFMA15,
  author       = {Akio Nomura and
                  Yu Fujita and
                  Hiroki Matsutani and
                  Hideharu Amano},
  title        = {3D Shared Bus Architecture Using Inductive Coupling Interconnect},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {259--266},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.27},
  doi          = {10.1109/MCSOC.2015.27},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NomuraFMA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NugterenC15,
  author       = {Cedric Nugteren and
                  Valeriu Codreanu},
  title        = {CLTune: {A} Generic Auto-Tuner for OpenCL Kernels},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {195--202},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.10},
  doi          = {10.1109/MCSOC.2015.10},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NugterenC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OgawaMMKK15,
  author       = {Eri Ogawa and
                  Yuki Matsuda and
                  Tomohiro Misono and
                  Ryohei Kobayashi and
                  Kenji Kise},
  title        = {Reconfigurable {IBM} {PC} Compatible SoC for Computer Architecture
                  Education and Research},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {65--72},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.35},
  doi          = {10.1109/MCSOC.2015.35},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OgawaMMKK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/PriceM15,
  author       = {James Price and
                  Simon McIntosh{-}Smith},
  title        = {Improving Auto-Tuning Convergence Times with Dynamically Generated
                  Predictive Performance Models},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {211--218},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.31},
  doi          = {10.1109/MCSOC.2015.31},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/PriceM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SadeghiRFA15,
  author       = {Ashkan Sadeghi and
                  Kaamran Raahemifar and
                  Mahmood Fathy and
                  Arghavan Asad},
  title        = {Lighting the Dark-Silicon 3D Chip Multi-processors by Exploiting Heterogeneity
                  in Cache Hierarchy},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {182--186},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.42},
  doi          = {10.1109/MCSOC.2015.42},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SadeghiRFA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SakuraiSTO15,
  author       = {Yuichi Sakurai and
                  Ken{-}ichi Shimbo and
                  Tadanobu Toba and
                  Hideki Osaka},
  title        = {The Network Performance Analysis Platform and Its Application to Network
                  Buffer Evaluation of the Embedded System},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {305--312},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.32},
  doi          = {10.1109/MCSOC.2015.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SakuraiSTO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SepulvedaBLKCLO15,
  author       = {Johanna Sep{\'{u}}lveda and
                  S{\'{e}}bastien Le Beux and
                  Jiating Luo and
                  C{\'{e}}dric Killian and
                  Daniel Chillet and
                  Hui Li and
                  Ian O'Connor and
                  Olivier Sentieys},
  title        = {Communication Aware Design Method for Optical Network-on-Chip},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {243--250},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.33},
  doi          = {10.1109/MCSOC.2015.33},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SepulvedaBLKCLO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SieversDAFKJPR15,
  author       = {Gregor Sievers and
                  Julian Daberkow and
                  Johannes Ax and
                  Martin Flasskamp and
                  Wayne Kelly and
                  Thorsten Jungeblut and
                  Mario Porrmann and
                  Ulrich R{\"{u}}ckert},
  title        = {Comparison of Shared and Private {L1} Data Memories for an Embedded
                  MPSoC in 28nm {FD-SOI}},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {175--181},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.25},
  doi          = {10.1109/MCSOC.2015.25},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SieversDAFKJPR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/StokkeSHG15,
  author       = {Kristoffer Robin Stokke and
                  H{\aa}kon Kvale Stensland and
                  P{\aa}l Halvorsen and
                  Carsten Griwodz},
  title        = {Why Race-to-Finish is Energy-Inefficient for Continuous Multimedia
                  Workloads},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {57--64},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.20},
  doi          = {10.1109/MCSOC.2015.20},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/StokkeSHG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TagliaviniHMB15,
  author       = {Giuseppe Tagliavini and
                  Germain Haugou and
                  Andrea Marongiu and
                  Luca Benini},
  title        = {{ADRENALINE:} An OpenVX Environment to Optimize Embedded Vision Applications
                  on Many-core Accelerators},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {289--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.45},
  doi          = {10.1109/MCSOC.2015.45},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TagliaviniHMB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TangLXYWX15,
  author       = {Chuan Tang and
                  Dan Liu and
                  Zuocheng Xing and
                  Peng Yang and
                  Zhe Wang and
                  Jiang Xu},
  title        = {Memory Access Analysis of Many-core System with Abundant Bandwidth},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {187--194},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.14},
  doi          = {10.1109/MCSOC.2015.14},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TangLXYWX15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TanomotoTYN15,
  author       = {Masakazu Tanomoto and
                  Shinya Takamaeda{-}Yamazaki and
                  Jun Yao and
                  Yasuhiko Nakashima},
  title        = {A CGRA-Based Approach for Accelerating Convolutional Neural Networks},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {73--80},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.41},
  doi          = {10.1109/MCSOC.2015.41},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TanomotoTYN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/UrgeseBM15,
  author       = {Gianvito Urgese and
                  Francesco Barchi and
                  Enrico Macii},
  title        = {Top-Down Profiling of Application Specific Many-core Neuromorphic
                  Platforms},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {127--134},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.43},
  doi          = {10.1109/MCSOC.2015.43},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/UrgeseBM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WangTDQDZZ15,
  author       = {Lei Wang and
                  Yuxing Tang and
                  Yu Deng and
                  Fangyan Qin and
                  Qiang Dou and
                  Guangda Zhang and
                  Feipeng Zhang},
  title        = {A Scalable and Fast Microprocessor Design Space Exploration Methodology},
  booktitle    = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  pages        = {33--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MCSoC.2015.30},
  doi          = {10.1109/MCSOC.2015.30},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WangTDQDZZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2015,
  title        = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7327976/proceeding},
  isbn         = {978-1-4799-8670-5},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2015.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AhmedMOA14,
  author       = {Akram Ben Ahmed and
                  Michael Conrad Meyer and
                  Yuichi Okuyama and
                  Abderazek Ben Abdallah},
  title        = {Adaptive Error- and Traffic-Aware Router Architecture for 3D Network-on-Chip
                  Systems},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {197--204},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.36},
  doi          = {10.1109/MCSOC.2014.36},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AhmedMOA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AldammasSA14,
  author       = {Ahmed Aldammas and
                  Adel Soudani and
                  Abdullah Al{-}Dhelaan},
  title        = {A Buffered Flow Control Scheme with Flit Weight-Based Dropping Mechanism
                  for Efficient Communication in NoC},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {229--236},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.40},
  doi          = {10.1109/MCSOC.2014.40},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AldammasSA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CaoQL14,
  author       = {Bin Cao and
                  Li Qiao and
                  Yun Li},
  title        = {Stackelberg Game Theoretic Approach for Probabilistic Network Coding
                  in Retransmission Mechanism},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {15--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.12},
  doi          = {10.1109/MCSOC.2014.12},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CaoQL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CarrascosaMMJI14,
  author       = {Juan Pedro Cobos Carrascosa and
                  Beatriz Aparicio del Moral and
                  Jose Luis Ramos Mas and
                  Antonio C. L{\'{o}}pez Jim{\'{e}}nez and
                  J. C. del Toro Iniesta},
  title        = {A Multicore Architecture for High-Performance Scientific Computing
                  Using FPGAs},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {223--228},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.39},
  doi          = {10.1109/MCSOC.2014.39},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CarrascosaMMJI14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ChuSK14,
  author       = {Thiem Van Chu and
                  Shimpei Sato and
                  Kenji Kise},
  title        = {KNoCEmu: High Speed {FPGA} Emulator for Kilo-node Scale NoCs},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {215--222},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.38},
  doi          = {10.1109/MCSOC.2014.38},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ChuSK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CortezVB14,
  author       = {Ruth Cortez and
                  Alexander Vazhenin and
                  John Brine},
  title        = {Automatic Glossing Services for E-learning Cloud Environments},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {128--131},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.27},
  doi          = {10.1109/MCSOC.2014.27},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CortezVB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DahmaniCLG14,
  author       = {Safae Dahmani and
                  Lo{\"{\i}}c Cudennec and
                  St{\'{e}}phane Louise and
                  Guy Gogniat},
  title        = {Using the Spring Physical Model to Extend a Cooperative Caching Protocol
                  for Many-Core Processors},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {303--310},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.49},
  doi          = {10.1109/MCSOC.2014.49},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DahmaniCLG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/DuttaMK14,
  author       = {Sourav Dutta and
                  Sheheeda Manakkadu and
                  Dimitri Kagaris},
  title        = {Classifying Performance Bottlenecks in Multi-threaded Applications},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {341--345},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.55},
  doi          = {10.1109/MCSOC.2014.55},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/DuttaMK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/EbnerPH14,
  author       = {Martin Ebner and
                  Christoph Prettenthaler and
                  Mohamed Hamada},
  title        = {Cloud-Based Service for eBooks Using {EPUB} under the Aspect of Learning
                  Analytics},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {116--122},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.25},
  doi          = {10.1109/MCSOC.2014.25},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/EbnerPH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/El-Moursy14,
  author       = {Ali A. El{-}Moursy},
  title        = {Adaptive V-Set Cache for Multi-core Processors},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {297--302},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.48},
  doi          = {10.1109/MCSOC.2014.48},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/El-Moursy14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ElhelwEF14,
  author       = {Amr Saleh Elhelw and
                  Ali El{-}Moursy and
                  Hossam A. H. Fahmy},
  title        = {Time-Based Least Memory Intensive Scheduling},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {311--318},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.50},
  doi          = {10.1109/MCSOC.2014.50},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ElhelwEF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FuYCLW14,
  author       = {Weiwei Fu and
                  Mingmin Yuan and
                  Tianzhou Chen and
                  Li Liu and
                  Minghui Wu},
  title        = {SAMNoC: {A} Novel Optical Network-on-Chip for Energy-Efficient Memory
                  Access},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {181--188},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.34},
  doi          = {10.1109/MCSOC.2014.34},
  timestamp    = {Mon, 09 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FuYCLW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FujitaUA14,
  author       = {Yu Fujita and
                  Kimiyoshi Usami and
                  Hideharu Amano},
  title        = {A Thermal Management System for Building Block Computing Systems},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {165--171},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.32},
  doi          = {10.1109/MCSOC.2014.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FujitaUA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/FuruyaYY14,
  author       = {Shota Furuya and
                  Katsuki Yanai and
                  Rentaro Yoshioka},
  title        = {An Analysis Tool for a Programming Contest for High-School Students},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {132--137},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.28},
  doi          = {10.1109/MCSOC.2014.28},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/FuruyaYY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HamadaMT14,
  author       = {Mohamed Hamada and
                  Aree Muhammed and
                  Kadir Tufan},
  title        = {Smart Cloud-based Implementation of a Learning Style Index},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {68--74},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.19},
  doi          = {10.1109/MCSOC.2014.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HamadaMT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HiliFLDR14,
  author       = {Nicolas Hili and
                  Christian Fabre and
                  Ivan Llopard and
                  Sophie Dupuy{-}Chessa and
                  Dominique Rieu},
  title        = {Model-Based Platform Composition for Embedded System Design},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {157--164},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.31},
  doi          = {10.1109/MCSOC.2014.31},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HiliFLDR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HondaK14,
  author       = {Takahiro Honda and
                  Yukihide Kohira},
  title        = {An Acceleration for Any-Angle Routing Using Quasi-Newton Method on
                  {GPGPU}},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {281--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.46},
  doi          = {10.1109/MCSOC.2014.46},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HondaK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HoritaTATK14,
  author       = {Tadayoshi Horita and
                  Itsuo Takanami and
                  Masakazu Akiba and
                  Mina Terauchi and
                  Tsuneo Kanno},
  title        = {A GPGPU-Based Acceleration of Fault-Tolerant {MLP} Learnings},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {245--252},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.42},
  doi          = {10.1109/MCSOC.2014.42},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HoritaTATK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/IgarashiSY14,
  author       = {Keiko Igarashi and
                  Saki Seino and
                  Rentaro Yoshioka},
  title        = {Symbols and Rules for a Self-Explanatory Machine Model},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {49--54},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.16},
  doi          = {10.1109/MCSOC.2014.16},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/IgarashiSY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/JinWWW14,
  author       = {Lie Jin and
                  Hongtao Wang and
                  Haitao Wang and
                  Xinxin Wu},
  title        = {Numerical Simulation of 3-D Elastic Moduli with Elliptical Cracks
                  Using {FM-DBEM}},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {39--45},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.15},
  doi          = {10.1109/MCSOC.2014.15},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/JinWWW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KatagiriOM14,
  author       = {Takahiro Katagiri and
                  Satoshi Ohshima and
                  Masaharu Matsumoto},
  title        = {Auto-tuning of Computation Kernels from an {FDM} Code with ppOpen-AT},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {91--98},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.22},
  doi          = {10.1109/MCSOC.2014.22},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KatagiriOM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KeGM14,
  author       = {Huan Ke and
                  Song Guo and
                  Toshiaki Miyazaki},
  title        = {Towards Latency-Aware Data Acquisition in Wireless Sensor Network},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {82--87},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.21},
  doi          = {10.1109/MCSOC.2014.21},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KeGM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Khandan14,
  author       = {Hamed Khandan},
  title        = {Introducing A-Cell for Scalable and Portable {SIMD} Programming},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {275--280},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.51},
  doi          = {10.1109/MCSOC.2014.51},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Khandan14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Lee14,
  author       = {Piyu Lee},
  title        = {Are Mobile Devices More Useful than Conventional Means as Tools for
                  Learning Vocabulary?},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {109--115},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.24},
  doi          = {10.1109/MCSOC.2014.24},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Lee14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiSX0HTWX14,
  author       = {Huakang Li and
                  Guozi Sun and
                  Bei Xu and
                  Li Li and
                  Jie Huang and
                  Keita Tanno and
                  Wenxu Wu and
                  Changen Xu},
  title        = {An Information Classification Approach Based on Knowledge Network},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {3--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.10},
  doi          = {10.1109/MCSOC.2014.10},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiSX0HTWX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiTLYZL14,
  author       = {Dingding Li and
                  Yong Tang and
                  Bing Liu and
                  Zhendong Yang and
                  Gansen Zhao and
                  Jianguo Li},
  title        = {A Network-Friendly Disk {I/O} Optimization Framework in a Virtualized
                  Cloud System},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {9--14},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.11},
  doi          = {10.1109/MCSOC.2014.11},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiTLYZL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiuHLML14,
  author       = {Junxiu Liu and
                  Jim Harkin and
                  Yuhua Li and
                  Liam P. Maguire and
                  Alejandro Linares{-}Barranco},
  title        = {Low Overhead Monitor Mechanism for Fault-Tolerant Analysis of NoC},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {189--196},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.35},
  doi          = {10.1109/MCSOC.2014.35},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiuHLML14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LouiseDG14,
  author       = {St{\'{e}}phane Louise and
                  Paul Dubrulle and
                  Thierry Goubier},
  title        = {A Model of Computation for Real-Time Applications on Embedded Manycores},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {333--340},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.54},
  doi          = {10.1109/MCSOC.2014.54},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LouiseDG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LvWW14,
  author       = {Ping Lv and
                  Han Wang and
                  Hui Wang},
  title        = {Phase Distribution Parameter Prediction Using Logistic Model in the
                  Analysis of Two-Phase Flow},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {23--30},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.13},
  doi          = {10.1109/MCSOC.2014.13},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LvWW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MiyasonoMS14,
  author       = {Satoru Miyasono and
                  Yosuke Moriai and
                  Hiroshi Saito},
  title        = {A Code Partitioning Tool for Simulink Models to Implement on FPGA-Based
                  Network-on-Chip Architecture},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {141--148},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.29},
  doi          = {10.1109/MCSOC.2014.29},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MiyasonoMS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MoriK14,
  author       = {Haruka Mori and
                  Kenji Kise},
  title        = {Design and Performance Evaluation of a Manycore Processor for Large
                  {FPGA}},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {207--214},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.37},
  doi          = {10.1109/MCSOC.2014.37},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MoriK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NeveHL14,
  author       = {Paul Neve and
                  Gordon Hunter and
                  David Livingstone},
  title        = {NoobLab: An E-learning Platform for Teaching Programming},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {55--62},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.17},
  doi          = {10.1109/MCSOC.2014.17},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NeveHL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OhshimaKM14,
  author       = {Satoshi Ohshima and
                  Takahiro Katagiri and
                  Masaharu Matsumoto},
  title        = {Performance Optimization of SpMV Using {CRS} Format by Considering
                  OpenMP Scheduling on CPUs and {MIC}},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {253--260},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.43},
  doi          = {10.1109/MCSOC.2014.43},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OhshimaKM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OkuyamaTS14,
  author       = {Yuichi Okuyama and
                  Shigeyuki Takano and
                  Tokimasa Shirai},
  title        = {Design of a Coarse-Grained Processing Element for Matrix Multiplication
                  on {FPGA}},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {237--241},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.41},
  doi          = {10.1109/MCSOC.2014.41},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OkuyamaTS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Podobas14,
  author       = {Artur Podobas},
  title        = {Accelerating Parallel Computations with OpenMP-Driven System-on-Chip
                  Generation for FPGAs},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {149--156},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.30},
  doi          = {10.1109/MCSOC.2014.30},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Podobas14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SawaiY14,
  author       = {Hidehito Sawai and
                  Rentaro Yoshioka},
  title        = {A Format for Work Specification},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {123--127},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.26},
  doi          = {10.1109/MCSOC.2014.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SawaiY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShiYL14,
  author       = {Qiangqiang Shi and
                  Yiyang Yang and
                  Xiaolin Li},
  title        = {Application of {GPU} to Three Computational Models},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {261--266},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.44},
  doi          = {10.1109/MCSOC.2014.44},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShiYL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SimevskiKK14,
  author       = {Aleksandar Simevski and
                  Rolf Kraemer and
                  Milos Krstic},
  title        = {Investigating Core-Level N-Modular Redundancy in Multiprocessors},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {175--180},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.33},
  doi          = {10.1109/MCSOC.2014.33},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SimevskiKK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TabotH14,
  author       = {Arreytambe Tabot and
                  Mohamed Hamada},
  title        = {Mobile Learning with Google App Engine},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {63--67},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.18},
  doi          = {10.1109/MCSOC.2014.18},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TabotH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Ueno14,
  author       = {Hitoshi Ueno},
  title        = {A Performance Evaluation of Multi-programming Model on a Multicore
                  System with Virtual Machines},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {321--328},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.52},
  doi          = {10.1109/MCSOC.2014.52},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Ueno14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WangL14,
  author       = {Hui Wang and
                  Ping Lv},
  title        = {Evaluation of Memory Optimization in a Large-Scale Structural Finite
                  Element Pre-processor},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {31--38},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.14},
  doi          = {10.1109/MCSOC.2014.14},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WangL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WangW14,
  author       = {Feiyao Wang and
                  Wenyan Wang},
  title        = {Performance Validation of the Multicore SoC for Spacecraft Applications},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {329--332},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.53},
  doi          = {10.1109/MCSOC.2014.53},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WangW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/XiaoHTK14,
  author       = {Xiong Xiao and
                  Shoichi Hirasawa and
                  Hiroyuki Takizawa and
                  Hiroaki Kobayashi},
  title        = {An Approach to Customization of Compiler Directives for Application-Specific
                  Code Transformations},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {99--106},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.23},
  doi          = {10.1109/MCSOC.2014.23},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/XiaoHTK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YenYL14,
  author       = {Ta Kang Yen and
                  Bo Yao Yu and
                  Bo{-}Cheng Charles Lai},
  title        = {A Cache Aware Multithreading Decision Scheme on GPGPUs},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {267--272},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.45},
  doi          = {10.1109/MCSOC.2014.45},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YenYL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YoshimiKOTIY14,
  author       = {Masato Yoshimi and
                  Ryu Kudo and
                  Yasin Oge and
                  Yuta Terada and
                  Hidetsugu Irie and
                  Tsutomu Yoshinaga},
  title        = {An FPGA-Based Tightly Coupled Accelerator for Data-Intensive Applications},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {289--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.47},
  doi          = {10.1109/MCSOC.2014.47},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YoshimiKOTIY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ZengTYLHY14,
  author       = {Deze Zeng and
                  Chao Teng and
                  Hong Yao and
                  Qingzhong Liang and
                  Chengyu Hu and
                  Xuesong Yan},
  title        = {Stochastic Analysis of Epidemic Routing Based Anycast in Throwbox-Equipped
                  DTNs},
  booktitle    = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  pages        = {77--81},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MCSoC.2014.20},
  doi          = {10.1109/MCSOC.2014.20},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ZengTYLHY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2014,
  title        = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6949414/proceeding},
  isbn         = {978-1-4799-4305-0},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/0002GQ12,
  author       = {Zheng Zhou and
                  Junjun Gu and
                  Gang Qu},
  title        = {Scheduling for Multi-core Processor under Process and Temperature
                  Variation},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {113--120},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.9},
  doi          = {10.1109/MCSOC.2012.9},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/0002GQ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AhmedA12,
  author       = {Akram Ben Ahmed and
                  Abderazek Ben Abdallah},
  title        = {{LA-XYZ:} Low Latency, High Throughput Look-Ahead Routing Algorithm
                  for 3D Network-on-Chip (3D-NoC) Architecture},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {167--174},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.24},
  doi          = {10.1109/MCSOC.2012.24},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AhmedA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/AmanoKO12,
  author       = {Hideharu Amano and
                  Masayuki Kimura and
                  Nobuaki Ozaki},
  title        = {Removing Context Memory from a Multi-context Dynamically Reconfigurable
                  Processor},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {92--99},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.35},
  doi          = {10.1109/MCSOC.2012.35},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/AmanoKO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/CaselliSLB12,
  author       = {Nicola Caselli and
                  Alessandro Strano and
                  Daniele Ludovici and
                  Davide Bertozzi},
  title        = {Cooperative Built-in Self-Testing and Self-Diagnosis of NoC Bisynchronous
                  Channels},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {159--166},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.13},
  doi          = {10.1109/MCSOC.2012.13},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/CaselliSLB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/GuanAMKIY12,
  author       = {Yicheng Guan and
                  Cisse Ahmadou Dit Adi and
                  Takefumi Miyoshi and
                  Michihiro Koibuchi and
                  Hidetsugu Irie and
                  Tsutomu Yoshinaga},
  title        = {Throttling Control for Bufferless Routing in On-chip Networks},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {37--44},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.25},
  doi          = {10.1109/MCSOC.2012.25},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/GuanAMKIY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HuangFZC12,
  author       = {Xiaoping Huang and
                  Xiaoya Fan and
                  Shengbing Zhang and
                  Yuhui Chen},
  title        = {DLWAP-buffer: {A} Novel {HW/SW} Architecture to Alleviate the Cache
                  Coherence on Streaming-like Data in {CMP}},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {23--28},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.19},
  doi          = {10.1109/MCSOC.2012.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HuangFZC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ImakuraSSM12,
  author       = {Akira Imakura and
                  Tetsuya Sakurai and
                  Kohsuke Sumiyoshi and
                  Hideo Matsufuru},
  title        = {An Auto-Tuning Technique of the Weighted Jacobi-Type Iteration Used
                  for Preconditioners of Krylov Subspace Methods},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {183--190},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.29},
  doi          = {10.1109/MCSOC.2012.29},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ImakuraSSM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/IshikawaTM12,
  author       = {Shizuka Ishikawa and
                  Asuka Tanaka and
                  Toshiaki Miyazaki},
  title        = {Hardware Accelerator for {BLAST}},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {16--22},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.22},
  doi          = {10.1109/MCSOC.2012.22},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/IshikawaTM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ItoOK12,
  author       = {Satoshi Ito and
                  Satoshi Ohshima and
                  Takahiro Katagiri},
  title        = {{SSG-AT:} An Auto-tuning Method of Sparse Matrix-vector Multiplicataion
                  for Semi-structured Grids - An Adaptation to OpenFOAM},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {191--197},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.26},
  doi          = {10.1109/MCSOC.2012.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ItoOK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/KuoTHCHW12,
  author       = {Yu{-}Hsin Kuo and
                  Po{-}An Tsai and
                  Hao{-}Ping Ho and
                  En{-}Jui Chang and
                  Hsien{-}Kai Hsin and
                  An{-}Yeu Andy Wu},
  title        = {Path-Diversity-Aware Adaptive Routing in Network-on-Chip Systems},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {175--182},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.20},
  doi          = {10.1109/MCSOC.2012.20},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/KuoTHCHW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiCYWTC12,
  author       = {Xuanya Li and
                  Linlin Ci and
                  Minghua Yang and
                  Hongyu Wei and
                  Chengping Tian and
                  Bin Cheng},
  title        = {Multi-decision Making Based {PSO} Optimization in Airborne Mobile
                  Sensor Network Deployment},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {128--134},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.16},
  doi          = {10.1109/MCSOC.2012.16},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiCYWTC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/LiL12,
  author       = {Xiang Li and
                  Xuanya Li},
  title        = {Accurate Coverage of Complex Targets in Three-Dimensional Mobile Sensor
                  Networks},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {144--150},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.18},
  doi          = {10.1109/MCSOC.2012.18},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/LiL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MaXDCLW12,
  author       = {Zhenhe Ma and
                  Tao Xu and
                  Linlin Du and
                  Zhongdi Chu and
                  Jiangtao Lv and
                  Fengwen Wang},
  title        = {Early Stage Chick Embryonic Heart Outflow Tract Flow Measurement Using
                  High Speed 4D Optical Coherence Tomography},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {151--154},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.17},
  doi          = {10.1109/MCSOC.2012.17},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MaXDCLW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/MatsumotoNS12,
  author       = {Kazuya Matsumoto and
                  Naohito Nakasato and
                  Stanislav G. Sedukhin},
  title        = {Implementing a Code Generator for Fast Matrix Multiplication in OpenCL
                  on the {GPU}},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {198--204},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.30},
  doi          = {10.1109/MCSOC.2012.30},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/MatsumotoNS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NakamuraMKUA12,
  author       = {Takeo Nakamura and
                  Hiroki Matsutani and
                  Michihiro Koibuchi and
                  Kimiyoshi Usami and
                  Hideharu Amano},
  title        = {Fine-Grained Power Control Using {A} Multi-Voltage Variable Pipeline
                  Router},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {59--66},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.38},
  doi          = {10.1109/MCSOC.2012.38},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NakamuraMKUA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NakasatoDFKMIY12,
  author       = {Naohito Nakasato and
                  Hiroshi Daisaka and
                  Toshiyuki Fukushige and
                  Atsushi Kawai and
                  Junichiro Makino and
                  Tadashi Ishikawa and
                  Fukuko Yuasa},
  title        = {GRAPE-MPs: Implementation of an {SIMD} for Quadruple/Hexuple/Octuple-Precision
                  Arithmetic Operation on a Structured {ASIC} and an {FPGA}},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {75--83},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.31},
  doi          = {10.1109/MCSOC.2012.31},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NakasatoDFKMIY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/NinomiyaSTI12,
  author       = {Sho Ninomiya and
                  Keishi Sakanushi and
                  Yoshinori Takeuchi and
                  Masaharu Imai},
  title        = {Task Allocation and Scheduling for Voltage-Frequency Islands Applied
                  NoC-based MPSoC Considering Network Congestion},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {107--112},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.37},
  doi          = {10.1109/MCSOC.2012.37},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/NinomiyaSTI12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OgeMKY12,
  author       = {Yasin Oge and
                  Takefumi Miyoshi and
                  Hideyuki Kawashima and
                  Tsutomu Yoshinaga},
  title        = {Design and Implementation of a Merging Network Architecture for Handshake
                  Join Operator on {FPGA}},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {84--91},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.21},
  doi          = {10.1109/MCSOC.2012.21},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OgeMKY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/OtsukaAHO12,
  author       = {Takuya Otsuka and
                  Takashi Aoki and
                  Eiichi Hosoya and
                  Akira Onozawa},
  title        = {An Image Recognition System for Multiple Video Inputs over a Multi-FPGA
                  System},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {1--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.33},
  doi          = {10.1109/MCSOC.2012.33},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/OtsukaAHO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SaitoYN12,
  author       = {Hiroshi Saito and
                  Tomohiro Yoneda and
                  Yuichi Nakamura},
  title        = {An ILP-based Multiple Task Allocation Method for Fault Tolerance in
                  Networks-on-Chip},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {100--106},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.23},
  doi          = {10.1109/MCSOC.2012.23},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SaitoYN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShenWLXWZ12,
  author       = {Wenfeng Shen and
                  Liang Wang and
                  Jie Li and
                  Weimin Xu and
                  Daming Wei and
                  Xin Zhu},
  title        = {Load-Prediction Parallelization for Computer Simulation of Electrocardiogram
                  Based on {GPU}},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {155--158},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.12},
  doi          = {10.1109/MCSOC.2012.12},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShenWLXWZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/ShengWSLKWA12,
  author       = {Weihua Sheng and
                  Artur Wiebe and
                  Anastasia Stulova and
                  Rainer Leupers and
                  Bart Kienhuis and
                  Johan Walters and
                  Gerd Ascheid},
  title        = {{FIFO} Exploration in Mapping Streaming Applications onto the {TI}
                  {OMAP3530} Platform: Case Study and Optimizations},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {51--58},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.15},
  doi          = {10.1109/MCSOC.2012.15},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/ShengWSLKWA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/SombatsiriSTI12,
  author       = {Salita Sombatsiri and
                  Keishi Sakanushi and
                  Yoshinori Takeuchi and
                  Masaharu Imai},
  title        = {On-chip Communication Buffer Architecture Optimization Considering
                  Bus Width},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {29--36},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.36},
  doi          = {10.1109/MCSOC.2012.36},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/SombatsiriSTI12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TajammulSH12,
  author       = {Muhammad Adeel Tajammul and
                  Muhammad Ali Shami and
                  Ahmed Hemani},
  title        = {Segmented Bus Based Path Setup Scheme for a Distributed Memory Architecture},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {67--74},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.34},
  doi          = {10.1109/MCSOC.2012.34},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TajammulSH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/Takaya12,
  author       = {Kunio Takaya},
  title        = {Transputer-like Multicore Digital Signal Processing on the Array of
                  {ARM} Cortex-M0 Microprocessors},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {45--50},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.14},
  doi          = {10.1109/MCSOC.2012.14},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/Takaya12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TazawaOYMOK12,
  author       = {Junko Tazawa and
                  Yuichi Okuyama and
                  Yuichi Yaguchi and
                  Toshiaki Miyazaki and
                  Ryuichi Oka and
                  Kenichi Kuroda},
  title        = {Hardware Implementation of Accumulated Value Calculation for Two-Dimensional
                  Continuous Dynamic Programming},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {8--15},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.10},
  doi          = {10.1109/MCSOC.2012.10},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TazawaOYMOK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/TsaiWC12,
  author       = {Yaohung M. Tsai and
                  Weichung Wang and
                  Ray{-}Bing Chen},
  title        = {Tuning Block Size for {QR} Factorization on {CPU-GPU} Hybrid Systems},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {205--211},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.32},
  doi          = {10.1109/MCSOC.2012.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/TsaiWC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WangCNZ12,
  author       = {Junbo Wang and
                  Zixue Cheng and
                  Isao Nishiyama and
                  Yinghui Zhou},
  title        = {Design of a Safety Confirmation System Integrating Wireless Sensor
                  Network and Smart Phones for Disaster},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {139--143},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.27},
  doi          = {10.1109/MCSOC.2012.27},
  timestamp    = {Mon, 23 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WangCNZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/WangLHZS12,
  author       = {Li Wang and
                  Jing Liu and
                  Jingtong Hu and
                  Qingfeng Zhuge and
                  Edwin Hsing{-}Mean Sha},
  title        = {Optimal Assignment for Tree-Structure Task Graph on Heterogeneous
                  Multicore Systems Considering Time Constraint},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {121--127},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.11},
  doi          = {10.1109/MCSOC.2012.11},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/WangLHZS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/YaoZHC12,
  author       = {Hong Yao and
                  Zheng Zhao and
                  Huawei Huang and
                  Lei Cong},
  title        = {A Novel Data Transfer Scheme of Smart Grid and {DTN}},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {135--138},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.28},
  doi          = {10.1109/MCSOC.2012.28},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/YaoZHC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mcsoc/2012,
  title        = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6354296/proceeding},
  isbn         = {978-1-4673-2535-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mcsoc/2012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics