Search dblp for Publications

export results for "stream:streams/journals/iet-cdt:"

 download as .bib file

@article{DBLP:journals/iet-cdt/AbdelHafeezH23,
  author       = {Saleh Abdel{-}Hafeez and
                  Shadi M. Harb},
  title        = {A novel self-timing {CMOS} first-edge take-all circuit for on-chip
                  communication systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {141--148},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12059},
  doi          = {10.1049/CDT2.12059},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AbdelHafeezH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/CaoBT23,
  author       = {Linan Cao and
                  Simon J. Bale and
                  Martin A. Trefzer},
  title        = {Multi-objective digital circuit block optimisation based on cell mapping
                  in an industrial electronic design automation flow},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {180--194},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12062},
  doi          = {10.1049/CDT2.12062},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/CaoBT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/EbrahimK23,
  author       = {Ali Ebrahim and
                  Jalal Khalifat},
  title        = {Fast approximation of the top-k items in data streams using FPGAs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {2},
  pages        = {60--73},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12053},
  doi          = {10.1049/CDT2.12053},
  timestamp    = {Wed, 17 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/EbrahimK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HlimaKG23,
  author       = {Ines Ben Halima and
                  Halim Kacem and
                  Ali Gharsallah},
  title        = {Efficient implementation of low cost and secure framework with firmware
                  updates},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {89--99},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12054},
  doi          = {10.1049/CDT2.12054},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HlimaKG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KhorasganiSY23,
  author       = {Alireza Abdellahi Khorasgani and
                  Mahdi Sajadieh and
                  Mohammad Rouhollah Yazdani},
  title        = {Reconstructing a lightweight security protocol in the radio-frequency
                  identification systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {209--223},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12064},
  doi          = {10.1049/CDT2.12064},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KhorasganiSY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KumarG23,
  author       = {Rakesh Kumar and
                  Bibhas Ghoshal},
  title        = {Machine learning guided thermal management of Open Computing Language
                  applications on {CPU-GPU} based embedded platforms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {1},
  pages        = {20--28},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12050},
  doi          = {10.1049/CDT2.12050},
  timestamp    = {Wed, 17 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KumarG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LeeCSPL23,
  author       = {Yu{-}Min Lee and
                  Hong{-}Wen Chiou and
                  Shin{-}Yu Shiau and
                  Chi{-}Wen Pan and
                  Shih{-}Ting Lin},
  title        = {Phone-nomenon 2.0: {A} compact thermal model for smartphones},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {2},
  pages        = {43--59},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12052},
  doi          = {10.1049/CDT2.12052},
  timestamp    = {Wed, 17 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LeeCSPL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MalekiMA23,
  author       = {Davood Maleki and
                  Alireza Mansouri and
                  Ehsan Arianyan},
  title        = {An integrated taxonomy of standard indicators for ranking and selecting
                  supercomputers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {162--179},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12061},
  doi          = {10.1049/CDT2.12061},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MalekiMA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MozipoA23,
  author       = {Aurelien T. Mozipo and
                  John M. Acken},
  title        = {Residual vulnerabilities to power side channel attacks of lightweight
                  ciphers cryptography competition finalists},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {75--88},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12057},
  doi          = {10.1049/CDT2.12057},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MozipoA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NagarajuC23,
  author       = {Dara Nagaraju and
                  Nitin Chandrachoodan},
  title        = {Compressing fully connected layers of deep neural networks using permuted
                  features},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {149--161},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12060},
  doi          = {10.1049/CDT2.12060},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NagarajuC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PonugotiSM23,
  author       = {Kushal K. Ponugoti and
                  Sudarshan K. Srinivasan and
                  Nimish Mathure},
  title        = {Verification of serialising instructions for security against transient
                  execution attacks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {127--140},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12058},
  doi          = {10.1049/CDT2.12058},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PonugotiSM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SadeghiSRDA23,
  author       = {Ayoub Sadeghi and
                  Nabiollah Shiri and
                  Mahmood Rafiee and
                  Abdolreza Darabi and
                  Ebrahim Abiri},
  title        = {Voltage over-scaling CNT-based 8-bit multiplier by high-efficient
                  GDI-based counters},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {1},
  pages        = {1--19},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12049},
  doi          = {10.1049/CDT2.12049},
  timestamp    = {Wed, 17 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SadeghiSRDA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TavakolaeeAB23,
  author       = {Hamid Tavakolaee and
                  Gholamreza Ardeshir and
                  Yasser Baleghi},
  title        = {Design and analysis of a novel fast adder using logical effort method},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {195--208},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12063},
  doi          = {10.1049/CDT2.12063},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TavakolaeeAB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VousdenMBBRLTB23,
  author       = {Mark Vousden and
                  Jordan Morris and
                  Graeme McLachlan Bragg and
                  Jonathan Beaumont and
                  Ashur Rafiev and
                  Wayne Luk and
                  David B. Thomas and
                  Andrew D. Brown},
  title        = {Event-based high throughput computing: {A} series of case studies
                  on a massively parallel softcore machine},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {1},
  pages        = {29--42},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12051},
  doi          = {10.1049/CDT2.12051},
  timestamp    = {Wed, 17 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VousdenMBBRLTB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XueSHGZWL23,
  author       = {Mingfu Xue and
                  Shichang Sun and
                  Can He and
                  Dujuan Gu and
                  Yushu Zhang and
                  Jian Wang and
                  Weiqiang Liu},
  title        = {ActiveGuard: An active intellectual property protection technique
                  for deep neural networks by leveraging adversarial examples as users'
                  fingerprints},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {111--126},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12056},
  doi          = {10.1049/CDT2.12056},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XueSHGZWL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhuWL23,
  author       = {Yanjun Zhu and
                  Zhisheng Wu and
                  Cuirong Liu},
  title        = {Research on mapping recognition of arc welding molten pool characterisation
                  and penetration state based on embedded system},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {17},
  number       = {3-4},
  pages        = {100--110},
  year         = {2023},
  url          = {https://doi.org/10.1049/cdt2.12055},
  doi          = {10.1049/CDT2.12055},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhuWL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HanschkeR22,
  author       = {Lars Hanschke and
                  Christian Renner},
  title        = {EmRep: Energy management relying on state-of-charge extrema prediction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {4},
  pages        = {91--105},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12033},
  doi          = {10.1049/CDT2.12033},
  timestamp    = {Mon, 25 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HanschkeR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KwonKM22,
  author       = {Jisu Kwon and
                  Joonho Kong and
                  Arslan Munir},
  title        = {Sparse convolutional neural network acceleration with lossless input
                  feature map compression for resource-constrained systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {1},
  pages        = {29--43},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12038},
  doi          = {10.1049/CDT2.12038},
  timestamp    = {Tue, 16 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KwonKM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MahjoubGA22,
  author       = {Shabnam Mahjoub and
                  Mehdi Golsorkhtabaramiri and
                  Seyed Sadegh Salehi Amiri},
  title        = {{TLP:} Towards three-level loop parallelisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {5-6},
  pages        = {159--171},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12046},
  doi          = {10.1049/CDT2.12046},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MahjoubGA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MerrettRL22,
  author       = {Geoff V. Merrett and
                  Bernd{-}Christian Renner and
                  Brandon Lucia},
  title        = {Guest Editorial: Special issue on battery-free computing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {4},
  pages        = {89--90},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12043},
  doi          = {10.1049/CDT2.12043},
  timestamp    = {Tue, 12 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MerrettRL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MohammadiB22,
  author       = {Mahsa Mohammadi and
                  Hakem Beitollahi},
  title        = {Q-scheduler: {A} temperature and energy-aware deep Q-learning technique
                  to schedule tasks in real-time multiprocessor embedded systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {4},
  pages        = {125--140},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12044},
  doi          = {10.1049/CDT2.12044},
  timestamp    = {Mon, 25 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MohammadiB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NazarlooTM22,
  author       = {Mahbubeh Nazarloo and
                  Meisam Yadollahzadeh Tabari and
                  Homayun Motameni},
  title        = {Online multi-object tracking based on time and frequency domain features},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {1},
  pages        = {19--28},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12037},
  doi          = {10.1049/CDT2.12037},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NazarlooTM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PonugotiSSM22,
  author       = {Kushal K. Ponugoti and
                  Sudarshan K. Srinivasan and
                  Scott C. Smith and
                  Nimish Mathure},
  title        = {Illegal Trojan design and detection in asynchronous {NULL} Convention
                  Logic and Sleep Convention Logic circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {5-6},
  pages        = {172--182},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12047},
  doi          = {10.1049/CDT2.12047},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PonugotiSSM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RafievYTNMTBVB22,
  author       = {Ashur Rafiev and
                  Alex Yakovlev and
                  Ghaith Tarawneh and
                  Matthew Naylor and
                  Simon W. Moore and
                  David B. Thomas and
                  Graeme M. Bragg and
                  Mark Vousden and
                  Andrew D. Brown},
  title        = {Synchronization in graph analysis algorithms on the Partially Ordered
                  Event-Triggered Systems many-core architecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {2-3},
  pages        = {71--88},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12041},
  doi          = {10.1049/CDT2.12041},
  timestamp    = {Wed, 18 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RafievYTNMTBVB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RamazanzadehBM22,
  author       = {Mohammad Ali Ramazanzadeh and
                  Behnam Barzegar and
                  Homayun Motameni},
  title        = {{ASATM:} Automated security assistant of threat models in intelligent
                  transportation systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {5-6},
  pages        = {141--158},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12045},
  doi          = {10.1049/CDT2.12045},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RamazanzadehBM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Sanchez-IborraS22,
  author       = {Ramon Sanchez{-}Iborra and
                  Antonio F. Skarmeta},
  title        = {Who is wearing me? TinyDL-based user recognition in constrained personal
                  devices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {1},
  pages        = {1--9},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12035},
  doi          = {10.1049/CDT2.12035},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Sanchez-IborraS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/StrickerFT22,
  author       = {Naomi Stricker and
                  Reto Da Forno and
                  Lothar Thiele},
  title        = {Robustness of predictive energy harvesting systems: Analysis and adaptive
                  prediction scaling},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {4},
  pages        = {106--124},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12042},
  doi          = {10.1049/CDT2.12042},
  timestamp    = {Mon, 25 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/StrickerFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VadlamudiA22,
  author       = {Shirisha Vadlamudi and
                  Ali Ahmadinia},
  title        = {An embedded intelligence engine for driver drowsiness detection},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {1},
  pages        = {10--18},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12036},
  doi          = {10.1049/CDT2.12036},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VadlamudiA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VakiliG22,
  author       = {Behnam Vakili and
                  Morteza Gholipour},
  title        = {Enhanced overloaded code division multiple access for network on chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {2-3},
  pages        = {45--63},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12039},
  doi          = {10.1049/CDT2.12039},
  timestamp    = {Wed, 18 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VakiliG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YaseriMR22,
  author       = {Abbas Yaseri and
                  Mohammad Hossein Maghami and
                  Mehdi Radmehr},
  title        = {A four-stage yield optimization technique for analog integrated circuits
                  using optimal computing budget allocation and evolutionary algorithms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {5-6},
  pages        = {183--195},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12048},
  doi          = {10.1049/CDT2.12048},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YaseriMR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhangLCYMYH22,
  author       = {Ying Zhang and
                  Sen Li and
                  Xin Chen and
                  Jiaqi Yao and
                  Zhiming Mao and
                  Jizhong Yang and
                  Yifeng Hua},
  title        = {Hybrid multi-level hardware Trojan detection platform for gate-level
                  netlists based on XGBoost},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {16},
  number       = {2-3},
  pages        = {54--70},
  year         = {2022},
  url          = {https://doi.org/10.1049/cdt2.12040},
  doi          = {10.1049/CDT2.12040},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhangLCYMYH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AbichGBRGBM00O21,
  author       = {Geancarlo Abich and
                  Rafael Garibotti and
                  Vitor V. Bandeira and
                  Felipe da Rosa and
                  Jonas Gava and
                  Felipe T. Bortolon and
                  Guilherme Medeiros and
                  Fernando Moraes and
                  Ricardo Reis and
                  Luciano Ost},
  title        = {Evaluation of the soft error assessment consistency of a JIT-based
                  virtual platform simulator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {2},
  pages        = {125--142},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12017},
  doi          = {10.1049/CDT2.12017},
  timestamp    = {Thu, 13 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AbichGBRGBM00O21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AnsariGC021,
  author       = {Mohammad Saeed Ansari and
                  Shyama Gandhi and
                  Bruce F. Cockburn and
                  Jie Han},
  title        = {Fast and low-power leading-one detectors for energy-efficient logarithmic
                  computing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {4},
  pages        = {241--250},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12019},
  doi          = {10.1049/CDT2.12019},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AnsariGC021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChakrabortyMPGC21,
  author       = {Anindita Chakraborty and
                  Vivek Maurya and
                  Sneha Prasad and
                  Suryansh Gupta and
                  Rajat Subhra Chakraborty and
                  Hafizur Rahaman},
  title        = {Binary decision diagram-based synthesis technique for improved mapping
                  of Boolean functions inside memristive crossbar-slices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {2},
  pages        = {112--124},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12007},
  doi          = {10.1049/CDT2.12007},
  timestamp    = {Sat, 10 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChakrabortyMPGC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DasP21,
  author       = {Nitish Das and
                  Aruna Priya Panchanathan},
  title        = {{SD-SHO:} Security-dominated finite state machine state assignment
                  technique with a satisfactory level of hardware optimization},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {5},
  pages        = {372--392},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12029},
  doi          = {10.1049/CDT2.12029},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DasP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DharmarajVC21,
  author       = {Celia Dharmaraj and
                  Vinita Vasudevan and
                  Nitin Chandrachoodan},
  title        = {Analysis of power-accuracy trade-off in digital signal processing
                  applications using low-power approximate adders},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {2},
  pages        = {97--111},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12006},
  doi          = {10.1049/CDT2.12006},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DharmarajVC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DhouibiSSS21,
  author       = {Meriam Dhouibi and
                  Ahmed Karim Ben Salem and
                  Afef Saidi and
                  Slim Ben Saoud},
  title        = {Accelerating Deep Neural Networks implementation: {A} survey},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {2},
  pages        = {79--96},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12016},
  doi          = {10.1049/CDT2.12016},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DhouibiSSS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FerreiraPRSNCB21,
  author       = {Guilherme da Costa Ferreira and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Gustavo M. Santana and
                  Renato H. Neuenfeld and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Low-power fast Fourier transform hardware architecture combining a
                  split-radix butterfly and efficient adder compressors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {3},
  pages        = {230--240},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12015},
  doi          = {10.1049/CDT2.12015},
  timestamp    = {Tue, 08 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FerreiraPRSNCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FiorentinoTS21,
  author       = {Micka{\"{e}}l Fiorentino and
                  Claude Thibeault and
                  Yvon Savaria},
  title        = {Introducing KeyRing self-timed microarchitecture and timing-driven
                  design flow},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {6},
  pages        = {409--426},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12032},
  doi          = {10.1049/CDT2.12032},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FiorentinoTS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GuptaC21,
  author       = {Mangal Deep Gupta and
                  Rajeev K. Chauhan},
  title        = {Coupled variable-input {LCG} and clock divider-based large period
                  pseudo-random bit generator on {FPGA}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {5},
  pages        = {349--361},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12027},
  doi          = {10.1049/CDT2.12027},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GuptaC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HassanNI21,
  author       = {Heba E. Hassan and
                  Gihan Nagib and
                  Khaled Hosny Ibrahiem},
  title        = {A novel task scheduling approach for dependent non-preemptive tasks
                  using fuzzy logic},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {3},
  pages        = {214--222},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12018},
  doi          = {10.1049/CDT2.12018},
  timestamp    = {Tue, 25 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HassanNI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HuangGSZ21,
  author       = {Xiaoying Huang and
                  Zhichuan Guo and
                  Mangu Song and
                  Xuewen Zeng},
  title        = {Accelerating the {SM3} hash algorithm with {CPU-FPGA} Co-Designed
                  architecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {6},
  pages        = {427--436},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12034},
  doi          = {10.1049/CDT2.12034},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HuangGSZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Ibrahim21,
  author       = {Atef Ibrahim},
  title        = {Low-space bit-serial systolic array architecture for interleaved multiplication
                  over GF(2m)},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {3},
  pages        = {223--229},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12026},
  doi          = {10.1049/CDT2.12026},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Ibrahim21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Inan21,
  author       = {Remzi Inan},
  title        = {A novel FPGA-Based Bi input-reduced order extended Kalman filter for
                  speed-sensorless direct torque control of induction motor with constant
                  switching frequency controller},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {3},
  pages        = {185--201},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12011},
  doi          = {10.1049/CDT2.12011},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Inan21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/IsmailHDE21,
  author       = {Yasser Ismail and
                  Mohamed Hammad and
                  Mahmoud Darwich and
                  Wael Elmedany},
  title        = {Homeland security video surveillance system utilising the internet
                  of video things for smart cities},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {4},
  pages        = {302--319},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12014},
  doi          = {10.1049/CDT2.12014},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/IsmailHDE21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JohnC21,
  author       = {Tintu Mary John and
                  Shanty Chacko},
  title        = {FPGA-based implementation of floating point processing element for
                  the design of efficient {FIR} filters},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {4},
  pages        = {296--301},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12010},
  doi          = {10.1049/CDT2.12010},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JohnC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KabraP21,
  author       = {Naveen Kr. Kabra and
                  Zuber M. Patel},
  title        = {A radix-8 modulo 2n multiplier using area and power-optimized hard
                  multiple generator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {1},
  pages        = {36--55},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12001},
  doi          = {10.1049/CDT2.12001},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KabraP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KarmaniBHM21,
  author       = {Mouna Karmani and
                  Noura Benhadjyoussef and
                  Belgacem Hamdi and
                  Mohsen Machhout},
  title        = {The DFA/DFT-based hacking techniques and countermeasures: Case study
                  of the 32-bit {AES} encryption crypto-core},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {2},
  pages        = {160--170},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12013},
  doi          = {10.1049/CDT2.12013},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KarmaniBHM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KrishnaMJVM21,
  author       = {L. Hemanth Krishna and
                  Neeharika M. and
                  Vishvanath Janjirala and
                  Sreehari Veeramachaneni and
                  S. K. Noor Mahammad},
  title        = {Efficient design of 15: 4 counter using a novel 5: 3 counter for high-speed
                  multiplication},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {1},
  pages        = {12--19},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12002},
  doi          = {10.1049/CDT2.12002},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KrishnaMJVM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KrishnanP21,
  author       = {Udaya Shankar Santhana Krishnan and
                  Kalpana Palanisamy},
  title        = {Recycled integrated circuit detection using reliability analysis and
                  machine learning algorithms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {1},
  pages        = {20--35},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12005},
  doi          = {10.1049/CDT2.12005},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KrishnanP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MernizH21,
  author       = {Salah Merniz and
                  Saad Harous},
  title        = {Modelling and verification of parameterized architectures: {A} functional
                  approach},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {5},
  pages        = {335--348},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12024},
  doi          = {10.1049/CDT2.12024},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MernizH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NirmalrajRP21,
  author       = {T. Nirmalraj and
                  S. Radhakrishnan and
                  S. K. Pandiyan},
  title        = {Automatic diagnosis of single fault in interconnect testing of SRAM-based
                  {FPGA}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {5},
  pages        = {362--371},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12028},
  doi          = {10.1049/CDT2.12028},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NirmalrajRP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RajLK21,
  author       = {Marshal Raj and
                  Gopalakrishnan Lakshminarayanan and
                  Seok{-}Bum Ko},
  title        = {Reliable {SRAM} using {NAND-NOR} Gate in beyond-CMOS {QCA} technology},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {3},
  pages        = {202--213},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12012},
  doi          = {10.1049/CDT2.12012},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RajLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Rashid21,
  author       = {Muhammad Rashid},
  title        = {Corrigendum: Throughput/area optimised pipelined architecture for
                  elliptic curve crypto processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {1},
  pages        = {77},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12008},
  doi          = {10.1049/CDT2.12008},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Rashid21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Rashidi21,
  author       = {Bahram Rashidi},
  title        = {Flexible and high-throughput structures of Camellia block cipher for
                  security of the Internet of Things},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {3},
  pages        = {171--184},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12025},
  doi          = {10.1049/CDT2.12025},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Rashidi21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RazzaqY21,
  author       = {Anas Razzaq and
                  Andy Gean Ye},
  title        = {Static power model for {CMOS} and {FPGA} circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {4},
  pages        = {263--278},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12021},
  doi          = {10.1049/CDT2.12021},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RazzaqY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Rezaei021,
  author       = {Ahmad Rezaei and
                  Ali Mahani},
  title        = {Noise-based logic locking scheme against signal probability skew analysis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {4},
  pages        = {279--295},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12022},
  doi          = {10.1049/CDT2.12022},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Rezaei021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SahaM21,
  author       = {Basudev Saha and
                  Mukta Majumder},
  title        = {An optimized knight traversal technique to detect multiple faults
                  and Module Sequence Graph based reconfiguration of microfluidic biochip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {1},
  pages        = {1--11},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12004},
  doi          = {10.1049/CDT2.12004},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SahaM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShantagiriKS21,
  author       = {Pralhadrao V. Shantagiri and
                  Rohit Kapur and
                  Chandrasekar Shastry},
  title        = {New scan compression approach to reduce the test data volume},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {4},
  pages        = {251--262},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12020},
  doi          = {10.1049/CDT2.12020},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShantagiriKS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SheikhpurTA021,
  author       = {Saeideh Sheikhpour and
                  Mahdi Taheri and
                  Mohammad Saeed Ansari and
                  Ali Mahani},
  title        = {Strengthened 32-bit {AES} implementation: Architectural error correction
                  configuration with a new voting scheme},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {6},
  pages        = {395--408},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12031},
  doi          = {10.1049/CDT2.12031},
  timestamp    = {Wed, 25 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SheikhpurTA021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SilvaSD21,
  author       = {Mateus G. Silva and
                  Guilherme L. Silvano and
                  Ricardo O. Duarte},
  title        = {{RTL} development of a parameterizable Reed-Solomon Codec},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {2},
  pages        = {143--159},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12009},
  doi          = {10.1049/CDT2.12009},
  timestamp    = {Tue, 25 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SilvaSD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SuryasarmanBS21,
  author       = {Vasudevan Madampu Suryasarman and
                  Santosh Biswas and
                  Aryabartta Sahu},
  title        = {Fragmented software-based self-test technique for online intermittent
                  fault detection in processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {1},
  pages        = {56--76},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12003},
  doi          = {10.1049/CDT2.12003},
  timestamp    = {Tue, 25 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SuryasarmanBS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/X21,
  title        = {Evaluation of the Soft Error Assessment Consistency of a JIT-based
                  Virtual Platform Simulator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {5},
  pages        = {393},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12030},
  doi          = {10.1049/CDT2.12030},
  timestamp    = {Tue, 25 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/X21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Zhou021,
  author       = {Xianzhong Zhou and
                  Ying Wang},
  title        = {Enhancing the security of memory in cloud infrastructure through in-phase
                  change memory data randomisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {5},
  pages        = {321--334},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12023},
  doi          = {10.1049/CDT2.12023},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Zhou021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AbbasiR20,
  author       = {Mahdi Abbasi and
                  Milad Rafiee},
  title        = {Efficient parallelisation of the packet classification algorithms
                  on multi-core central processing units using multi-threading application
                  program interfaces},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {313--321},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0118},
  doi          = {10.1049/IET-CDT.2019.0118},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AbbasiR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Al-HayanniXRRSY20,
  author       = {Mohammed A. Noaman Al{-}Hayanni and
                  Fei Xia and
                  Ashur Rafiev and
                  Alexander B. Romanovsky and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {Amdahl's law in the context of heterogeneous many-core systems - a
                  survey},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {4},
  pages        = {133--148},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5220},
  doi          = {10.1049/IET-CDT.2018.5220},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Al-HayanniXRRSY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlouaniAON20,
  author       = {Ihsen Alouani and
                  Hamzeh Ahangari and
                  Ozcan Ozturk and
                  Sma{\"{\i}}l Niar},
  title        = {Power-efficient reliable register file for aggressive-environment
                  applications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {1},
  pages        = {1--8},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5047},
  doi          = {10.1049/IET-CDT.2018.5047},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlouaniAON20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AzimiMNR20,
  author       = {Nooshin Azimi and
                  Reza Faghih Mirzaee and
                  Keivan Navi and
                  Amir Masoud Rahmani},
  title        = {Ternary {DDCVSL:} a combined dynamic logic style for standard ternary
                  logic with single power source},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {4},
  pages        = {166--175},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0216},
  doi          = {10.1049/IET-CDT.2019.0216},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AzimiMNR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BahriK20,
  author       = {Nejmeddine Bahri and
                  Randa Khemiri},
  title        = {Optimised {HEVC} encoder intra-only configuration},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {256--262},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0197},
  doi          = {10.1049/IET-CDT.2019.0197},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BahriK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Basiri20,
  author       = {M. Mohamed Asan Basiri},
  title        = {Efficient {VLSI} architectures of lifting based 3D discrete wavelet
                  transform},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {247--255},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2020.0038},
  doi          = {10.1049/IET-CDT.2020.0038},
  timestamp    = {Mon, 02 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Basiri20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BrnovicIDS20,
  author       = {Nevena R. Brnovic and
                  Veselin N. Ivanovic and
                  Igor Djurovic and
                  Marko Simeunovic},
  title        = {Multi-core hardware realisation of the quasi maximum likelihood {PPS}
                  estimator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {5},
  pages        = {187--192},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0114},
  doi          = {10.1049/IET-CDT.2019.0114},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BrnovicIDS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChhangteC20,
  author       = {Lalengmawia Chhangte and
                  Alok Chakrabarty},
  title        = {Technique for two-dimensional nearest neighbour realisation of quantum
                  circuits using weighted look-ahead},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {281--289},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0257},
  doi          = {10.1049/IET-CDT.2019.0257},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChhangteC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DhandapaniKRS20,
  author       = {Vaithiyanathan Dhandapani and
                  Ravindra Kumar and
                  Ashima Rai and
                  Khushboo Sharma},
  title        = {Performance analysis of dynamic {CMOS} circuit based on node-discharger
                  and twist-connected transistors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {3},
  pages        = {107--113},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5045},
  doi          = {10.1049/IET-CDT.2018.5045},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DhandapaniKRS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GanjikuntaS20,
  author       = {Ganesh Kumar Ganjikunta and
                  Subhendu Kumar Sahoo},
  title        = {Area and power-efficient variable-length fast Fourier transform for
                  {MR-OFDM} physical layer of {IEEE} 802.15.4-g},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {5},
  pages        = {193--200},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5260},
  doi          = {10.1049/IET-CDT.2018.5260},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GanjikuntaS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GoswamiPCCS20,
  author       = {Mrinal Goswami and
                  Jayanta Pal and
                  Mayukh Roy Choudhury and
                  Pritam P. Chougule and
                  Bibhash Sen},
  title        = {In memory computation using quantum-dot cellular automata},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {336--343},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2020.0008},
  doi          = {10.1049/IET-CDT.2020.0008},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GoswamiPCCS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HazarikaPR20,
  author       = {Anakhi Hazarika and
                  Soumyajit Poddar and
                  Hafizur Rahaman},
  title        = {Survey on memory management techniques in heterogeneous computing
                  systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {2},
  pages        = {47--60},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0092},
  doi          = {10.1049/IET-CDT.2019.0092},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HazarikaPR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JanaDMD20,
  author       = {Rajib Lochan Jana and
                  Soumyajit Dey and
                  Arijit Mondal and
                  Pallab Dasgupta},
  title        = {Automated planning for finding alternative bug traces},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {322--335},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0283},
  doi          = {10.1049/IET-CDT.2019.0283},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JanaDMD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KhajekarimiJV20,
  author       = {Elyas Khajekarimi and
                  Kamal Jamshidi and
                  Abbas Vafaei},
  title        = {Integer linear programming model for allocation and migration of data
                  blocks in the STT-RAM-based hybrid caches},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {3},
  pages        = {97--106},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0070},
  doi          = {10.1049/IET-CDT.2019.0070},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KhajekarimiJV20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KulluATO20,
  author       = {Pinar Kullu and
                  Yilmaz Ar and
                  Suleyman Tosun and
                  Suat Ozdemir},
  title        = {Mapping application-specific topology to mesh topology with reconfigurable
                  switches},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {1},
  pages        = {9--16},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5202},
  doi          = {10.1049/IET-CDT.2018.5202},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KulluATO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiLRXL20,
  author       = {Jie Li and
                  Shanshan Liu and
                  Pedro Reviriego and
                  Liyi Xiao and
                  Fabrizio Lombardi},
  title        = {Scheme for periodical concurrent fault detection in parallel {CRC}
                  circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {2},
  pages        = {80--85},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5183},
  doi          = {10.1049/IET-CDT.2018.5183},
  timestamp    = {Wed, 10 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiLRXL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiLZJXL20,
  author       = {Wei Li and
                  Jun Liang and
                  Yunquan Zhang and
                  Haipeng Jia and
                  Lin Xiao and
                  Qing Li},
  title        = {Accelerated LiDAR data processing algorithm for self-driving cars
                  on the heterogeneous computing platform},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {5},
  pages        = {201--209},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0166},
  doi          = {10.1049/IET-CDT.2019.0166},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiLZJXL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LorenzoP20,
  author       = {Rohit Lorenzo and
                  Roy Pailly},
  title        = {Single bit-line 11T {SRAM} cell for low power and improved stability},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {3},
  pages        = {114--121},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0234},
  doi          = {10.1049/IET-CDT.2019.0234},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LorenzoP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MaityTSB20,
  author       = {Raj Kumar Maity and
                  Sayan Tripathi and
                  Jagannath Samanta and
                  Jaydeb Bhaumik},
  title        = {Lower complexity error location detection block of adjacent error
                  correcting decoder for SRAMs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {5},
  pages        = {210--216},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0268},
  doi          = {10.1049/IET-CDT.2019.0268},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MaityTSB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MartinezKR20,
  author       = {Leonel Hern{\'{a}}ndez Mart{\'{\i}}nez and
                  S. Saqib Khursheed and
                  Sudhakar M. Reddy},
  title        = {{LFSR} generation for high test coverage and low hardware overhead},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {1},
  pages        = {27--36},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0042},
  doi          = {10.1049/IET-CDT.2019.0042},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MartinezKR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MishraMHD20,
  author       = {Sandeep Mishra and
                  Telajala Venkata Mahendra and
                  Sheikh Wasmir Hussain and
                  Anup Dandapat},
  title        = {The analogy of matchline sensing techniques for content addressable
                  memory {(CAM)}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {3},
  pages        = {87--96},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0178},
  doi          = {10.1049/IET-CDT.2019.0178},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MishraMHD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MondalDS20,
  author       = {Khokan Mondal and
                  Subhajit Das and
                  Tuhina Samanta},
  title        = {Rectilinear routing algorithm for crosstalk minimisation in 2D and
                  3D {IC}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {263--271},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2020.0010},
  doi          = {10.1049/IET-CDT.2020.0010},
  timestamp    = {Thu, 05 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MondalDS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ParthibanR20,
  author       = {Pradeepa Parthiban and
                  Pushpalakshmi Raman},
  title        = {Multi-objective constraint and hybrid optimisation-based {VM} migration
                  in a community cloud},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {1},
  pages        = {37--45},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5243},
  doi          = {10.1049/IET-CDT.2018.5243},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ParthibanR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PathakNJS20,
  author       = {Vikas Pathak and
                  Satyasai Jagannath Nanda and
                  Amit Mahesh Joshi and
                  Sitanshu Sekhar Sahu},
  title        = {{VLSI} implementation of anti-notch lattice structure for identification
                  of exon regions in Eukaryotic genes},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {5},
  pages        = {217--229},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0086},
  doi          = {10.1049/IET-CDT.2019.0086},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PathakNJS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz20,
  author       = {Irith Pomeranz},
  title        = {LFSR-based generation of boundary-functional broadside tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {2},
  pages        = {61--68},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0058},
  doi          = {10.1049/IET-CDT.2019.0058},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RajendranR20,
  author       = {Sreeja Rajendran and
                  Mary Lourde Regeena},
  title        = {Sensitivity analysis of testability parameters for secure {IC} design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {4},
  pages        = {158--165},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0217},
  doi          = {10.1049/IET-CDT.2019.0217},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RajendranR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Rashidi20,
  author       = {Bahram Rashidi},
  title        = {Efficient and flexible hardware structures of the 128 bit {CLEFIA}
                  block cipher},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {2},
  pages        = {69--79},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0157},
  doi          = {10.1049/IET-CDT.2019.0157},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Rashidi20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShahJSA20,
  author       = {Yasir Ali Shah and
                  Khalid Javeed and
                  Muhammad Imran Shehzad and
                  Shoaib Azmat},
  title        = {LUT-based high-speed point multiplier for Goldilocks-Curve448},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {4},
  pages        = {149--157},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0041},
  doi          = {10.1049/IET-CDT.2019.0041},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShahJSA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShahbaziK20,
  author       = {Karim Shahbazi and
                  Seok{-}Bum Ko},
  title        = {High throughput and area-efficient {FPGA} implementation of {AES}
                  for high-traffic applications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {344--352},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0179},
  doi          = {10.1049/IET-CDT.2019.0179},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShahbaziK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SinghalMT20,
  author       = {Smita Singhal and
                  Anu Mehra and
                  Upendra Tripathi},
  title        = {V \({}_{\mbox{th}}\) and dual-\emph{T} \({}_{\mbox{ox}}\) assignment
                  in 16 nm {CMOS} technology Design topologies with dual-\emph{V} \({}_{\mbox{th}}\)
                  and dual-\emph{T} \({}_{\mbox{ox}}\) assignment in 16 nm {CMOS} technology},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {4},
  pages        = {176--186},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5211},
  doi          = {10.1049/IET-CDT.2018.5211},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SinghalMT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TaheriKES20,
  author       = {Golnaz Taheri and
                  Ahmad Khonsari and
                  Reza Entezari{-}Maleki and
                  Leonel Sousa},
  title        = {Temperature-aware core management in MPSoCs: modelling and evaluation
                  using MRMs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {1},
  pages        = {17--26},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5131},
  doi          = {10.1049/IET-CDT.2018.5131},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TaheriKES20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VadakkeveeduVCP20,
  author       = {Gokulkrishnan Vadakkeveedu and
                  Kamakoti Veezhinathan and
                  Nitin Chandrachoodan and
                  Seetal Potluri},
  title        = {Scalable pseudo-exhaustive methodology for testing and diagnosis in
                  flow-based microfluidic biochips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {3},
  pages        = {122--131},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5029},
  doi          = {10.1049/IET-CDT.2018.5029},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VadakkeveeduVCP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VelayudhamRRK20,
  author       = {Sumitra Velayudham and
                  Sivakumar Rajagopal and
                  Yeragudipati Venkata Ramana Rao and
                  Seok{-}Bum Ko},
  title        = {Power efficient error correction coding for on-chip interconnection
                  links},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {299--312},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0082},
  doi          = {10.1049/IET-CDT.2019.0082},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VelayudhamRRK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VumanthalaB20,
  author       = {Sagar Reddy Vumanthala and
                  Kalagadda Bikshalu},
  title        = {Real-time speech enhancement using optimised empirical mode decomposition
                  and non-local means estimation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {290--298},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2020.0034},
  doi          = {10.1049/IET-CDT.2020.0034},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VumanthalaB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XiaodongYY20,
  author       = {Weng Xiaodong and
                  Liu Yi and
                  Yintang Yang},
  title        = {Network-on-chip heuristic mapping algorithm based on isomorphism elimination
                  for NoC optimisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {272--280},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0212},
  doi          = {10.1049/IET-CDT.2019.0212},
  timestamp    = {Mon, 02 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XiaodongYY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XueGLYO20,
  author       = {Mingfu Xue and
                  Chongyan Gu and
                  Weiqiang Liu and
                  Shichao Yu and
                  M{\'{a}}ire O'Neill},
  title        = {Ten years of hardware Trojans: a survey from the attacker's perspective},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {231--246},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2020.0041},
  doi          = {10.1049/IET-CDT.2020.0041},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XueGLYO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YangSUL20,
  author       = {Xiaokun Yang and
                  Shi Sha and
                  Ishaq Unwala and
                  Jiang Lu},
  title        = {Towards {IP} integration on SoC: a case study of high-throughput and
                  low-cost wrapper design on a novel {IBUS} architecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {6},
  pages        = {353--362},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0090},
  doi          = {10.1049/IET-CDT.2019.0090},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YangSUL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlFailakawiEAS19,
  author       = {Mohammad Gh. AlFailakawi and
                  Mohammed El{-}Shafei and
                  Imtiaz Ahmad and
                  Ayed A. Salman},
  title        = {FPGA-based implementation of cuckoo search},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {1},
  pages        = {28--37},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0164},
  doi          = {10.1049/IET-CDT.2017.0164},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlFailakawiEAS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlagarsamyLK19,
  author       = {Aravindhan Alagarsamy and
                  Gopalakrishnan Lakshminarayanan and
                  Seok{-}Bum Ko},
  title        = {{KBMA:} {A} knowledge-based multi-objective application mapping approach
                  for 3D NoC},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {4},
  pages        = {324--334},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5055},
  doi          = {10.1049/IET-CDT.2018.5055},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlagarsamyLK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlzahraniD19,
  author       = {Ahmad Alzahrani and
                  Ronald F. DeMara},
  title        = {Leveraging design diversity to counteract process variation: theory,
                  method, and {FPGA} toolchain to increase yield and resilience in-situ},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {250--261},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5012},
  doi          = {10.1049/IET-CDT.2018.5012},
  timestamp    = {Wed, 09 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlzahraniD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ArockiarajLS19,
  author       = {Micheal Arockiaraj and
                  Jia{-}Bao Liu and
                  Arul Jeya Shalini},
  title        = {Vertex decomposition method for wirelength problem and its applications
                  to enhanced hypercube networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {2},
  pages        = {87--92},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5100},
  doi          = {10.1049/IET-CDT.2018.5100},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ArockiarajLS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BaitalC19,
  author       = {Kalyan Baital and
                  Amlan Chakrabarti},
  title        = {Dynamic scheduling of tasks for multi-core real-time systems based
                  on optimum energy and throughput},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {2},
  pages        = {93--100},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5114},
  doi          = {10.1049/IET-CDT.2018.5114},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BaitalC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BandyopadhyayDC19,
  author       = {Chandan Bandyopadhyay and
                  Rakesh Das and
                  Anupam Chattopadhyay and
                  Hafizur Rahaman},
  title        = {Design and synthesis of improved reversible circuits using {AIG-}
                  and MIG-based graph data structures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {1},
  pages        = {38--48},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0097},
  doi          = {10.1049/IET-CDT.2017.0097},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BandyopadhyayDC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BeasleyWC19,
  author       = {Alexander E. Beasley and
                  Robert J. Watson and
                  Christopher T. Clarke},
  title        = {Efficient digital implementation of a multi-precision square-root
                  algorithm},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {2},
  pages        = {110--117},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5051},
  doi          = {10.1049/IET-CDT.2018.5051},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BeasleyWC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BhartiSM19,
  author       = {Pramod Kumar Bharti and
                  Neelam Surana and
                  Joycee Mekie},
  title        = {Hetro8T: power and area efficient approximate heterogeneous 8T {SRAM}
                  for {H.264} video decoder},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {505--513},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0019},
  doi          = {10.1049/IET-CDT.2019.0019},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BhartiSM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BorowczakV19,
  author       = {Mike Borowczak and
                  Ranga Vemuri},
  title        = {Mitigating information leakage during critical communication using
                  S*FSM},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {4},
  pages        = {292--301},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5186},
  doi          = {10.1049/IET-CDT.2018.5186},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BorowczakV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BuIK19,
  author       = {Lake Bu and
                  Mihailo Isakov and
                  Michel A. Kinsy},
  title        = {{RASSS:} a hijack-resistant confidential information management scheme
                  for distributed systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {206--217},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5167},
  doi          = {10.1049/IET-CDT.2018.5167},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BuIK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BuKK19,
  author       = {Lake Bu and
                  Mark G. Karpovsky and
                  Michel A. Kinsy},
  title        = {Design of reliable storage and compute systems with lightweight group
                  testing based non-binary error correction codes},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {140--153},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5008},
  doi          = {10.1049/IET-CDT.2018.5008},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BuKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChakrabortyDP19,
  author       = {Arpan Chakraborty and
                  Piyali Datta and
                  Rajat Kumar Pal},
  title        = {Fluid-level synthesis unifying reliability, contamination avoidance,
                  and capacity-wastage-aware washing for droplet-based microfluidic
                  biochips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {166--177},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5037},
  doi          = {10.1049/IET-CDT.2018.5037},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChakrabortyDP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChenP0B19,
  author       = {Chao Chen and
                  Jacopo Panerati and
                  Meng Li and
                  Giovanni Beltrame},
  title        = {Probabilistic timing analysis of time-randomised caches with fault
                  detection mechanisms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {129--139},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5043},
  doi          = {10.1049/IET-CDT.2018.5043},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChenP0B19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ClayEPJS19,
  author       = {James Clay and
                  Naveena Elango and
                  Sheena Ratnam Priya and
                  Shixiong Jiang and
                  Ramalingam Sridhar},
  title        = {Energy-efficient and reliable in-memory classifier for machine-learning
                  applications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {443--452},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0040},
  doi          = {10.1049/IET-CDT.2019.0040},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ClayEPJS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DabaghiF19,
  author       = {Arezoo Dabaghi and
                  Hamed Farbeh},
  title        = {High performance and predictable memory controller for multicore mixed-criticality
                  real-time systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {5},
  pages        = {376--382},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5031},
  doi          = {10.1049/IET-CDT.2018.5031},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DabaghiF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DebJP19,
  author       = {Dipika Deb and
                  John Jose and
                  Maurizio Palesi},
  title        = {{ECAP:} energy-efficient caching for prefetch blocks in tiled chip
                  multiprocessors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {417--428},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0035},
  doi          = {10.1049/IET-CDT.2019.0035},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DebJP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DeySM19,
  author       = {Somdip Dey and
                  Amit Kumar Singh and
                  Klaus Dieter McDonald{-}Maier},
  title        = {P-EdgeCoolingMode: an agent-based performance aware thermal management
                  unit for {DVFS} enabled heterogeneous MPSoCs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {514--523},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0037},
  doi          = {10.1049/IET-CDT.2019.0037},
  timestamp    = {Wed, 16 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DeySM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GaribottiOBRGS19,
  author       = {Rafael Garibotti and
                  Luciano Ost and
                  Anastasiia Butko and
                  Ricardo Reis and
                  Abdoulaye Gamati{\'{e}} and
                  Gilles Sassatelli},
  title        = {Exploiting memory allocations in clusterised many-core architectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {4},
  pages        = {302--311},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5136},
  doi          = {10.1049/IET-CDT.2018.5136},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GaribottiOBRGS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GennaroSM19,
  author       = {Alessandro de Gennaro and
                  Paulius Stankaitis and
                  Andrey Mokhov},
  title        = {Efficient composition of scenario-based hardware specifications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {2},
  pages        = {57--69},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5073},
  doi          = {10.1049/IET-CDT.2018.5073},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GennaroSM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GhoshDD19,
  author       = {Sumana Ghosh and
                  Soumyajit Dey and
                  Pallab Dasgupta},
  title        = {Performance and energy aware robust specification of control execution
                  patterns under dropped samples},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {493--504},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0030},
  doi          = {10.1049/IET-CDT.2019.0030},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GhoshDD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GopalakrishnanS19,
  author       = {Shoba Gopalakrishnan and
                  Virendra Singh},
  title        = {Soft-error reliable architecture for future microprocessors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {233--242},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5015},
  doi          = {10.1049/IET-CDT.2018.5015},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GopalakrishnanS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HazarikaKAN19,
  author       = {Jinti Hazarika and
                  Mohd. Tasleem Khan and
                  Shaik Rafi Ahamed and
                  Harshal B. Nemade},
  title        = {Energy efficient {VLSI} architecture of real-valued serial pipelined
                  {FFT}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {461--469},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0025},
  doi          = {10.1049/IET-CDT.2019.0025},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HazarikaKAN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ImranRJK19,
  author       = {Malik Imran and
                  Muhammad Rashid and
                  Atif Raza Jafri and
                  Muhammad Kashif},
  title        = {Throughput/area optimised pipelined architecture for elliptic curve
                  crypto processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {5},
  pages        = {361--368},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5056},
  doi          = {10.1049/IET-CDT.2018.5056},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ImranRJK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JaafarSHS19,
  author       = {Anuar Jaafar and
                  Norhayati Soin and
                  Sharifah Wan Muhamad Hatta and
                  Sani Irwan Md. Salim},
  title        = {Delay performance due to thermal variation on field-programmable gate
                  array via the adoption of a stable ring oscillator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {5},
  pages        = {405--413},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0072},
  doi          = {10.1049/IET-CDT.2019.0072},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JaafarSHS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JainM19,
  author       = {Nupur Jain and
                  Biswajit Mishra},
  title        = {Light-weight configurable architecture for {QRS} detection},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {2},
  pages        = {70--77},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5084},
  doi          = {10.1049/IET-CDT.2018.5084},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JainM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KaibarttaGRD19,
  author       = {Tanusree Kaibartta and
                  Chandan Giri and
                  Hafizur Rahaman and
                  Debesh Kumar Das},
  title        = {Approach of genetic algorithm for power-aware testing of 3D {IC}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {5},
  pages        = {383--396},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5079},
  doi          = {10.1049/IET-CDT.2018.5079},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KaibarttaGRD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KalaMJS19,
  author       = {S. Kala and
                  Jimson Mathew and
                  Babita R. Jose and
                  Nalesh Sivanandan},
  title        = {Radix-4\({}^{\mbox{3}}\) based two-dimensional {FFT} architecture
                  with efficient data reordering scheme},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {2},
  pages        = {78--86},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5075},
  doi          = {10.1049/IET-CDT.2018.5075},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KalaMJS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Kamran19,
  author       = {Arezoo Kamran},
  title        = {{HASTI:} hardware-assisted functional testing of embedded processors
                  in idle times},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {198--205},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5003},
  doi          = {10.1049/IET-CDT.2018.5003},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Kamran19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KumarS19,
  author       = {Somesh Kumar and
                  Rohit Sharma},
  title        = {Investigating the role of interconnect surface roughness towards the
                  design of power-aware network on chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {1},
  pages        = {49--56},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5067},
  doi          = {10.1049/IET-CDT.2018.5067},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KumarS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KurokawaF19,
  author       = {Yota Kurokawa and
                  Masaru Fukushi},
  title        = {Design of an extended 2D mesh network-on-chip and development of {A}
                  fault-tolerant routing method},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {224--232},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5032},
  doi          = {10.1049/IET-CDT.2018.5032},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KurokawaF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LeeN19,
  author       = {Dong{-}Woo Lee and
                  Jongwhoa Na},
  title        = {Study of the monte-carlo fault injection simulator to measure a fault
                  derating},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {218--223},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5009},
  doi          = {10.1049/IET-CDT.2018.5009},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LeeN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LinWS19,
  author       = {Cheng{-}Hung Lin and
                  Yuan{-}Syun Wu and
                  Chen{-}Pei Song},
  title        = {Energy-efficient {LDPC} codec design using cost-effective early termination
                  scheme},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {2},
  pages        = {118--125},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5074},
  doi          = {10.1049/IET-CDT.2018.5074},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LinWS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MehranzadehKBR19,
  author       = {Amin Mehranzadeh and
                  Ahmad Khademzadeh and
                  Nader Bagherzadeh and
                  Midia Reshadi},
  title        = {{DICA:} destination intensity and congestion-aware output selection
                  strategy for network-on-chip systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {4},
  pages        = {335--347},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5154},
  doi          = {10.1049/IET-CDT.2018.5154},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MehranzadehKBR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MishraTJ19,
  author       = {Biswajit Mishra and
                  Sanket Thakkar and
                  Nupur Jain},
  title        = {Ultra-low power digital front-end for single lead {ECG} acquisition
                  integrated with a time-to-digital converter},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {453--460},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0027},
  doi          = {10.1049/IET-CDT.2019.0027},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MishraTJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MondalCS19,
  author       = {Khokan Mondal and
                  Subhajit Chatterjee and
                  Tuhina Samanta},
  title        = {An algorithm for obstacle-avoiding clock routing tree construction
                  with multiple TSVs on a 3D {IC}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {2},
  pages        = {102--109},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5105},
  doi          = {10.1049/IET-CDT.2018.5105},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MondalCS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MoulikDS19,
  author       = {Sanjay Moulik and
                  Rajesh Devaraj and
                  Arnab Sarkar},
  title        = {{HEALERS:} a heterogeneous energy-aware low-overhead real-time scheduler},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {470--480},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0023},
  doi          = {10.1049/IET-CDT.2019.0023},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MoulikDS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NguyenCD19,
  author       = {Nguyen Tran Huu Nguyen and
                  Ediz Cetin and
                  Oliver Diessel},
  title        = {Scheduling configuration memory error checks to improve the reliability
                  of FPGA-based systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {154--165},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5001},
  doi          = {10.1049/IET-CDT.2018.5001},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NguyenCD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz19,
  author       = {Irith Pomeranz},
  title        = {Updating the sets of target faults during test generation for multiple
                  fault models},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {5},
  pages        = {369--375},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5111},
  doi          = {10.1049/IET-CDT.2018.5111},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pyne19,
  author       = {Sumanta Pyne},
  title        = {Scheduling of dual supercapacitor for longer battery lifetime in safety-critical
                  embedded systems with power gating},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {429--442},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0028},
  doi          = {10.1049/IET-CDT.2019.0028},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pyne19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RachamallaRJ19,
  author       = {Spandana Rachamalla and
                  Shashidhar Reddy and
                  Arun Joseph},
  title        = {Heterogeneity aware power abstractions for dynamic power dominated
                  FinFET-based microprocessors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {524--531},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0031},
  doi          = {10.1049/IET-CDT.2019.0031},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RachamallaRJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RaniK19,
  author       = {Khushboo Rani and
                  Hemangee K. Kapoor},
  title        = {Write-variation aware alternatives to replace {SRAM} buffers with
                  non-volatile buffers in on-chip interconnects},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {481--492},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0039},
  doi          = {10.1049/IET-CDT.2019.0039},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RaniK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Rashidi19,
  author       = {Bahram Rashidi},
  title        = {Efficient hardware structure for extended Euclidean-based inversion
                  over {F} 2 m},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {4},
  pages        = {282--291},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5077},
  doi          = {10.1049/IET-CDT.2018.5077},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Rashidi19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SantosCR19,
  author       = {Fernando Fernandes dos Santos and
                  Luigi Carro and
                  Paolo Rech},
  title        = {Kernel and layer vulnerability factor to evaluate object detection
                  reliability in GPUs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {178--186},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5026},
  doi          = {10.1049/IET-CDT.2018.5026},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SantosCR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SayadiCBKA19,
  author       = {Fatma Ezahra Sayadi and
                  Marwa Chouchene and
                  Haythem Bahri and
                  Randa Khemiri and
                  Mohamed Atri},
  title        = {{CUDA} memory optimisation strategies for motion estimation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {1},
  pages        = {20--27},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0149},
  doi          = {10.1049/IET-CDT.2017.0149},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SayadiCBKA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShahYBV19,
  author       = {Ambika Prasad Shah and
                  Nandakishor Yadav and
                  Ankur Beohar and
                  Santosh Kumar Vishvakarma},
  title        = {{SUBHDIP:} process variations tolerant subthreshold Darlington pair-based
                  {NBTI} sensor circuit},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {243--249},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5123},
  doi          = {10.1049/IET-CDT.2018.5123},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShahYBV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SheikhpourMB19,
  author       = {Saeide Sheikhpour and
                  Ali Mahani and
                  Nasour Bagheri},
  title        = {High throughput fault-resilient {AES} architecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {4},
  pages        = {312--323},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5083},
  doi          = {10.1049/IET-CDT.2018.5083},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SheikhpourMB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SreekalaK19,
  author       = {Kollaparampil Somasekharan Sreekala and
                  Sukumarapillai Krishnakumar},
  title        = {State retained dual-\emph{V} \({}_{\mbox{th}}\) feedback sleeper-stack
                  for leakage reduction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {1},
  pages        = {1--10},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.0009},
  doi          = {10.1049/IET-CDT.2018.0009},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SreekalaK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TaheriMP19,
  author       = {Ebadollah Taheri and
                  Karim Mohammadi and
                  Ahmad Patooghy},
  title        = {{ON-OFF:} a reactive routing algorithm for dynamic thermal management
                  in 3D NoCs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {1},
  pages        = {11--19},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0139},
  doi          = {10.1049/IET-CDT.2017.0139},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TaheriMP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TingH19,
  author       = {Pai{-}Shun Ting and
                  John P. Hayes},
  title        = {Removing constant-induced errors in stochastic circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {187--197},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5017},
  doi          = {10.1049/IET-CDT.2018.5017},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TingH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TorresT19,
  author       = {Vitor Ferreira Torres and
                  Frank Sill Torres},
  title        = {Resilient training of neural network classifiers with approximate
                  computing techniques for hardware-optimised implementations},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {532--542},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0036},
  doi          = {10.1049/IET-CDT.2019.0036},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TorresT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangSZOLK19,
  author       = {Yi Wang and
                  Karim Shahbazi and
                  Hao Zhang and
                  Kwang{-}Il Oh and
                  Jae{-}Jin Lee and
                  Seok{-}Bum Ko},
  title        = {Efficient spiking neural network training and inference with reduced
                  precision memory and computing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {5},
  pages        = {397--404},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0115},
  doi          = {10.1049/IET-CDT.2019.0115},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangSZOLK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangTBWT19,
  author       = {Yuan Wang and
                  Martin A. Trefzer and
                  Simon J. Bale and
                  James Alfred Walker and
                  Andy M. Tyrrell},
  title        = {Multi-objective optimisation algorithm for routability and timing
                  driven circuit clustering on FPGAs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {4},
  pages        = {273--281},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5115},
  doi          = {10.1049/IET-CDT.2018.5115},
  timestamp    = {Fri, 14 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangTBWT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/X19,
  title        = {Guest Editorial: Defect and Fault Tolerance in {VLSI} and Nanotechnology
                  Systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {127--128},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0097},
  doi          = {10.1049/IET-CDT.2019.0097},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/X19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/X19a,
  title        = {Guest Editorial: Energy-efficient Computing for Embedded and IoT Devices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {6},
  pages        = {415--416},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2019.0233},
  doi          = {10.1049/IET-CDT.2019.0233},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/X19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XueBWL19,
  author       = {Mingfu Xue and
                  Rongzhen Bian and
                  Jian Wang and
                  Weiqiang Liu},
  title        = {Building an accurate hardware Trojan detection technique from inaccurate
                  simulation models and unlabelled ICs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {4},
  pages        = {348--359},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5120},
  doi          = {10.1049/IET-CDT.2018.5120},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XueBWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhangLCZDB19,
  author       = {Yang Zhang and
                  Ji Li and
                  Huimei Cheng and
                  Haipeng Zha and
                  Jeffrey Draper and
                  Peter A. Beerel},
  title        = {Yield modelling and analysis of bundled data and ring-oscillator based
                  designs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {3},
  pages        = {262--272},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5040},
  doi          = {10.1049/IET-CDT.2018.5040},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhangLCZDB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AhmadinejadF18,
  author       = {Hoda Ahmadinejad and
                  Omid Fatemi},
  title        = {Moving towards grey-box predictive models at micro-architecture level
                  by investigating inherent program characteristics},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {2},
  pages        = {53--61},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0148},
  doi          = {10.1049/IET-CDT.2016.0148},
  timestamp    = {Mon, 15 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AhmadinejadF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AsifARK18,
  author       = {Shahzad Asif and
                  Oskar Andersson and
                  Joachim Neves Rodrigues and
                  Yinan Kong},
  title        = {65-nm {CMOS} low-energy {RNS} modular multiplier for elliptic-curve
                  cryptography},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {2},
  pages        = {62--67},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0017},
  doi          = {10.1049/IET-CDT.2017.0017},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AsifARK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BandyopadhyayPR18,
  author       = {Chandan Bandyopadhyay and
                  Shalini Parekh and
                  Hafizur Rahaman},
  title        = {Improved circuit synthesis approach for exclusive-sum-of-product-based
                  reversible circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {4},
  pages        = {167--175},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0016},
  doi          = {10.1049/IET-CDT.2017.0016},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BandyopadhyayPR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BrownHMRMM18,
  author       = {Daniel Brown and
                  Ava Hedayatipour and
                  Md. Badruddoja Majumder and
                  Garrett S. Rose and
                  Nicole McFarlane and
                  Donatello Materassi},
  title        = {Practical realisation of a return map immune Lorenz-based chaotic
                  stream cipher in circuitry},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {6},
  pages        = {297--305},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5005},
  doi          = {10.1049/IET-CDT.2018.5005},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BrownHMRMM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChiouLSLL18,
  author       = {Che Wun Chiou and
                  Cheng{-}Min Lee and
                  Yuh{-}Sien Sun and
                  Chiou{-}Yng Lee and
                  Jim{-}Min Lin},
  title        = {High-throughput Dickson basis multiplier with a trinomial for lightweight
                  cryptosystems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {5},
  pages        = {187--191},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0209},
  doi          = {10.1049/IET-CDT.2017.0209},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChiouLSLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DuttNT18,
  author       = {Sunil Dutt and
                  Sukumar Nandi and
                  Gaurav Trivedi},
  title        = {Accuracy enhancement of equal segment based approximate adders},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {5},
  pages        = {206--215},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0171},
  doi          = {10.1049/IET-CDT.2017.0171},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DuttNT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Hiasat18,
  author       = {Ahmad A. Hiasat},
  title        = {Sign detector for the extended four-moduli set \{ 2\({}^{\mbox{n}}\)
                  - 1 , 2\({}^{\mbox{n}}\) + 1 , 2\({}^{\mbox{2n}}\) + 1 , 2\({}^{\mbox{n
                  + k}}\) \}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {2},
  pages        = {39--43},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0088},
  doi          = {10.1049/IET-CDT.2017.0088},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Hiasat18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KachaveSNH18,
  author       = {Deepak Kachave and
                  Anirban Sengupta and
                  Shubha Neema and
                  Panugothu Sri Harsha},
  title        = {Effect of {NBTI} stress on {DSP} cores used in {CE} devices: threat
                  model and performance estimation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {6},
  pages        = {268--278},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5081},
  doi          = {10.1049/IET-CDT.2018.5081},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KachaveSNH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KaediDG18,
  author       = {Saman Kaedi and
                  Mohammad{-}Ali Doostari and
                  Mohammad Bagher Ghaznavi Ghoushchi},
  title        = {Low-complexity and differential power analysis (DPA)-resistant two-folded
                  power-aware Rivest-Shamir-Adleman {(RSA)} security schema implementation
                  for IoT-connected devices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {6},
  pages        = {279--288},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5098},
  doi          = {10.1049/IET-CDT.2018.5098},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KaediDG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KhanAGT18,
  author       = {Sarzamin Khan and
                  Sheraz Anjum and
                  Usman Ali Gulzari and
                  Frank Sill Torres},
  title        = {Comparative analysis of network-on-chip simulation tools},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {1},
  pages        = {30--38},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0068},
  doi          = {10.1049/IET-CDT.2017.0068},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KhanAGT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KimK18,
  author       = {Youngchan Kim and
                  Taewhan Kim},
  title        = {Synthesis and exploration of clock spines},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {5},
  pages        = {241--248},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0234},
  doi          = {10.1049/IET-CDT.2017.0234},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KimK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiLC18,
  author       = {He Li and
                  Qiang Liu and
                  Fuqiang Chen},
  title        = {Signal word-level statistical properties-based activation approach
                  for hardware Trojan detection in {DSP} circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {6},
  pages        = {258--267},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5101},
  doi          = {10.1049/IET-CDT.2018.5101},
  timestamp    = {Mon, 06 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiaoW18,
  author       = {Chien{-}Hui Liao and
                  Charles Hung{-}Pin Wen},
  title        = {Online task scheduler in 3D-MCPs with {TADVA}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {2},
  pages        = {44--52},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0014},
  doi          = {10.1049/IET-CDT.2017.0014},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiaoW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LocharlaMA18,
  author       = {Govinda Rao Locharla and
                  Kamala Kanta Mahapatra and
                  Samit Ari},
  title        = {Variable length mixed radix {MDC} {FFT/IFFT} processor for {MIMO-OFDM}
                  application},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {1},
  pages        = {9--19},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0018},
  doi          = {10.1049/IET-CDT.2017.0018},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LocharlaMA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MartinHMWL18,
  author       = {George Martin and
                  Jim Harkin and
                  L. J. McDaid and
                  John J. Wade and
                  Junxiu Liu},
  title        = {On-chip communication for neuro-glia networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {4},
  pages        = {130--138},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0187},
  doi          = {10.1049/IET-CDT.2017.0187},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MartinHMWL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MrazekVH18,
  author       = {Vojtech Mrazek and
                  Zdenek Vas{\'{\i}}cek and
                  Radek Hrbacek},
  title        = {Role of circuit representation in evolutionary design of energy-efficient
                  approximate circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {4},
  pages        = {139--149},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0188},
  doi          = {10.1049/IET-CDT.2017.0188},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MrazekVH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NoghondarRB18,
  author       = {Amir Fadakar Noghondar and
                  Midia Reshadi and
                  Nader Bagherzadeh},
  title        = {Reducing bypass-based network-on-chip latency using priority mechanism},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {1},
  pages        = {1--8},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0161},
  doi          = {10.1049/IET-CDT.2016.0161},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NoghondarRB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/OlivitoSCMR18,
  author       = {Javier Olivito and
                  Felipe Serrano and
                  Juan Antonio Clemente and
                  Hortensia Mecha and
                  Javier Resano},
  title        = {Analysis of the reconfiguration latency and energy overheads for a
                  Xilinx Virtex-5 field-programmable gate array},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {4},
  pages        = {150--157},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0095},
  doi          = {10.1049/IET-CDT.2016.0095},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/OlivitoSCMR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PaulS18,
  author       = {Rourab Paul and
                  Sandeep K. Shukla},
  title        = {Partitioned security processor architecture on {FPGA} platform},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {5},
  pages        = {216--226},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0178},
  doi          = {10.1049/IET-CDT.2017.0178},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PaulS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PaulSCG18,
  author       = {Rourab Paul and
                  Gitesh Sikder and
                  Amlan Chakrabarti and
                  Ranjan Ghosh},
  title        = {Hardware variant {NSP} with security-aware automated preferential
                  algorithm},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {5},
  pages        = {192--205},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2018.0006},
  doi          = {10.1049/IET-CDT.2018.0006},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PaulSCG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz18,
  author       = {Irith Pomeranz},
  title        = {On-chip generation of primary input sequences for multicycle functional
                  broadside tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {3},
  pages        = {80--86},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0032},
  doi          = {10.1049/IET-CDT.2017.0032},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz18a,
  author       = {Irith Pomeranz},
  title        = {Static test compaction procedure for large pools of multicycle functional
                  broadside tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {5},
  pages        = {233--240},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0239},
  doi          = {10.1049/IET-CDT.2017.0239},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz18a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PyleCD18,
  author       = {Steven D. Pyle and
                  Kerem Yunus Camsari and
                  Ronald F. DeMara},
  title        = {Hybrid spin-CMOS stochastic spiking neuron for high-speed emulation
                  of In vivo neuron dynamics},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {4},
  pages        = {122--129},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0145},
  doi          = {10.1049/IET-CDT.2017.0145},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PyleCD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SebtPBK18,
  author       = {Seyed Mohammad Sebt and
                  Ahmad Patooghy and
                  Hakem Beitollahi and
                  Michel A. Kinsy},
  title        = {Circuit enclaves susceptible to hardware Trojans insertion at gate-level
                  designs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {6},
  pages        = {251--257},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5108},
  doi          = {10.1049/IET-CDT.2018.5108},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SebtPBK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShahD18,
  author       = {Nehal N. Shah and
                  Upena D. Dalal},
  title        = {Register array-based sum of absolute difference processor with parallel
                  memory system for fast motion estimation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {3},
  pages        = {95--104},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0178},
  doi          = {10.1049/IET-CDT.2016.0178},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShahD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SleebaJM18,
  author       = {Simi Zerine Sleeba and
                  John Jose and
                  Maniyelil Govindankutty Mini},
  title        = {Energy-efficient fault tolerant technique for deflection routers in
                  two-dimensional mesh Network-on-Chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {3},
  pages        = {69--79},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0006},
  doi          = {10.1049/IET-CDT.2017.0006},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SleebaJM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TaoZWC18,
  author       = {Yanyun Tao and
                  Yuzhen Zhang and
                  Qinyu Wang and
                  Jian Cao},
  title        = {{MPGA:} an evolutionary state assignment for dynamic and leakage power
                  reduction in {FSM} synthesis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {3},
  pages        = {111--120},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0199},
  doi          = {10.1049/IET-CDT.2016.0199},
  timestamp    = {Sun, 28 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TaoZWC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VohraS18,
  author       = {Harpreet Vohra and
                  Amardeep Singh},
  title        = {Test data compression using hierarchical block merging technique},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {4},
  pages        = {176--185},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0045},
  doi          = {10.1049/IET-CDT.2017.0045},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VohraS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangLWD18,
  author       = {Xiang Wang and
                  Lin Li and
                  Weike Wang and
                  Pei Du},
  title        = {Online learning based on a novel cost function for system power management},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {5},
  pages        = {227--232},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0211},
  doi          = {10.1049/IET-CDT.2017.0211},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangLWD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WortmanYCT18,
  author       = {Paul A. Wortman and
                  Wei Yan and
                  John A. Chandy and
                  Fatemeh Tehranipoor},
  title        = {P2M-based security model: security enhancement using combined {PUF}
                  and {PRNG} models for authenticating consumer electronic devices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {6},
  pages        = {289--296},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5099},
  doi          = {10.1049/IET-CDT.2018.5099},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WortmanYCT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/X18,
  title        = {Guest Editorial: Bio-inspired Hardware and Evolvable Systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {4},
  pages        = {121},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2018.0073},
  doi          = {10.1049/IET-CDT.2018.0073},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/X18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/X18a,
  title        = {Guest Editorial: Hardware-Assisted Techniques for Security and Protection
                  of Consumer Electronics},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {6},
  pages        = {249--250},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5178},
  doi          = {10.1049/IET-CDT.2018.5178},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/X18a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XiaoYWCLC18,
  author       = {Hao Xiao and
                  Xiang Yin and
                  Ning Wu and
                  Xin Chen and
                  Jun Li and
                  Xiaoxing Chen},
  title        = {{VLSI} design of low-cost and high-precision fixed-point reconfigurable
                  {FFT} processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {3},
  pages        = {105--110},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0060},
  doi          = {10.1049/IET-CDT.2017.0060},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XiaoYWCLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XuLLY18,
  author       = {Changqing Xu and
                  Yi Liu and
                  Peng Li and
                  Yintang Yang},
  title        = {Unified multi-objective mapping for network-on-chip using genetic-based
                  hyper-heuristic algorithms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {4},
  pages        = {158--166},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0156},
  doi          = {10.1049/IET-CDT.2017.0156},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XuLLY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhangCK18,
  author       = {Hao Zhang and
                  Dongdong Chen and
                  Seok{-}Bum Ko},
  title        = {High performance and energy efficient single-precision and double-precision
                  merged floating-point adder on {FPGA}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {1},
  pages        = {20--29},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0200},
  doi          = {10.1049/IET-CDT.2016.0200},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhangCK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhangXTL18,
  author       = {Yang Zhang and
                  Zuocheng Xing and
                  Chuan Tang and
                  Cang Liu},
  title        = {Locality-protected cache allocation scheme with low overhead on GPUs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {12},
  number       = {3},
  pages        = {87--94},
  year         = {2018},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0004},
  doi          = {10.1049/IET-CDT.2017.0004},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhangXTL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AhmadIA17,
  author       = {Imtiaz Ahmad and
                  Mahmoud Imdoukh and
                  Mohammad AlFailakawi},
  title        = {Extending multi-level {STT-MRAM} cell lifetime by minimising two-step
                  and hard state transitions in hot bits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {6},
  pages        = {214--220},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0089},
  doi          = {10.1049/IET-CDT.2017.0089},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AhmadIA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlemayehuK17,
  author       = {Temesgen Seyoum Alemayehu and
                  Jai{-}Hoon Kim},
  title        = {Dependability analysis of cyber physical systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {6},
  pages        = {231--236},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0164},
  doi          = {10.1049/IET-CDT.2016.0164},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlemayehuK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AsgariFPA17,
  author       = {Bahar Asgari and
                  Mahdi Fazeli and
                  Ahmad Patooghy and
                  Seyed Vahid Azhari},
  title        = {Micro-architectural approach to the efficient employment of {STTRAM}
                  cells in a microprocessor register file},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {1},
  pages        = {1--7},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0155},
  doi          = {10.1049/IET-CDT.2015.0155},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AsgariFPA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AsifHK17,
  author       = {Shahzad Asif and
                  Md. Selim Hossain and
                  Yinan Kong},
  title        = {High-throughput multi-key elliptic curve cryptosystem based on residue
                  number system},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {5},
  pages        = {165--172},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0141},
  doi          = {10.1049/IET-CDT.2016.0141},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AsifHK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BahriSKCA17,
  author       = {Haythem Bahri and
                  Fatma Sayadi and
                  Randa Khemiri and
                  Marwa Chouchene and
                  Mohamed Atri},
  title        = {Image feature extraction algorithm based on {CUDA} architecture: case
                  study {GFD} and {GCFD}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {4},
  pages        = {125--132},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0135},
  doi          = {10.1049/IET-CDT.2016.0135},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BahriSKCA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BarikP17,
  author       = {Ranjan Kumar Barik and
                  Manoranjan Pradhan},
  title        = {Efficient {ASIC} and {FPGA} implementation of cube architecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {1},
  pages        = {43--49},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0043},
  doi          = {10.1049/IET-CDT.2016.0043},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BarikP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BasiriM17,
  author       = {M. Mohamed Asan Basiri and
                  S. K. Noor Mohammad},
  title        = {Quadruple throughput fixed point quarter precision multiply accumulate
                  circuit design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {5},
  pages        = {183--189},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0051},
  doi          = {10.1049/IET-CDT.2017.0051},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BasiriM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Bourahla17,
  author       = {Mustapha Bourahla},
  title        = {{LTL} transformation modulo positive transitions},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {6},
  pages        = {205--213},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0112},
  doi          = {10.1049/IET-CDT.2017.0112},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Bourahla17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/CheourJKA17,
  author       = {Rym Ch{\'{e}}our and
                  Mohamed Wassim Jmal and
                  Olfa Kanoun and
                  Mohamed Abid},
  title        = {Evaluation of simulator tools and power-aware scheduling model for
                  wireless sensor networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {5},
  pages        = {173--182},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0003},
  doi          = {10.1049/IET-CDT.2017.0003},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/CheourJKA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/El-Maleh17,
  author       = {Aiman H. El{-}Maleh},
  title        = {Finite state machine-based fault tolerance technique with enhanced
                  area and power of synthesised sequential circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {4},
  pages        = {159--164},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0085},
  doi          = {10.1049/IET-CDT.2016.0085},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/El-Maleh17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GracioliF17,
  author       = {Giovani Gracioli and
                  Ant{\^{o}}nio Augusto Fr{\"{o}}hlich},
  title        = {Two-phase colour-aware multicore real-time scheduler},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {4},
  pages        = {133--139},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0114},
  doi          = {10.1049/IET-CDT.2016.0114},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GracioliF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GulzariAAKT17,
  author       = {Usman Ali Gulzari and
                  Sheraz Anjum and
                  Shahrukh Agha and
                  Sarzamin Khan and
                  Frank Sill Torres},
  title        = {Efficient and scalable cross-by-pass-mesh topology for networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {4},
  pages        = {140--148},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0184},
  doi          = {10.1049/IET-CDT.2016.0184},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GulzariAAKT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HossainKSN17,
  author       = {Md. Selim Hossain and
                  Yinan Kong and
                  Ehsan Saeedi and
                  Cheeckottu Vayalil Niras},
  title        = {High-performance elliptic curve cryptography processor over {NIST}
                  prime fields},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {1},
  pages        = {33--42},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0033},
  doi          = {10.1049/IET-CDT.2016.0033},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HossainKSN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JabeenSS17,
  author       = {Shaista Jabeen and
                  Sudarshan K. Srinivasan and
                  Sana Shuja},
  title        = {Formal verification methodology for real-time Field Programmable Gate
                  Array},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {5},
  pages        = {197--203},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0189},
  doi          = {10.1049/IET-CDT.2016.0189},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JabeenSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiuHLL17,
  author       = {Yu{-}Cheng Liu and
                  Cheng{-}Yu Han and
                  Shih{-}Ya Lin and
                  James Chien{-}Mo Li},
  title        = {PSN-aware circuit test timing prediction using machine learning},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {2},
  pages        = {60--67},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0032},
  doi          = {10.1049/IET-CDT.2016.0032},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiuHLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiuQ17,
  author       = {Qiang Liu and
                  HanJing Qian},
  title        = {Fast and accurate circuit delay model for {FPGA} architectural exploration},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {3},
  pages        = {117--123},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0053},
  doi          = {10.1049/IET-CDT.2016.0053},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiuQ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LuC17,
  author       = {Liang{-}Ying Lu and
                  Lih{-}Yih Chiou},
  title        = {Temperature gradient-aware thermal simulator for three-dimensional
                  integrated circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {5},
  pages        = {190--196},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0149},
  doi          = {10.1049/IET-CDT.2016.0149},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LuC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MaTL17,
  author       = {Cong Ma and
                  William Tuohy and
                  David J. Lilja},
  title        = {Impact of spintronic memory on multicore cache hierarchy design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {2},
  pages        = {51--59},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0190},
  doi          = {10.1049/IET-CDT.2015.0190},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MaTL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ParmarRD17,
  author       = {Chintan A. Parmar and
                  Bhaskar Ramanadham and
                  Anand D. Darji},
  title        = {{FPGA} implementation of hardware efficient adaptive filter robust
                  to impulsive noise},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {3},
  pages        = {107--116},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0067},
  doi          = {10.1049/IET-CDT.2016.0067},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ParmarRD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz17,
  author       = {Irith Pomeranz},
  title        = {Reconstruction of a functional test sequence for increased fault coverage},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {3},
  pages        = {91--97},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0107},
  doi          = {10.1049/IET-CDT.2016.0107},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz17a,
  author       = {Irith Pomeranz},
  title        = {Metric for the ability of functional capture cycles to ensure functional
                  operation conditions},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {3},
  pages        = {100--106},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0090},
  doi          = {10.1049/IET-CDT.2016.0090},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SenguptaBM17,
  author       = {Anirban Sengupta and
                  Saumya Bhadauria and
                  Saraju P. Mohanty},
  title        = {Low-cost security aware {HLS} methodology},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {2},
  pages        = {68--79},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0014},
  doi          = {10.1049/IET-CDT.2016.0014},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SenguptaBM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SinghH17,
  author       = {Pawan Singh and
                  Nirayo Hailu Gebreegziabher},
  title        = {Energy-aware online non-clairvoyant multiprocessor scheduling: multiprocessor
                  priority round robin},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {1},
  pages        = {16--23},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0097},
  doi          = {10.1049/IET-CDT.2016.0097},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SinghH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XiaoZIK17,
  author       = {Hao Xiao and
                  Busheng Zheng and
                  Tsuyoshi Isshiki and
                  Hiroaki Kunieda},
  title        = {Hybrid shared-memory and message-passing multiprocessor system-on-chip
                  for {UWB} {MAC} layer},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {1},
  pages        = {8--15},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0217},
  doi          = {10.1049/IET-CDT.2015.0217},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XiaoZIK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YanWGXZ17,
  author       = {Gaizhen Yan and
                  Ning Wu and
                  Fen Ge and
                  Hao Xiao and
                  Fang Zhou},
  title        = {Collaborative fuzzy-based partially-throttling dynamic thermal management
                  scheme for three-dimensional networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {1},
  pages        = {24--32},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0198},
  doi          = {10.1049/IET-CDT.2015.0198},
  timestamp    = {Sat, 02 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YanWGXZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZaganG17,
  author       = {Ionel Zagan and
                  Vasile Gheorghita Gaitan},
  title        = {Implementation of nMPRA {CPU} architecture based on preemptive hardware
                  scheduler engine and different scheduling algorithms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {6},
  pages        = {221--230},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0163},
  doi          = {10.1049/IET-CDT.2017.0163},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZaganG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhangCK17,
  author       = {Hao Zhang and
                  Dongdong Chen and
                  Seok{-}Bum Ko},
  title        = {Area- and power-efficient iterative single/double-precision merged
                  floating-point multiplier on {FPGA}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {11},
  number       = {4},
  pages        = {149--158},
  year         = {2017},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0100},
  doi          = {10.1049/IET-CDT.2016.0100},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhangCK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AbedASM16,
  author       = {Sa'ed Abed and
                  Mohammad Alshayeji and
                  Sari Sultan and
                  Nesreen Mohammad},
  title        = {Hybrid approach based on partial tag comparison technique and search
                  methods to improve cache performance},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {2},
  pages        = {69--76},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0097},
  doi          = {10.1049/IET-CDT.2015.0097},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AbedASM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AfsharpourPF16,
  author       = {Sima Afsharpour and
                  Ahmad Patooghy and
                  Mahdi Fazeli},
  title        = {Performance/energy aware task migration algorithm for many-core chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {4},
  pages        = {165--173},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0131},
  doi          = {10.1049/IET-CDT.2015.0131},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AfsharpourPF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AitkenCCDPSSY16,
  author       = {Robert C. Aitken and
                  Vikas Chandra and
                  Brian Cline and
                  Shidhartha Das and
                  David Pietromonaco and
                  Lucian Shifren and
                  Saurabh Sinha and
                  Greg Yeric},
  title        = {Predicting future complementary metal-oxide-semiconductor technology
                  - challenges and approaches},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {6},
  pages        = {315--322},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0210},
  doi          = {10.1049/IET-CDT.2015.0210},
  timestamp    = {Wed, 27 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AitkenCCDPSSY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BanerjeeD16,
  author       = {Arindam Banerjee and
                  Debesh Kumar Das},
  title        = {A New Squarer design with reduced area and delay},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {205--214},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0170},
  doi          = {10.1049/IET-CDT.2015.0170},
  timestamp    = {Wed, 27 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BanerjeeD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BasuVKAPA16,
  author       = {Soumya Basu and
                  Pablo Garc{\'{\i}}a Del Valle and
                  Georgios Karakonstantis and
                  Giovanni Ansaloni and
                  Laura Pozzi and
                  David Atienza},
  title        = {Inexact-aware architecture design for ultra-low power bio-signal analysis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {6},
  pages        = {306--314},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0194},
  doi          = {10.1049/IET-CDT.2015.0194},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BasuVKAPA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BeraMB16,
  author       = {Nilina Bera and
                  Subhashis Majumder and
                  Bhargab B. Bhattacharya},
  title        = {Simulation-based method for optimum microfluidic sample dilution using
                  weighted mix-split of droplets},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {3},
  pages        = {119--127},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0091},
  doi          = {10.1049/IET-CDT.2015.0091},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BeraMB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChakrabortyCDD16,
  author       = {Sarit Chakraborty and
                  Susanta Chakraborty and
                  Chandan Das and
                  Parthasarathi Dasgupta},
  title        = {Efficient two phase heuristic routing technique for digital microfluidic
                  biochip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {233--242},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0161},
  doi          = {10.1049/IET-CDT.2015.0161},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChakrabortyCDD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChaliosNCQ16,
  author       = {Charalampos Chalios and
                  Dimitrios S. Nikolopoulos and
                  Sandra Catal{\'{a}}n and
                  Enrique S. Quintana{-}Ort{\'{\i}}},
  title        = {Evaluating fault tolerance on asymmetric multicore systems-on-chip
                  using iso-metrics},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {2},
  pages        = {85--92},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0056},
  doi          = {10.1049/IET-CDT.2015.0056},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChaliosNCQ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChaudharySSYSMK16,
  author       = {Rekha Chaudhary and
                  Amit Sharma and
                  Soumendu Sinha and
                  Jyoti Yadav and
                  Rishi Sharma and
                  Ravindra Mukhiya and
                  Vinod K. Khanna},
  title        = {Fabrication and characterisation of Al gate \emph{n}-metal-oxide-semiconductor
                  field-effect transistor, on-chip fabricated with silicon nitride ion-sensitive
                  field-effect transistor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {268--272},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0174},
  doi          = {10.1049/IET-CDT.2015.0174},
  timestamp    = {Fri, 23 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChaudharySSYSMK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DeyRD16,
  author       = {Debarati Dey and
                  Pradipta Roy and
                  Debashis De},
  title        = {Electronic characterisation of atomistic modelling based electrically
                  doped nano bio p-i-n {FET}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {273--285},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0156},
  doi          = {10.1049/IET-CDT.2015.0156},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DeyRD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DhalDCSP16,
  author       = {Debasis Dhal and
                  Piyali Datta and
                  Arpan Chakraborty and
                  Goutam Saha and
                  Rajat Kumar Pal},
  title        = {Multiple parallel assay operations with cross contamination avoidance
                  in a given biochip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {243--253},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0166},
  doi          = {10.1049/IET-CDT.2015.0166},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DhalDCSP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/El-Maleh16,
  author       = {Aiman H. El{-}Maleh},
  title        = {Majority-based evolution state assignment algorithm for area and power
                  optimisation of sequential circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {1},
  pages        = {30--36},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0038},
  doi          = {10.1049/IET-CDT.2015.0038},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/El-Maleh16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Furber16,
  author       = {Steve B. Furber},
  title        = {Brain-inspired computing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {6},
  pages        = {299--305},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0171},
  doi          = {10.1049/IET-CDT.2015.0171},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Furber16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GengSX16,
  author       = {Liang Geng and
                  Jizhong Shen and
                  Congyuan Xu},
  title        = {Design of flip-flops with clock-gating and pull-up control scheme
                  for power-constrained and speed-insensitive applications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {4},
  pages        = {193--201},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0139},
  doi          = {10.1049/IET-CDT.2015.0139},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GengSX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GuptaSLGZB16,
  author       = {Niyati Gupta and
                  Ashish Sharma and
                  Vijay Laxmi and
                  Manoj Singh Gaur and
                  Mark Zwolinski and
                  Rimpy Bishnoi},
  title        = {\emph{{\(\sigma\)}} \({}^{\mbox{ \emph{n} }}\)LBDR: generic congestion
                  handling routing implementation for two-dimensional mesh network-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {226--232},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0196},
  doi          = {10.1049/IET-CDT.2015.0196},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GuptaSLGZB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HanZK16,
  author       = {Liu Han and
                  Hao Zhang and
                  Seok{-}Bum Ko},
  title        = {Decimal floating-point fused multiply-add with redundant internal
                  encodings},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {4},
  pages        = {147--156},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0058},
  doi          = {10.1049/IET-CDT.2015.0058},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HanZK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Ibrahim16,
  author       = {Qutaiba Ibrahim},
  title        = {Enhanced power management scheme for embedded road side units},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {4},
  pages        = {174--185},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0135},
  doi          = {10.1049/IET-CDT.2015.0135},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Ibrahim16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KathirvelJK16,
  author       = {Swaminathan Kathirvel and
                  Rajkumar Jangre and
                  Seok{-}Bum Ko},
  title        = {Design of a novel energy efficient topology for maximum magnitude
                  generator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {3},
  pages        = {93--101},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0066},
  doi          = {10.1049/IET-CDT.2015.0066},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KathirvelJK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LibuttiMF16,
  author       = {Simone Libutti and
                  Giuseppe Massari and
                  William Fornaciari},
  title        = {Co-scheduling tasks on multi-core heterogeneous systems: An energy-aware
                  perspective},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {2},
  pages        = {77--84},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0053},
  doi          = {10.1049/IET-CDT.2015.0053},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LibuttiMF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LocharlaKMA16,
  author       = {Govinda Rao Locharla and
                  K. Sudeendra Kumar and
                  Kamala Kanta Mahapatra and
                  Samit Ari},
  title        = {Implementation of {MIMO} data reordering and scheduling methodologies
                  for eight-parallel variable length multi-path delay commutator {FFT/IFFT}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {215--225},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0165},
  doi          = {10.1049/IET-CDT.2015.0165},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LocharlaKMA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MPM16,
  author       = {Vinaya M. M. and
                  Roy Paily and
                  Anil Mahanta},
  title        = {Analysis and design of moderate inversion based low power low-noise
                  amplifier},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {254--260},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0172},
  doi          = {10.1049/IET-CDT.2015.0172},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MPM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MarakhovskyS16,
  author       = {Viacheslav Borisovich Marakhovsky and
                  Alexey Vadimovich Surkov},
  title        = {Globally asynchronous systems of interactive Moore state machines},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {4},
  pages        = {186--192},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0130},
  doi          = {10.1049/IET-CDT.2015.0130},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MarakhovskyS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Morales-Sandoval16,
  author       = {Miguel Morales{-}Sandoval and
                  Arturo Diaz{-}Perez},
  title        = {Scalable GF(\emph{p}) Montgomery multiplier based on a \emph{digit-digit}
                  computation approach},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {3},
  pages        = {102--109},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0055},
  doi          = {10.1049/IET-CDT.2015.0055},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Morales-Sandoval16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NawinneJRP16,
  author       = {Isuru Nawinne and
                  Haris Javaid and
                  Roshan G. Ragel and
                  Sri Parameswaran},
  title        = {Switchable cache: utilising dark silicon for application specific
                  cache optimisations},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {4},
  pages        = {157--164},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0114},
  doi          = {10.1049/IET-CDT.2015.0114},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NawinneJRP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NirasK16,
  author       = {Cheeckottu Vayalil Niras and
                  Yinan Kong},
  title        = {Fast sign-detection algorithm for residue number system moduli set
                  \{2\({}^{\mbox{ \emph{n} }}\) - 1, 2\({}^{\mbox{ \emph{n} }}\), 2\({}^{\mbox{
                  \emph{n}+1}}\) - 1\}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {2},
  pages        = {54--58},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0050},
  doi          = {10.1049/IET-CDT.2015.0050},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NirasK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PachkawadeJPK16,
  author       = {Vinayak Pachkawade and
                  Rajesh C. Junghare and
                  Rajendra M. Patrikar and
                  Michael Kraft},
  title        = {Mechanically coupled ring-resonator filter and array (analytical and
                  finite element model)},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {261--267},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0202},
  doi          = {10.1049/IET-CDT.2015.0202},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PachkawadeJPK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ParkC16,
  author       = {Hanmin Park and
                  Kiyoung Choi},
  title        = {Adaptively weighted round-robin arbitration for equality of service
                  in a many-core network-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {1},
  pages        = {37--44},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0049},
  doi          = {10.1049/IET-CDT.2015.0049},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ParkC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz16,
  author       = {Irith Pomeranz},
  title        = {Static test compaction for circuits with multiple independent scan
                  chains},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {1},
  pages        = {12--17},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0191},
  doi          = {10.1049/IET-CDT.2014.0191},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz16a,
  author       = {Irith Pomeranz},
  title        = {Improving the accuracy of defect diagnosis by adding and removing
                  tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {2},
  pages        = {47--53},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0072},
  doi          = {10.1049/IET-CDT.2015.0072},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz16b,
  author       = {Irith Pomeranz},
  title        = {Combined input test data volume reduction for mixed broadside and
                  skewed-load test sets},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {3},
  pages        = {138--145},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0117},
  doi          = {10.1049/IET-CDT.2015.0117},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz16b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RashidiSF16,
  author       = {Bahram Rashidi and
                  Sayed Masoud Sayedi and
                  Reza Rezaeian Farashahi},
  title        = {Efficient implementation of bit-parallel fault tolerant polynomial
                  basis multiplication and squaring over GF(2\emph{ \({}^{\mbox{m}}\)
                  })},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {1},
  pages        = {18--29},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0020},
  doi          = {10.1049/IET-CDT.2015.0020},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RashidiSF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SahaS16,
  author       = {Debasri Saha and
                  Susmita Sur{-}Kolay},
  title        = {Embedding of signatures in reconfigurable scan architecture for authentication
                  of intellectual properties in system-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {3},
  pages        = {110--118},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0051},
  doi          = {10.1049/IET-CDT.2015.0051},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SahaS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShrivastavaDCSD16,
  author       = {Aviral Shrivastava and
                  Nikil D. Dutt and
                  Jian Cai and
                  Majid Shoushtari and
                  Bryan Donyanavard and
                  Hossein Tajik},
  title        = {Automatic management of Software Programmable Memories in Many-core
                  Architectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {6},
  pages        = {288--298},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0024},
  doi          = {10.1049/IET-CDT.2016.0024},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShrivastavaDCSD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Tyrrell16,
  author       = {Andy M. Tyrrell},
  title        = {Guest Editorial},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {6},
  pages        = {287},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0118},
  doi          = {10.1049/IET-CDT.2016.0118},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Tyrrell16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VakiliLB16,
  author       = {Shervin Vakili and
                  J. M. Pierre Langlois and
                  Guy Bois},
  title        = {Accuracy-aware processor customisation for fixed-point arithmetic},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {1},
  pages        = {1--11},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0188},
  doi          = {10.1049/IET-CDT.2014.0188},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VakiliLB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VenkatachalamGS16,
  author       = {Nithish Kumar Venkatachalam and
                  Gopalakrishnan Lakshminarayanan and
                  Mathini Sellathurai},
  title        = {Low complexity and area efficient reconfigurable multimode interleaver
                  address generator for multistandard radios},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {2},
  pages        = {59--68},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0070},
  doi          = {10.1049/IET-CDT.2015.0070},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VenkatachalamGS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangWNQ16,
  author       = {Chaohui Wang and
                  Weiguo Wu and
                  Shiqiang Nie and
                  Depei Qian},
  title        = {{BFT:} a placement algorithm for non-rectangle task model in reconfigurable
                  computing system},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {3},
  pages        = {128--137},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0095},
  doi          = {10.1049/IET-CDT.2015.0095},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangWNQ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZwolinskiGLM16,
  author       = {Mark Zwolinski and
                  Manoj Singh Gaur and
                  Vijay Laxmi and
                  Usha Sandeep Mehta},
  title        = {Guest Editorial},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {5},
  pages        = {203--204},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0101},
  doi          = {10.1049/IET-CDT.2016.0101},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZwolinskiGLM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Asenov15,
  author       = {Asen Asenov},
  title        = {Mastering {CMOS} variability is the key to success},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {4},
  pages        = {187},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0019},
  doi          = {10.1049/IET-CDT.2015.0019},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Asenov15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BareisaJMS15,
  author       = {Eduardas Bareisa and
                  Vacius Jusas and
                  Kestutis Motiejunas and
                  Rimantas Seinauskas},
  title        = {Path delay test generation at functional level},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {3},
  pages        = {135--141},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0096},
  doi          = {10.1049/IET-CDT.2013.0096},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BareisaJMS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BelhadjBMAMM15,
  author       = {Nidhameddine Belhadj and
                  Nejmeddine Bahri and
                  Zied Marrakchi and
                  Mohamed Ali Ben Ayed and
                  Nouri Masmoudi and
                  Habib Mehrez},
  title        = {{H.264/AVC} high definition intra coding implementation on multiprocessor
                  system on chip technology architecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {5},
  pages        = {259--267},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0151},
  doi          = {10.1049/IET-CDT.2014.0151},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BelhadjBMAMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BrownMDRF15,
  author       = {Andrew D. Brown and
                  Rob Mills and
                  Kier J. Dugan and
                  Jeffrey S. Reeve and
                  Stephen B. Furber},
  title        = {Reliable computation with unreliable computers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {4},
  pages        = {230--237},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0110},
  doi          = {10.1049/IET-CDT.2014.0110},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BrownMDRF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Chen15,
  author       = {Chichyang Chen},
  title        = {High-order Taylor series approximation for efficient computation of
                  elementary functions},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {6},
  pages        = {328--335},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0158},
  doi          = {10.1049/IET-CDT.2014.0158},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Chen15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChengCCL15,
  author       = {Zuolin Cheng and
                  Xiaole Cui and
                  Xiaoxin Cui and
                  Chung Len Lee},
  title        = {Self-heating burn-in pattern generation based on the genetic algorithm
                  incorporated with a BACK-like procedure},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {6},
  pages        = {300--310},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0219},
  doi          = {10.1049/IET-CDT.2014.0219},
  timestamp    = {Tue, 07 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChengCCL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChouKLCW15,
  author       = {Chih{-}Hung Chou and
                  Ta{-}Wen Kuan and
                  Po{-}Chuan Lin and
                  Bo{-}Wei Chen and
                  Jhing{-}Fa Wang},
  title        = {Memory-efficient buffering method and enhanced reference template
                  for embedded automatic speech recognition system},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {3},
  pages        = {153--164},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0008},
  doi          = {10.1049/IET-CDT.2014.0008},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChouKLCW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DarjiAMC15,
  author       = {Anand D. Darji and
                  R. Arun and
                  S. N. Merchant and
                  Arun N. Chandorkar},
  title        = {Multiplier-less pipeline architecture for lifting-based two-dimensional
                  discrete wavelet transform},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {2},
  pages        = {113--123},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0167},
  doi          = {10.1049/IET-CDT.2013.0167},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DarjiAMC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GrayPA15,
  author       = {Ian Gray and
                  Gary Plumbridge and
                  Neil C. Audsley},
  title        = {Toolchain-based approach to handling variability in embedded multiprocessor
                  system on chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {1},
  pages        = {82--92},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0070},
  doi          = {10.1049/IET-CDT.2014.0070},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GrayPA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HuangBC15,
  author       = {Te Huang and
                  Ying{-}Wen Bai and
                  Shih{-}Kuan Chao},
  title        = {Reducing the system standby power of a personal computer},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {5},
  pages        = {248--258},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0137},
  doi          = {10.1049/IET-CDT.2013.0137},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HuangBC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KeshtegarFH15,
  author       = {Mohammad Mahdi Keshtegar and
                  Hajar Falahati and
                  Shaahin Hessabi},
  title        = {Cluster-based approach for improving graphics processing unit performance
                  by inter streaming multiprocessors locality},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {5},
  pages        = {275--282},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0092},
  doi          = {10.1049/IET-CDT.2014.0092},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KeshtegarFH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KiamehrEFT15,
  author       = {Saman Kiamehr and
                  Mojtaba Ebrahimi and
                  Farshad Firouzi and
                  Mehdi Baradaran Tahoori},
  title        = {Extending standard cell library for aging mitigation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {4},
  pages        = {206--212},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0142},
  doi          = {10.1049/IET-CDT.2014.0142},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KiamehrEFT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KumawatSG15,
  author       = {Renu Kumawat and
                  Vineet Sahula and
                  Manoj Singh Gaur},
  title        = {Probabilistic model for nanocell reliability evaluation in presence
                  of transient errors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {4},
  pages        = {213--220},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0124},
  doi          = {10.1049/IET-CDT.2014.0124},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KumawatSG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiuXY15,
  author       = {Qiang Liu and
                  Zhenyu Xu and
                  Ye Yuan},
  title        = {High throughput and secure advanced encryption standard on field programmable
                  gate array with fine pipelining and enhanced key expansion},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {3},
  pages        = {175--184},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0101},
  doi          = {10.1049/IET-CDT.2014.0101},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiuXY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Mokhov15,
  author       = {Andrey Mokhov},
  title        = {Algebra of switching networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {4},
  pages        = {197--205},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0135},
  doi          = {10.1049/IET-CDT.2014.0135},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Mokhov15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MomtazpourARBBG15,
  author       = {Mahmoud Momtazpour and
                  Omid Assare and
                  Negar Rahmati and
                  Amirali Boroumand and
                  Saeid Barati and
                  Maziar Goudarzi},
  title        = {Yield-driven design-time task scheduling techniques for multi-processor
                  system on chips under process variation: a comparative study},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {4},
  pages        = {221--229},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0126},
  doi          = {10.1049/IET-CDT.2014.0126},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MomtazpourARBBG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NassifT15,
  author       = {Sani R. Nassif and
                  Martin A. Trefzer},
  title        = {Editorial},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {4},
  pages        = {185--186},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2015.0036},
  doi          = {10.1049/IET-CDT.2015.0036},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NassifT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NeaguMM15,
  author       = {Madalin{-}Ioan Neagu and
                  Liviu Miclea and
                  Salvador Manich},
  title        = {Improving security in cache memory by power efficient scrambling technique},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {6},
  pages        = {283--292},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0030},
  doi          = {10.1049/IET-CDT.2014.0030},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NeaguMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NevesMCTR15,
  author       = {Nuno Neves and
                  Henrique Mendes and
                  Ricardo Chaves and
                  Pedro Tom{\'{a}}s and
                  Nuno Roma},
  title        = {Morphable hundred-core heterogeneous architecture for energy-aware
                  computation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {1},
  pages        = {49--62},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0078},
  doi          = {10.1049/IET-CDT.2014.0078},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NevesMCTR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Nunez-YanezMN15,
  author       = {Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez and
                  J. M. Moreno and
                  Dimitrios S. Nikolopoulos},
  title        = {Guest Editorial},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {1},
  pages        = {1--2},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0215},
  doi          = {10.1049/IET-CDT.2014.0215},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Nunez-YanezMN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PadoinPCBNM15,
  author       = {Edson L. Padoin and
                  La{\'{e}}rcio Lima Pilla and
                  M{\'{a}}rcio Castro and
                  Francieli Zanon Boito and
                  Philippe Olivier Alexandre Navaux and
                  Jean{-}Fran{\c{c}}ois M{\'{e}}haut},
  title        = {Performance/energy trade-off in scientific computing: the case of
                  {ARM} big.LITTLE and Intel Sandy Bridge},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {1},
  pages        = {27--35},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0074},
  doi          = {10.1049/IET-CDT.2014.0074},
  timestamp    = {Tue, 04 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PadoinPCBNM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PalumboSR15,
  author       = {Francesca Palumbo and
                  Carlo Sau and
                  Luigi Raffo},
  title        = {Coarse-grained reconfiguration: dataflow-based power management},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {1},
  pages        = {36--48},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0089},
  doi          = {10.1049/IET-CDT.2014.0089},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PalumboSR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Parhami15,
  author       = {Behrooz Parhami},
  title        = {Truncated ternary multipliers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {2},
  pages        = {101--105},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0133},
  doi          = {10.1049/IET-CDT.2013.0133},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Parhami15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PengLBWPBK15,
  author       = {Chih{-}Hsiang Peng and
                  Po{-}Chuan Lin and
                  Shovan Barma and
                  Jhing{-}Fa Wang and
                  Hong{-}Yuan Peng and
                  K. Bharanitharan and
                  Ta{-}Wen Kuan},
  title        = {Low-power enhanced system-on-chip design for sequential minimal optimisation
                  learning core with tri-layer bus and butterfly-path accelerator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {2},
  pages        = {93--100},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0153},
  doi          = {10.1049/IET-CDT.2013.0153},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PengLBWPBK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz15,
  author       = {Irith Pomeranz},
  title        = {Use of input necessary assignments for test generation based on merging
                  of test cubes},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {2},
  pages        = {106--112},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0009},
  doi          = {10.1049/IET-CDT.2014.0009},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PouyanASF15,
  author       = {Fatemeh Pouyan and
                  Ali Azarpeyvand and
                  Saeed Safari and
                  Sied Mehdi Fakhraie},
  title        = {Reliability-aware simultaneous multithreaded architecture using online
                  architectural vulnerability factor estimation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {2},
  pages        = {124--133},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0162},
  doi          = {10.1049/IET-CDT.2013.0162},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PouyanASF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RajiPG15,
  author       = {Mohsen Raji and
                  Hossein Pedram and
                  Behnam Ghavami},
  title        = {Soft error rate estimation of combinational circuits based on vulnerability
                  analysis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {6},
  pages        = {311--320},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0157},
  doi          = {10.1049/IET-CDT.2014.0157},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RajiPG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RenJSRP15,
  author       = {Rong Ren and
                  Eduardo Ju{\'{a}}rez and
                  C{\'{e}}sar Sanz and
                  Micka{\"{e}}l Raulet and
                  Fernando Pescador},
  title        = {Energy estimation models for video decoders: reconfigurable video
                  coding-CAL case-study},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {1},
  pages        = {3--15},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0087},
  doi          = {10.1049/IET-CDT.2014.0087},
  timestamp    = {Thu, 06 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RenJSRP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RoyGR15,
  author       = {Surajit Kumar Roy and
                  Chandan Giri and
                  Hafizur Rahaman},
  title        = {Optimisation of test architecture in three-dimensional stacked integrated
                  circuits for partial stack/complete stack using hard system-on-chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {5},
  pages        = {268--274},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0137},
  doi          = {10.1049/IET-CDT.2014.0137},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RoyGR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RutzigBC15,
  author       = {Mateus Beck Rutzig and
                  Antonio Carlos Schneider Beck and
                  Luigi Carro},
  title        = {Adaptive and dynamic reconfigurable multiprocessor system to improve
                  software productivity},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {1},
  pages        = {63--72},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0072},
  doi          = {10.1049/IET-CDT.2014.0072},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RutzigBC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SilvaCDDB15,
  author       = {Bruno de Abreu Silva and
                  Lucas Albers Cuminato and
                  Alexandre C. B. Delbem and
                  Pedro C. Diniz and
                  Vanderlei Bonato},
  title        = {Application-oriented cache memory configuration for energy efficiency
                  in multi-cores},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {1},
  pages        = {73--81},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0091},
  doi          = {10.1049/IET-CDT.2014.0091},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SilvaCDDB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SohofiN15,
  author       = {Hasan Sohofi and
                  Zainalabedin Navabi},
  title        = {System-level assertions: approach for electronic system-level verification},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {3},
  pages        = {142--152},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0084},
  doi          = {10.1049/IET-CDT.2014.0084},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SohofiN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TabkhiSS15,
  author       = {Hamed Tabkhi and
                  Majid Sabbagh and
                  Gunar Schirner},
  title        = {Power-efficient real-time solution for adaptive vision algorithms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {1},
  pages        = {16--26},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0075},
  doi          = {10.1049/IET-CDT.2014.0075},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TabkhiSS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TrefzerWBT15,
  author       = {Martin A. Trefzer and
                  James Alfred Walker and
                  Simon J. Bale and
                  Andrew M. Tyrrell},
  title        = {Fighting stochastic variability in a D-type flip-flop with transistor-level
                  reconfiguration},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {4},
  pages        = {190--196},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0146},
  doi          = {10.1049/IET-CDT.2014.0146},
  timestamp    = {Fri, 13 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TrefzerWBT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Trimberger15,
  author       = {Steve Trimberger},
  title        = {Defect avoidance in programmable devices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {4},
  pages        = {188--189},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0155},
  doi          = {10.1049/IET-CDT.2014.0155},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Trimberger15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WarrierRM15,
  author       = {Tripti S. Warrier and
                  Kanakagiri Raghavendra and
                  Madhu Mutyam},
  title        = {SkipCache: application aware cache management for chip multi-processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {6},
  pages        = {293--299},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0150},
  doi          = {10.1049/IET-CDT.2014.0150},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WarrierRM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WeiLH15,
  author       = {Jianghong Wei and
                  Wenfen Liu and
                  Xuexian Hu},
  title        = {Secure control protocol for universal serial bus mass storage devices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {6},
  pages        = {321--327},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0196},
  doi          = {10.1049/IET-CDT.2014.0196},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WeiLH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YemisciogluL15,
  author       = {G{\"{u}}rta{\c{c}} Yemis{\c{c}}ioglu and
                  P. Lee},
  title        = {Very-large-scale integration implementation of a 16-bit clocked adiabatic
                  logic logarithmic signal processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {5},
  pages        = {239--247},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0102},
  doi          = {10.1049/IET-CDT.2014.0102},
  timestamp    = {Tue, 04 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YemisciogluL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZareHG15,
  author       = {Mahdi Zare and
                  Shaahin Hessabi and
                  Maziar Goudarzi},
  title        = {Heuristic algorithm for periodic clock optimisation in scheduling-based
                  latency-insensitive design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {9},
  number       = {3},
  pages        = {165--174},
  year         = {2015},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0121},
  doi          = {10.1049/IET-CDT.2013.0121},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZareHG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AgostaBMP14,
  author       = {Giovanni Agosta and
                  Alessandro Barenghi and
                  Massimo Maggi and
                  Gerardo Pelosi},
  title        = {Design space extension for secure implementation of block ciphers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {6},
  pages        = {256--263},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0037},
  doi          = {10.1049/IET-CDT.2014.0037},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AgostaBMP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AmaricaiBG14,
  author       = {Alexandru Amaricai and
                  Oana Boncalo and
                  Constantina{-}Elena Gavriliu},
  title        = {Low-precision DSP-based floating-point multiply-add fused for field
                  programmable gate arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {4},
  pages        = {187--197},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0128},
  doi          = {10.1049/IET-CDT.2013.0128},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AmaricaiBG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AthanasiouMTG14,
  author       = {Georgios Athanasiou and
                  Harris E. Michail and
                  George Theodoridis and
                  Costas E. Goutis},
  title        = {Optimising the {SHA-512} cryptographic hash function on FPGAs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {2},
  pages        = {70--82},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0010},
  doi          = {10.1049/IET-CDT.2013.0010},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AthanasiouMTG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BabuSJSS14,
  author       = {Hafiz Md. Hasan Babu and
                  Nazir Saleheen and
                  Lafifa Jamal and
                  Sheikh Muhammad Sarwar and
                  Tsutomu Sasao},
  title        = {Approach to design a compact reversible low power binary comparator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {3},
  pages        = {129--139},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0066},
  doi          = {10.1049/IET-CDT.2013.0066},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BabuSJSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BeldachiHN14,
  author       = {Arash Beldachi and
                  Simon J. Hollis and
                  Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  title        = {eXtended Torus routing algorithm for networks-on-chip: a routing algorithm
                  for dynamically reconfigurable networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {3},
  pages        = {148--162},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0087},
  doi          = {10.1049/IET-CDT.2013.0087},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BeldachiHN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BeldachiN14,
  author       = {Arash Beldachi and
                  Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  title        = {Run-time power and performance scaling in 28 nm FPGAs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {4},
  pages        = {178--186},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0117},
  doi          = {10.1049/IET-CDT.2013.0117},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BeldachiN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BhattacharjeeBB14,
  author       = {Sukanta Bhattacharjee and
                  Ansuman Banerjee and
                  Bhargab B. Bhattacharya},
  title        = {Sample preparation with multiple dilutions on digital microfluidic
                  biochips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {1},
  pages        = {49--58},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0053},
  doi          = {10.1049/IET-CDT.2013.0053},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BhattacharjeeBB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Bohl14,
  author       = {Eberhard B{\"{o}}hl},
  title        = {Simple true random number generator for any semi-conductor technology},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {6},
  pages        = {239--245},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0029},
  doi          = {10.1049/IET-CDT.2014.0029},
  timestamp    = {Fri, 02 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Bohl14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChaudharyL14,
  author       = {Mandeep Chaudhary and
                  Peter Lee},
  title        = {Two-stage logarithmic converter with reduced memory requirements},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {1},
  pages        = {23--29},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0134},
  doi          = {10.1049/IET-CDT.2012.0134},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChaudharyL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChenCLKHKL14,
  author       = {Po{-}Juei Chen and
                  Chieh{-}Chih Che and
                  J. C.{-}M. Li and
                  Shuo{-}Fen Kuo and
                  Pei{-}Ying Hsueh and
                  Chun{-}Yi Kuo and
                  Jih{-}Nung Lee},
  title        = {Physical-aware systematic multiple defect diagnosis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {5},
  pages        = {199--209},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0104},
  doi          = {10.1049/IET-CDT.2013.0104},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChenCLKHKL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/CilardoBM14,
  author       = {Alessandro Cilardo and
                  Mario Barbareschi and
                  Antonino Mazzeo},
  title        = {Secure distribution infrastructure for hardware digital contents},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {6},
  pages        = {300--310},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0036},
  doi          = {10.1049/IET-CDT.2014.0036},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/CilardoBM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ColombierB14,
  author       = {Brice Colombier and
                  Lilian Bossuet},
  title        = {Survey of hardware protection of design data for integrated circuits
                  and intellectual properties},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {6},
  pages        = {274--287},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0028},
  doi          = {10.1049/IET-CDT.2014.0028},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ColombierB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Dueck14,
  author       = {Gerhard W. Dueck},
  title        = {Challenges and advances in Toffoli network optimisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {4},
  pages        = {172--177},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0055},
  doi          = {10.1049/IET-CDT.2013.0055},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Dueck14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FarahaniB14,
  author       = {Mostafa Farahani and
                  Amirali Baniasadi},
  title        = {Column selection solutions for {L1} data caches implemented using
                  eight-transistor cells},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {3},
  pages        = {118--128},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0109},
  doi          = {10.1049/IET-CDT.2013.0109},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FarahaniB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Halak14,
  author       = {Basel Halak},
  title        = {Partial coding algorithm for area and energy efficient crosstalk avoidance
                  codes implementation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {2},
  pages        = {97--107},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0113},
  doi          = {10.1049/IET-CDT.2013.0113},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Halak14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JaberipurA14,
  author       = {Ghassem Jaberipur and
                  HamidReza Ahmadifar},
  title        = {A ROM-less reverse {RNS} converter for moduli set \{2\({}^{\mbox{q}}\){\(\pm\)}1,
                  2\({}^{\mbox{q}}\){\(\pm\)}3\}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {1},
  pages        = {11--22},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0148},
  doi          = {10.1049/IET-CDT.2012.0148},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JaberipurA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JacobMHS14,
  author       = {Nisha Jacob and
                  Dominik Merli and
                  Johann Heyszl and
                  Georg Sigl},
  title        = {Hardware Trojans: current challenges and approaches},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {6},
  pages        = {264--273},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0039},
  doi          = {10.1049/IET-CDT.2014.0039},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JacobMHS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JangKC14,
  author       = {Cheoljon Jang and
                  Jaehwan Kim and
                  Jong{-}Wha Chong},
  title        = {Power-aware floorplanning-based power throughsilicon- via technology
                  and bump minimisation for three-dimensional power delivery network},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {5},
  pages        = {210--218},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0118},
  doi          = {10.1049/IET-CDT.2013.0118},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JangKC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LenjaniH14,
  author       = {Marzieh Lenjani and
                  Mahmoud Reza Hashemi},
  title        = {Tree-based scheme for reducing shared cache miss rate leveraging regional,
                  statistical and temporal similarities},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {1},
  pages        = {30--48},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0066},
  doi          = {10.1049/IET-CDT.2011.0066},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LenjaniH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MarchandF14,
  author       = {C{\'{e}}dric Marchand and
                  Julien Francq},
  title        = {Low-level implementation and side-channel detection of stealthy hardware
                  trojans on field programmable gate arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {6},
  pages        = {246--255},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0034},
  doi          = {10.1049/IET-CDT.2014.0034},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MarchandF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PolianT14,
  author       = {Ilia Polian and
                  Mohammad Tehranipoor},
  title        = {Guest Editorial},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {6},
  pages        = {237--238},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0194},
  doi          = {10.1049/IET-CDT.2014.0194},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PolianT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz14,
  author       = {Irith Pomeranz},
  title        = {Reducing the input test data volume under transparent scan},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {1},
  pages        = {1--10},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0067},
  doi          = {10.1049/IET-CDT.2013.0067},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz14a,
  author       = {Irith Pomeranz},
  title        = {Multi-cycle broadside tests with runs of constant primary input vectors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {2},
  pages        = {90--96},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0101},
  doi          = {10.1049/IET-CDT.2013.0101},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz14a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RoyBGC14,
  author       = {Sudip Roy and
                  Bhargab B. Bhattacharya and
                  Sarmishtha Ghoshal and
                  Krishnendu Chakrabarty},
  title        = {High-throughput dilution engine for sample preparation on digital
                  microfluidic biochips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {4},
  pages        = {163--171},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0060},
  doi          = {10.1049/IET-CDT.2013.0060},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RoyBGC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SaqibAAP14,
  author       = {Fareena Saqib and
                  Matthew Areno and
                  Jim Aarestad and
                  James F. Plusquellic},
  title        = {{ASIC} implementation of a hardware-embedded physical unclonable function},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {6},
  pages        = {288--299},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0042},
  doi          = {10.1049/IET-CDT.2014.0042},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SaqibAAP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SheL14,
  author       = {Xiaoxuan She and
                  Ningxi Li},
  title        = {Single event transient tolerant frequency divider},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {3},
  pages        = {140--147},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0132},
  doi          = {10.1049/IET-CDT.2013.0132},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SheL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SongLGL14,
  author       = {Changhe Song and
                  Yunsong Li and
                  Jie Guo and
                  Jie Lei},
  title        = {Block-based two-dimensional wavelet transform running on graphics
                  processing unit},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {5},
  pages        = {229--236},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0141},
  doi          = {10.1049/IET-CDT.2013.0141},
  timestamp    = {Tue, 29 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SongLGL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SubohNBGE14,
  author       = {Suboh A. Suboh and
                  Vikram K. Narayana and
                  Mohamed Bakhouya and
                  Jaafar Gaber and
                  Tarek A. El{-}Ghazawi},
  title        = {Methodology for adapting on-chip interconnect architectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {3},
  pages        = {109--117},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0021},
  doi          = {10.1049/IET-CDT.2013.0021},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SubohNBGE14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/UngureanGG14,
  author       = {Ioan Ungurean and
                  Vasile Gheorghita Gaitan and
                  Nicoleta{-}Cristina Gaitan},
  title        = {Intensive computing on a large data volume with a short-vector single
                  instruction multiple data processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {5},
  pages        = {219--228},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0149},
  doi          = {10.1049/IET-CDT.2013.0149},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/UngureanGG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ValentiDF14,
  author       = {L. Valenti and
                  Marcello Dalpasso and
                  Michele Favalli},
  title        = {Efficient testing of multi-output combinational cells in nano-complementary
                  metal oxide semiconductor integrated circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {2},
  pages        = {83--89},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0077},
  doi          = {10.1049/IET-CDT.2013.0077},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ValentiDF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XinNNHW14,
  author       = {Chen Xin and
                  Wu Ning and
                  Bai Na and
                  Huang Hui and
                  Hu Wei},
  title        = {Built-in self test design of power switch with clock-gated charge/discharge
                  transistor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {2},
  pages        = {59--69},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0081},
  doi          = {10.1049/IET-CDT.2013.0081},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XinNNHW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AcasandreiB13,
  author       = {Laurentiu Acasandrei and
                  Angel Barriga},
  title        = {{AMBA} bus hardware accelerator {IP} for Viola-Jones face detection},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {5},
  pages        = {200--209},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0118},
  doi          = {10.1049/IET-CDT.2012.0118},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AcasandreiB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AnumandlaPSUA13,
  author       = {Kiran Kumar Anumandla and
                  Rangababu Peesapati and
                  Samrat L. Sabat and
                  Siba K. Udgata and
                  Ajith Abraham},
  title        = {Field programmable gate arrays-based differential evolution coprocessor:
                  a case study of spectrum allocation in cognitive radio network},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {5},
  pages        = {221--234},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0109},
  doi          = {10.1049/IET-CDT.2012.0109},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AnumandlaPSUA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AthanasiouMTG13,
  author       = {George Athanasiou and
                  Harris E. Michail and
                  George Theodoridis and
                  Costas E. Goutis},
  title        = {High-performance {FPGA} implementations of the cryptographic hash
                  function {JH}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {1},
  pages        = {29--40},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0070},
  doi          = {10.1049/IET-CDT.2012.0070},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AthanasiouMTG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AzampanahKBJS13,
  author       = {Sanaz Azampanah and
                  Ahmad Khademzadeh and
                  Nader Bagherzadeh and
                  Majid Janidarmian and
                  Reza Shojaee},
  title        = {Contention-aware selection strategy for application-specific network-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {3},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0173},
  doi          = {10.1049/IET-CDT.2011.0173},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AzampanahKBJS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AzarkhishLB13,
  author       = {Erfan Azarkhish and
                  Igor Loi and
                  Luca Benini},
  title        = {A case for three-dimensional stacking of tightly coupled data memories
                  over multi-core clusters using low-latency interconnects},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {5},
  pages        = {191--199},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0031},
  doi          = {10.1049/IET-CDT.2013.0031},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AzarkhishLB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BroomheadFJ13,
  author       = {David S. Broomhead and
                  Steve B. Furber and
                  Marianne Johnson},
  title        = {Algebraic approach to time borrowing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {1},
  pages        = {1--10},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0103},
  doi          = {10.1049/IET-CDT.2012.0103},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BroomheadFJ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DahirMAY13,
  author       = {Nizar Dahir and
                  Terrence S. T. Mak and
                  Ra'ed Al{-}Dujaily and
                  Alex Yakovlev},
  title        = {Highly adaptive and deadlock-free routing for three-dimensional networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {255--263},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0029},
  doi          = {10.1049/IET-CDT.2013.0029},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DahirMAY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DasRR13,
  author       = {Nachiketa Das and
                  Pranab Roy and
                  Hafizur Rahaman},
  title        = {Built-in-self-test technique for diagnosis of delay faults in cluster-based
                  field programmable gate arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {5},
  pages        = {210--220},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0111},
  doi          = {10.1049/IET-CDT.2012.0111},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DasRR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DuganRBF13,
  author       = {Kier Dugan and
                  Jeffrey S. Reeve and
                  Andrew D. Brown and
                  Stephen B. Furber},
  title        = {Interconnection system for the spiNNaker biologically inspired multi-computer},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {3},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0139},
  doi          = {10.1049/IET-CDT.2012.0139},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DuganRBF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Ebrahimi13,
  author       = {Masoumeh Ebrahimi},
  title        = {Fully adaptive routing algorithms and region-based approaches for
                  two-dimensional and three-dimensional networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {264--273},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0034},
  doi          = {10.1049/IET-CDT.2013.0034},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Ebrahimi13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HassanpourHH13,
  author       = {Neda Hassanpour and
                  Shaahin Hessabi and
                  Parisa Khadem Hamedani},
  title        = {Temperature control in three-network on chips using task migration},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {274--281},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0016},
  doi          = {10.1049/IET-CDT.2013.0016},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HassanpourHH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JangKAC13,
  author       = {Cheoljon Jang and
                  Jaehwan Kim and
                  Byung{-}Gyu Ahn and
                  Jongwha Chong},
  title        = {Power bumps and through-silicon-vias placement with optimised power
                  mesh structure for power delivery network in three-dimensional-integrated
                  circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {1},
  pages        = {11--20},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0047},
  doi          = {10.1049/IET-CDT.2012.0047},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JangKAC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JokanovicSRMBL13,
  author       = {Ana Jokanovic and
                  Jos{\'{e}} Carlos Sancho and
                  Germ{\'{a}}n Rodr{\'{\i}}guez and
                  Cyriel Minkenberg and
                  Ram{\'{o}}n Beivide and
                  Jes{\'{u}}s Labarta},
  title        = {On the trade-off of mixing scientific applications on capacity high-performance
                  computing systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {2},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0059},
  doi          = {10.1049/IET-CDT.2012.0059},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JokanovicSRMBL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JoshiVM13,
  author       = {Arpit Joshi and
                  Prasanna Venkatesh Rengasamy and
                  Madhu Mutyam},
  title        = {Prevention slot flow-control mechanism for low latency torus network-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {304--316},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0012},
  doi          = {10.1049/IET-CDT.2013.0012},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JoshiVM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KarkarTTAMYX13,
  author       = {Ammar Karkar and
                  Janice E. Turner and
                  Kenneth Tong and
                  Ra'ed Al{-}Dujaily and
                  Terrence S. T. Mak and
                  Alex Yakovlev and
                  Fei Xia},
  title        = {Hybrid wire-surface wave interconnects for next-generation networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {294--303},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0030},
  doi          = {10.1049/IET-CDT.2013.0030},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KarkarTTAMYX13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KhaliliZ13,
  author       = {Fatemeh Khalili and
                  Hamid R. Zarandi},
  title        = {A fault-tolerant core mapping technique in networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {238--245},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0032},
  doi          = {10.1049/IET-CDT.2013.0032},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KhaliliZ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KimKYS13,
  author       = {Han{-}Yee Kim and
                  Young{-}Hwan Kim and
                  Heon{-}Chang Yu and
                  Taeweon Suh},
  title        = {Performance evaluation of many-core systems: case study with TILEPro64},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {4},
  pages        = {143--154},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0101},
  doi          = {10.1049/IET-CDT.2012.0101},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KimKYS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KumarAL13,
  author       = {T. Nandha Kumar and
                  Haider A. F. Almurib and
                  Fabrizio Lombardi},
  title        = {Single-configuration fault detection in applicationdependent testing
                  of field programmable gate array interconnects},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {3},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0117},
  doi          = {10.1049/IET-CDT.2012.0117},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KumarAL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LeeCWC13,
  author       = {Cheng{-}Chi Lee and
                  Chi{-}Tung Chen and
                  Ping{-}Hsien Wu and
                  Te{-}Yu Chen},
  title        = {Three-factor control protocol based on elliptic curve cryptosystem
                  for universal serial bus mass storage devices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {1},
  pages        = {48--56},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0073},
  doi          = {10.1049/IET-CDT.2012.0073},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LeeCWC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LoddeRF13,
  author       = {Mario Lodde and
                  Antoni Roca and
                  Jos{\'{e}} Flich},
  title        = {Built-in fast gather control network for efficient support of coherence
                  protocols},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {2},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0056},
  doi          = {10.1049/IET-CDT.2012.0056},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LoddeRF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MbarekPA13,
  author       = {Ons Mbarek and
                  Alain Pegatoquet and
                  Michel Auguin},
  title        = {Power domain management interface: flexible protocol interface for
                  transaction-level power domain management},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {4},
  pages        = {155--166},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0107},
  doi          = {10.1049/IET-CDT.2012.0107},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MbarekPA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MoaiyeriMDNH13,
  author       = {Mohammad Hossein Moaiyeri and
                  Reza Faghih Mirzaee and
                  Akbar Doostaregan and
                  Keivan Navi and
                  Omid Hashemipour},
  title        = {A universal method for designing low-power carbon nanotube FET-based
                  multiple-valued logic circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {4},
  pages        = {167--181},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0023},
  doi          = {10.1049/IET-CDT.2013.0023},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MoaiyeriMDNH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MorganEEG13,
  author       = {Ahmed A. Morgan and
                  Haytham Elmiligi and
                  M. Watheq El{-}Kharashi and
                  Fayez Gebali},
  title        = {Unified multi-objective mapping and architecture customisation of
                  networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {282--293},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0017},
  doi          = {10.1049/IET-CDT.2013.0017},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MorganEEG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomante13,
  author       = {Luigi Pomante},
  title        = {{HW/SW} co-design of dedicated heterogeneous parallel systems: an
                  extended design space exploration approach},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {246--254},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0026},
  doi          = {10.1049/IET-CDT.2013.0026},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomante13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz13,
  author       = {Irith Pomeranz},
  title        = {Static test compaction for mixed broadside and skewed-load transition
                  fault test sets},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {1},
  pages        = {21--28},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0081},
  doi          = {10.1049/IET-CDT.2012.0081},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz13a,
  author       = {Irith Pomeranz},
  title        = {On multi-cycle test cubes},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {4},
  pages        = {182--189},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0140},
  doi          = {10.1049/IET-CDT.2012.0140},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz13a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SasakiNNOK13,
  author       = {Takahiro Sasaki and
                  Tomoyuki Nakabayashi and
                  Kazumasa Nomura and
                  Kazuhiko Ohno and
                  Toshio Kondo},
  title        = {Design and evaluation of fine-grain-mode transition method based on
                  dynamic memory access analysing for variable stages pipeline processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {1},
  pages        = {41--47},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0067},
  doi          = {10.1049/IET-CDT.2012.0067},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SasakiNNOK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/StranoCTB13,
  author       = {Alessandro Strano and
                  Nicola Caselli and
                  Simone Terenzi and
                  Davide Bertozzi},
  title        = {Optimising pseudo-random built-in self-testing of fully synchronous
                  as well as multisynchronous networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {2},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0064},
  doi          = {10.1049/IET-CDT.2012.0064},
  timestamp    = {Fri, 17 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/StranoCTB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VakiliLB13,
  author       = {Shervin Vakili and
                  J. M. Pierre Langlois and
                  Guy Bois},
  title        = {Customised soft processor design: a compromise between architecture
                  description languages and parameterisable processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {3},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0088},
  doi          = {10.1049/IET-CDT.2012.0088},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VakiliLB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VitkovskiySN13,
  author       = {Arseniy Vitkovskiy and
                  Vassos Soteriou and
                  Chrysostomos Nicopoulos},
  title        = {Dynamic fault-tolerant routing algorithm for networks-on-chip based
                  on localised detouring paths},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {2},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0054},
  doi          = {10.1049/IET-CDT.2012.0054},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VitkovskiySN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlhussienWB12,
  author       = {Abdulaziz Alhussien and
                  Chifeng Wang and
                  Nader Bagherzadeh},
  title        = {Design and evaluation of a high throughput robust router for network-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {3},
  pages        = {173--179},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0082},
  doi          = {10.1049/IET-CDT.2011.0082},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlhussienWB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AsadiniaMS12,
  author       = {Marjan Asadinia and
                  Mehdi Modarressi and
                  Hamid Sarbazi{-}Azad},
  title        = {Supporting non-contiguous processor allocation in mesh-based chip
                  multiprocessors using virtual point-to-point links},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {5},
  pages        = {302--317},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0065},
  doi          = {10.1049/IET-CDT.2011.0065},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AsadiniaMS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BareisaJMS12,
  author       = {Eduardas Bareisa and
                  Vacius Jusas and
                  Kestutis Motiejunas and
                  Rimantas Seinauskas},
  title        = {Evaluation of testability enhancement using software prototype},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {3},
  pages        = {166--172},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0095},
  doi          = {10.1049/IET-CDT.2011.0095},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BareisaJMS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BrownMRW12,
  author       = {Andrew D. Brown and
                  D. J. D. Milton and
                  Andrew J. Rushton and
                  Peter R. Wilson},
  title        = {Behavioural synthesis utilising recursive definitions},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {6},
  pages        = {362--369},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0006},
  doi          = {10.1049/IET-CDT.2012.0006},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BrownMRW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BurnsBKY12,
  author       = {Frank P. Burns and
                  Alexandre V. Bystrov and
                  Albert Koelmans and
                  Alex Yakovlev},
  title        = {Design and security evaluation of balanced 1-of-n circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {2},
  pages        = {125--135},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0042},
  doi          = {10.1049/IET-CDT.2010.0042},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BurnsBKY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChenCW12,
  author       = {Y.{-}H. Chen and
                  C.{-}L. Chang and
                  Charles H.{-}P. Wen},
  title        = {Diagnostic test-pattern generation targeting open-segment defects
                  and its diagnosis flow},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {3},
  pages        = {186--193},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0121},
  doi          = {10.1049/IET-CDT.2011.0121},
  timestamp    = {Sun, 03 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChenCW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChenHK12,
  author       = {Dongdong Chen and
                  Liu Han and
                  Seok{-}Bum Ko},
  title        = {Decimal floating-point antilogarithmic converter based on selection
                  by rounding: algorithm and architecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {5},
  pages        = {277--289},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0089},
  doi          = {10.1049/IET-CDT.2011.0089},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChenHK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DesmouliersOASM12,
  author       = {Christophe Desmouliers and
                  Erdal Oruklu and
                  Semih Aslan and
                  Jafar Saniie and
                  Fernando Martinez{-}Vallina},
  title        = {Image and video processing platform for field programmable gate arrays
                  using a high-level synthesis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {6},
  pages        = {414--425},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0156},
  doi          = {10.1049/IET-CDT.2011.0156},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DesmouliersOASM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DuhemML12,
  author       = {Fran{\c{c}}ois Duhem and
                  Fabrice Muller and
                  Philippe Lorenzini},
  title        = {Reconfiguration time overhead on field programmable gate arrays: reduction
                  and cost model},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {2},
  pages        = {105--113},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0033},
  doi          = {10.1049/IET-CDT.2011.0033},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DuhemML12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FilhoD12,
  author       = {Antonio Lopes Filho and
                  Roberto d'Amore},
  title        = {Analysis of the error susceptibility of a field programmable gate
                  array-based image compressor through random event injection simulation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {3},
  pages        = {160--165},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0056},
  doi          = {10.1049/IET-CDT.2011.0056},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FilhoD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GaoACL12,
  author       = {Shuli Gao and
                  Dhamin Al{-}Khalili and
                  Noureddine Chabini and
                  J. M. Pierre Langlois},
  title        = {Asymmetric large size multipliers with optimised {FPGA} resource utilisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {6},
  pages        = {372--383},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0146},
  doi          = {10.1049/IET-CDT.2011.0146},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GaoACL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GellertCVF12,
  author       = {Arpad Gellert and
                  Horia Calborean and
                  Lucian Vintan and
                  Adrian Florea},
  title        = {Multi-objective optimisations for a superscalar architecture with
                  selective value prediction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {4},
  pages        = {205--213},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0116},
  doi          = {10.1049/IET-CDT.2011.0116},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GellertCVF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HosseinabadyN12,
  author       = {Mohammad Hosseinabady and
                  Jos{\'{e}} Luis N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  title        = {Run-time stochastic task mapping on a large scale network-on-chip
                  with dynamically reconfigurable tiles},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {1},
  pages        = {1--11},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0097},
  doi          = {10.1049/IET-CDT.2010.0097},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HosseinabadyN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HosseinabadyN12a,
  author       = {Mohammad Hosseinabady and
                  Jos{\'{e}} Luis N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  title        = {Fast and low overhead architectural transaction level modelling for
                  large-scale network-on-chip simulation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {6},
  pages        = {384--395},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0001},
  doi          = {10.1049/IET-CDT.2012.0001},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HosseinabadyN12a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HuCBB12,
  author       = {W.{-}H. Hu and
                  C.{-}Y. Chen and
                  Jun Ho Bahn and
                  Nader Bagherzadeh},
  title        = {Parallel low-density parity check decoding on a network-on-chip-based
                  multiprocessor platform},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {2},
  pages        = {86--94},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0177},
  doi          = {10.1049/IET-CDT.2010.0177},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HuCBB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HurGMW12,
  author       = {Jae Young Hur and
                  Kees Goossens and
                  Lotfi Mhamdi and
                  Muhammad Aqeel Wahlah},
  title        = {Comparative analysis of soft and hard on-chip interconnects for field-programmable
                  gate arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {6},
  pages        = {396--405},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0169},
  doi          = {10.1049/IET-CDT.2011.0169},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HurGMW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HurSWG12,
  author       = {Jae Young Hur and
                  Todor P. Stefanov and
                  Stephan Wong and
                  Kees Goossens},
  title        = {Customisation of on-chip network interconnects and experiments in
                  field-programmable gate arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {1},
  pages        = {59--68},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0105},
  doi          = {10.1049/IET-CDT.2010.0105},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HurSWG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JaberipurP12,
  author       = {Ghassem Jaberipur and
                  Behrooz Parhami},
  title        = {Efficient realisation of arithmetic algorithms with weighted collection
                  of posibits and negabits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {5},
  pages        = {259--268},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0059},
  doi          = {10.1049/IET-CDT.2011.0059},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JaberipurP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JovanovicM12,
  author       = {Zeljko Jovanovic and
                  Veljko M. Milutinovic},
  title        = {{FPGA} accelerator for floating-point matrix multiplication},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {4},
  pages        = {249--256},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0132},
  doi          = {10.1049/IET-CDT.2011.0132},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JovanovicM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KobayashiTT12,
  author       = {Katsuki Kobayashi and
                  Naofumi Takagi and
                  Kazuyoshi Takagi},
  title        = {Fast inversion algorithm in GF(2\({}^{\mbox{m}}\)) suitable for implementation
                  with a polynomial multiply instruction on {GF(2)}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {3},
  pages        = {180--185},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0006},
  doi          = {10.1049/IET-CDT.2010.0006},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KobayashiTT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LangN12,
  author       = {Tom{\'{a}}s Lang and
                  Alberto Nannarelli},
  title        = {Comments on 'improving the speed of decimal division'},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {6},
  pages        = {370--371},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0090},
  doi          = {10.1049/IET-CDT.2012.0090},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LangN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LeeVC12,
  author       = {J.{-}S. Lee and
                  S. Venkateswaran and
                  M. Choi},
  title        = {Efficient post-configuration testing of an asynchronous nanowire crossbar
                  system for reliability},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {4},
  pages        = {214--222},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0025},
  doi          = {10.1049/IET-CDT.2011.0025},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LeeVC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MitraC12,
  author       = {A. Mitra and
                  Santanu Chattopadhyay},
  title        = {Variable ordering for shared binary decision diagrams targeting node
                  count and path length optimisation using particle swarm technique},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {6},
  pages        = {353--361},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0051},
  doi          = {10.1049/IET-CDT.2011.0051},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MitraC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MitraGD12,
  author       = {Srobona Mitra and
                  Priyankar Ghosh and
                  Pallab Dasgupta},
  title        = {Verification by parts: reusing component invariant checking results},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {1},
  pages        = {19--32},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0048},
  doi          = {10.1049/IET-CDT.2010.0048},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MitraGD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MohantyK12,
  author       = {Saraju P. Mohanty and
                  Elias Kougianos},
  title        = {Design of experiments and integer linear programming-assisted conjugate-gradient
                  optimisation of high-{\(\kappa\)}/metal-gate nano-complementary metal-oxide
                  semiconductor static random access memory},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {4},
  pages        = {240--248},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0166},
  doi          = {10.1049/IET-CDT.2011.0166},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MohantyK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NakabayashiSOK12,
  author       = {Tomoyuki Nakabayashi and
                  Takahiro Sasaki and
                  I. K. Ohno and
                  Toshio Kondo},
  title        = {Design and evaluation of variable stages pipeline processor with low-energy
                  techniques},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {1},
  pages        = {43--49},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0027},
  doi          = {10.1049/IET-CDT.2011.0027},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NakabayashiSOK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NedjahMSR12,
  author       = {Nadia Nedjah and
                  Luiza de Macedo Mourelle and
                  Marcos Santana and
                  S{\'{e}}rgio de Souza Raposo},
  title        = {Massively parallel modular exponentiation method and its implementation
                  in software and hardware for high-performance cryptographic systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {5},
  pages        = {290--301},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0074},
  doi          = {10.1049/IET-CDT.2011.0074},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NedjahMSR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz12,
  author       = {Irith Pomeranz},
  title        = {Test vector chains for increased resolution and reduced storage of
                  diagnostic tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {1},
  pages        = {12--18},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0173},
  doi          = {10.1049/IET-CDT.2010.0173},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz12a,
  author       = {Irith Pomeranz},
  title        = {Undetectable transition faults under broadside tests with constant
                  primary input vectors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {2},
  pages        = {78--85},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0097},
  doi          = {10.1049/IET-CDT.2011.0097},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz12a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Pomeranz12b,
  author       = {Irith Pomeranz},
  title        = {Functional broadside tests for embedded logic blocks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {4},
  pages        = {223--231},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0163},
  doi          = {10.1049/IET-CDT.2011.0163},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Pomeranz12b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR12,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Reset and partial-reset-based functional broadside tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {4},
  pages        = {232--239},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0131},
  doi          = {10.1049/IET-CDT.2011.0131},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SaeedS12,
  author       = {Samah Mohamed Saeed and
                  Ozgur Sinanoglu},
  title        = {Multi-modal response compaction adaptive to x-density variation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {2},
  pages        = {69--77},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0104},
  doi          = {10.1049/IET-CDT.2011.0104},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SaeedS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SchaferW12,
  author       = {Benjamin Carri{\'{o}}n Sch{\"{a}}fer and
                  Kazutoshi Wakabayashi},
  title        = {Machine learning predictive modelling high-level synthesis design
                  space exploration},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {3},
  pages        = {153--159},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0115},
  doi          = {10.1049/IET-CDT.2011.0115},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SchaferW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SrinivasanCS12,
  author       = {Sudarshan K. Srinivasan and
                  Y. Cai and
                  Koushik Sarker},
  title        = {Refinement-based verification of elastic pipelined systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {2},
  pages        = {136--152},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0023},
  doi          = {10.1049/IET-CDT.2010.0023},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SrinivasanCS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TimarchiF12,
  author       = {Somayeh Timarchi and
                  Mahmood Fazlali},
  title        = {Generalised fault-tolerant stored-unibit-transfer residue number system
                  multiplier for moduli set \{2\({}^{\mbox{n}}\) - 1, 2\({}^{\mbox{n}}\),
                  2\({}^{\mbox{n}}\) + 1\}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {5},
  pages        = {269--276},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0075},
  doi          = {10.1049/IET-CDT.2011.0075},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TimarchiF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TosunAO12,
  author       = {Suleyman Tosun and
                  Yilmaz Ar and
                  Suat Ozdemir},
  title        = {Application-specific topology generation algorithms for network-on-chip
                  design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {5},
  pages        = {318--333},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0080},
  doi          = {10.1049/IET-CDT.2011.0080},
  timestamp    = {Mon, 22 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TosunAO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TuunaIT12,
  author       = {Sampo Tuuna and
                  Jouni Isoaho and
                  Hannu Tenhunen},
  title        = {Skewing-based method for reduction of functional crosstalk and power
                  supply noise caused by on-chip buses},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {2},
  pages        = {114--124},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0060},
  doi          = {10.1049/IET-CDT.2010.0060},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TuunaIT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/UhrigJU12,
  author       = {Sascha Uhrig and
                  Ralf Jahr and
                  Theo Ungerer},
  title        = {Advanced architecture optimisation and performance analysis of a reconfigurable
                  grid {ALU} processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {5},
  pages        = {334--341},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0091},
  doi          = {10.1049/IET-CDT.2011.0091},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/UhrigJU12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ValaeeA12,
  author       = {Ali Valaee and
                  A. J. Al{-}Khalili},
  title        = {High-performance low-power sensing scheme for nanoscale SRAMs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {6},
  pages        = {406--413},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0038},
  doi          = {10.1049/IET-CDT.2012.0038},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ValaeeA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VasiljevicY12,
  author       = {Jasmina Vasiljevic and
                  Andy Gean Ye},
  title        = {Effect of scaling on the area and performance of the {H.264/AVC} full-search
                  fractional motion estimation algorithm on field-programmable gate
                  arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {2},
  pages        = {95--104},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0167},
  doi          = {10.1049/IET-CDT.2010.0167},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VasiljevicY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VoyiatzisEAM12,
  author       = {Ioannis Voyiatzis and
                  Costas Efstathiou and
                  Hera Antonopoulou and
                  Athanasios Milidonis},
  title        = {Arithmetic module-based built-in self test architecture for two-pattern
                  testing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {4},
  pages        = {195--204},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0061},
  doi          = {10.1049/IET-CDT.2010.0061},
  timestamp    = {Sat, 10 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VoyiatzisEAM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangHZ12,
  author       = {Shuai Wang and
                  Jie S. Hu and
                  Sotirios G. Ziavras},
  title        = {Exploring branch target buffer access filtering for low-energy and
                  high-performance microarchitectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {1},
  pages        = {50--58},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0102},
  doi          = {10.1049/IET-CDT.2010.0102},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangHZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XiaMZCMSSY12,
  author       = {Fei Xia and
                  Andrey Mokhov and
                  Yu Zhou and
                  Yifan Chen and
                  Isi Mitrani and
                  Delong Shang and
                  Danil Sokolov and
                  Alexandre Yakovlev},
  title        = {Towards power-elastic systems through concurrency management},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {1},
  pages        = {33--42},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0091},
  doi          = {10.1049/IET-CDT.2010.0091},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XiaMZCMSSY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZareHG12,
  author       = {Mahdi Zare and
                  Shaahin Hessabi and
                  Maziar Goudarzi},
  title        = {Throughput enhancement for repetitive internal cores in latency-insensitive
                  systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {5},
  pages        = {342--352},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2011.0064},
  doi          = {10.1049/IET-CDT.2011.0064},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZareHG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AkgunRS11,
  author       = {Omer Can Akgun and
                  Joachim Neves Rodrigues and
                  Jens Spars{\o}},
  title        = {Energy-minimum sub-threshold self-timed circuits using current-sensing
                  completion detection},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {342--353},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0118},
  doi          = {10.1049/IET-CDT.2010.0118},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AkgunRS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlmukhaizimS11,
  author       = {Sobeeh Almukhaizim and
                  Ozgur Sinanoglu},
  title        = {Novel hazard-free majority voter for n-modular redundancy-based fault
                  tolerance in asynchronous circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {306--315},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0075},
  doi          = {10.1049/IET-CDT.2009.0075},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlmukhaizimS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AmbroseRPI11,
  author       = {Jude Angelo Ambrose and
                  Roshan G. Ragel and
                  Sri Parameswaran and
                  Aleksandar Ignjatovic},
  title        = {Multiprocessor information concealment architecture to prevent power
                  analysis-based side channel attacks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {1--15},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0097},
  doi          = {10.1049/IET-CDT.2009.0097},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AmbroseRPI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AngelopoulouBC11,
  author       = {Maria E. Angelopoulou and
                  Christos{-}Savvas Bouganis and
                  Peter Y. K. Cheung},
  title        = {Blur identification with assumption validation for sensor-based video
                  reconstruction and its implementation on field programmable gate array},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {271--286},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0053},
  doi          = {10.1049/IET-CDT.2009.0053},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AngelopoulouBC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ApolloniCCPPT11,
  author       = {Rub{\'{e}}n Apolloni and
                  Daniel Chaver and
                  Fernando Castro and
                  Luis Pi{\~{n}}uel and
                  Manuel Prieto and
                  Francisco Tirado},
  title        = {Hybrid timing-address oriented load-store queue filtering for an x86
                  architecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {145--157},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0004},
  doi          = {10.1049/IET-CDT.2010.0004},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ApolloniCCPPT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BanerjeeSS11,
  author       = {Pritha Banerjee and
                  Debasri Saha and
                  Susmita Sur{-}Kolay},
  title        = {Cone-based placement for field programmable gate arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {49--62},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0058},
  doi          = {10.1049/IET-CDT.2009.0058},
  timestamp    = {Sat, 02 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BanerjeeSS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Casu11,
  author       = {Mario R. Casu},
  title        = {Half-buffer retiming and token cages for synchronous elastic circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {318--330},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0116},
  doi          = {10.1049/IET-CDT.2010.0116},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Casu11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChabiniW11,
  author       = {Noureddine Chabini and
                  Marilyn Wolf},
  title        = {Reordering the assembly instructions in basic blocks to reduce switching
                  activities on the instruction bus},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {386--392},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0024},
  doi          = {10.1049/IET-CDT.2010.0024},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChabiniW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChenT11,
  author       = {Kuan{-}Neng Chen and
                  Chuan Seng Tan},
  title        = {Integration schemes and enabling technologies for three-dimensional
                  integrated circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {160--168},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0127},
  doi          = {10.1049/IET-CDT.2009.0127},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChenT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DongWXCL11,
  author       = {Xiangyu Dong and
                  Xiaoxia Wu and
                  Yuan Xie and
                  Yiran Chen and
                  Hai (Helen) Li},
  title        = {Stacking magnetic random access memory atop microprocessors: an architecture-level
                  evaluation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {213--220},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0091},
  doi          = {10.1049/IET-CDT.2009.0091},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DongWXCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DotanLL11,
  author       = {Yocheved Dotan and
                  Nadav Levison and
                  David J. Lilja},
  title        = {Fault tolerance for nanotechnology devices at the bit and module levels
                  with history index of correct computation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {221--230},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0009},
  doi          = {10.1049/IET-CDT.2010.0009},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DotanLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HalakY11,
  author       = {Basel Halak and
                  Alexandre Yakovlev},
  title        = {Statistical analysis of crosstalk-induced errors for on-chip interconnects},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {104--112},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0054},
  doi          = {10.1049/IET-CDT.2009.0054},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HalakY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HungL11,
  author       = {Chia{-}Ming Hung and
                  Youn{-}Long Lin},
  title        = {Three-dimensional integrated circuits implementation of multiple applications
                  emphasising manufacture reuse},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {179--185},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0118},
  doi          = {10.1049/IET-CDT.2009.0118},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HungL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JagadeeshSL11,
  author       = {George Rosario Jagadeesh and
                  Thambipillai Srikanthan and
                  C. M. Lim},
  title        = {Field programmable gate array-based acceleration of shortest-path
                  computation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {231--237},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0072},
  doi          = {10.1049/IET-CDT.2009.0072},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JagadeeshSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JainAPJ11,
  author       = {Ankur Jain and
                  Syed M. Alam and
                  Scott Pozder and
                  Robert E. Jones},
  title        = {Thermal-electrical co-optimisation of floorplanning of three-dimensional
                  integrated circuits under manufacturing and physical design constraints},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {169--178},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0107},
  doi          = {10.1049/IET-CDT.2009.0107},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JainAPJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JassaniUA11,
  author       = {B. A. Al Jassani and
                  Neil Urquhart and
                  A. E. A. Almaini},
  title        = {State assignment for sequential circuits using multi-objective genetic
                  algorithm},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {296--305},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0045},
  doi          = {10.1049/IET-CDT.2010.0045},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JassaniUA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JooyaBA11,
  author       = {A. Zolfaghari Jooya and
                  Amirali Baniasadi and
                  M. Analoui},
  title        = {History-aware, resource-based dynamic scheduling for heterogeneous
                  multi-core processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {254--262},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0045},
  doi          = {10.1049/IET-CDT.2009.0045},
  timestamp    = {Wed, 04 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JooyaBA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KaivaniHJ11,
  author       = {Amir Kaivani and
                  Adel Hosseiny and
                  Ghassem Jaberipur},
  title        = {Improving the speed of decimal division},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {393--404},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0026},
  doi          = {10.1049/IET-CDT.2010.0026},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KaivaniHJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KarnikSB11,
  author       = {Tanay Karnik and
                  Dinesh Somasekhar and
                  Shekhar Borkar},
  title        = {Microprocessor system applications and challenges for through-silicon-via-based
                  three-dimensional integration},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {205--212},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0126},
  doi          = {10.1049/IET-CDT.2009.0126},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KarnikSB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LauniainenHJ11,
  author       = {Tuomas Launiainen and
                  Keijo Heljanko and
                  Tommi A. Junttila},
  title        = {Efficient model checking of {PSL} safety properties},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {479--492},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0154},
  doi          = {10.1049/IET-CDT.2010.0154},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LauniainenHJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LeeK11,
  author       = {Y. Lee and
                  T. Kim},
  title        = {State encoding algorithm for peak current minimisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {113--122},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0082},
  doi          = {10.1049/IET-CDT.2009.0082},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LeeK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LuZZRS11,
  author       = {Wenqing Lu and
                  Shuang Zhao and
                  Xiaofang Zhou and
                  Junyan Ren and
                  Gerald E. Sobelman},
  title        = {Reconfigurable baseband processing architecture for communication},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {63--72},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0121},
  doi          = {10.1049/IET-CDT.2009.0121},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LuZZRS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/McKeownW11,
  author       = {Stephen McKeown and
                  Roger F. Woods},
  title        = {Low power field programmable gate array implementation of fast digital
                  signal processing algorithms: characterisation and manipulation of
                  data locality},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {136--144},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0052},
  doi          = {10.1049/IET-CDT.2010.0052},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/McKeownW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Mohammad11,
  author       = {Mohammad Gh. Mohammad},
  title        = {Fault model and test procedure for phase change memory},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {263--270},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0083},
  doi          = {10.1049/IET-CDT.2010.0083},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Mohammad11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MokhovAY11,
  author       = {Andrey Mokhov and
                  Arseniy Alekseyev and
                  Alex Yakovlev},
  title        = {Encoding of processor instruction sets with explicit concurrency control},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {427--439},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0158},
  doi          = {10.1049/IET-CDT.2010.0158},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MokhovAY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Morales-SandovalUK11,
  author       = {Miguel Morales{-}Sandoval and
                  Claudia Feregrino Uribe and
                  Paraskevas Kitsos},
  title        = {Bit-serial and digit-serial GF(2\({}^{\mbox{m}}\))Montgomery multipliers
                  using linear feedback shift registers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {86--94},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0021},
  doi          = {10.1049/IET-CDT.2010.0021},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Morales-SandovalUK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NoiaC11,
  author       = {Brandon Noia and
                  Krishnendu Chakrabarty},
  title        = {Test-wrapper optimisation for embedded cores in through-silicon via-based
                  three-dimensional system on chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {186--197},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0111},
  doi          = {10.1049/IET-CDT.2009.0111},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NoiaC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Nunez-YanezSV11,
  author       = {Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez and
                  Trevor Spiteri and
                  George Vafiadis},
  title        = {Multi-standard reconfigurable motion estimation processor for hybrid
                  video codecs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {73--85},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0070},
  doi          = {10.1049/IET-CDT.2009.0070},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Nunez-YanezSV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PaciBB11,
  author       = {Giacomo Paci and
                  Davide Bertozzi and
                  Luca Benini},
  title        = {Variability compensation for full-swing against low-swing on-chip
                  communication},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {355--365},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0103},
  doi          = {10.1049/IET-CDT.2009.0103},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PaciBB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PlessasAKDB11,
  author       = {Fotis Plessas and
                  Alexis Alexandropoulos and
                  Sotiris Koutsomitsos and
                  Efthimios Davrazos and
                  Michael K. Birbas},
  title        = {Advanced calibration techniques for high-speed source-synchronous
                  interfaces},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {366--374},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0143},
  doi          = {10.1049/IET-CDT.2010.0143},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PlessasAKDB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR11,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Primary input cones based on test sequences in synchronous sequential
                  circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {16--24},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0087},
  doi          = {10.1049/IET-CDT.2009.0087},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR11a,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Two-dimensional partially functional broadside tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {247--253},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0022},
  doi          = {10.1049/IET-CDT.2009.0022},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR11b,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Sizes of test sets for path delay faults using strong and weak non-robust
                  tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {405--414},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0049},
  doi          = {10.1049/IET-CDT.2010.0049},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR11b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR11c,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Ranking of input cubes based on their lingering synchronisation effects
                  and their use in random sequential test generation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {415--423},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0014},
  doi          = {10.1049/IET-CDT.2010.0014},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR11c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RaffelsieperMZ11,
  author       = {Matthias Raffelsieper and
                  Mohammad Reza Mousavi and
                  Hans Zantema},
  title        = {Long-run order-independence of vector-based transition systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {468--478},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0156},
  doi          = {10.1049/IET-CDT.2010.0156},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RaffelsieperMZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RauW11,
  author       = {Jiann{-}Chyi Rau and
                  Po{-}Han Wu},
  title        = {Power-aware multi-chains encoding scheme for system-on-a-chip in low-cost
                  environment},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {25--35},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0115},
  doi          = {10.1049/IET-CDT.2009.0115},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RauW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SamantaRD11,
  author       = {Tuhina Samanta and
                  Hafizur Rahaman and
                  Parthasarathi Dasgupta},
  title        = {Near-optimal Y-routed delay trees in nanometric interconnect design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {36--48},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0074},
  doi          = {10.1049/IET-CDT.2009.0074},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SamantaRD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SchaferW11,
  author       = {Benjamin Carri{\'{o}}n Sch{\"{a}}fer and
                  Kazutoshi Wakabayashi},
  title        = {Precision tunable {RTL} macro-modelling cycle-accurate power estimation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {95--103},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0044},
  doi          = {10.1049/IET-CDT.2010.0044},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SchaferW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShaoLT11,
  author       = {Hui Shao and
                  Xing Li and
                  Chi{-}Ying Tsui},
  title        = {Low energy multi-stage level converter for sub-threshold logic},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {375--385},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0065},
  doi          = {10.1049/IET-CDT.2009.0065},
  timestamp    = {Tue, 17 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShaoLT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SongELD11,
  author       = {Wei Song and
                  D. Edwards and
                  Z. Liu and
                  S. Dasgupta},
  title        = {Routing of asynchronous Clos networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {452--467},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0150},
  doi          = {10.1049/IET-CDT.2010.0150},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SongELD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/StevensY11,
  author       = {Ken S. Stevens and
                  Alexandre Yakovlev},
  title        = {Editorial - Selected papers from the 16th {IEEE} International Symposium
                  on Asynchronous Circuits and Systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {316--317},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2011.9055},
  doi          = {10.1049/IET-CDT.2011.9055},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/StevensY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ThorolfssonMF11,
  author       = {Thorlindur Thorolfsson and
                  Nariman Moezzi Madani and
                  Paul D. Franzon},
  title        = {Reconfigurable five-layer three-dimensional integrated memory-on-logic
                  synthetic aperture radar processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {198--204},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0106},
  doi          = {10.1049/IET-CDT.2009.0106},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ThorolfssonMF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TomsE11,
  author       = {William B. Toms and
                  David A. Edwards},
  title        = {Indicating combinational logic decomposition},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {331--341},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0107},
  doi          = {10.1049/IET-CDT.2010.0107},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TomsE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangHLSRW11,
  author       = {W.{-}C. Wang and
                  C.{-}Y. Hsu and
                  James Chien{-}Mo Li and
                  Y.{-}C. Sung and
                  A. Rao and
                  L.{-}T. Wang},
  title        = {Row-linear feedback shift register-column x-masking technique for
                  simultaneous testing of many-core system chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {238--246},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0041},
  doi          = {10.1049/IET-CDT.2010.0041},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangHLSRW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WistSVW11,
  author       = {Dominic Wist and
                  M. Schaefer and
                  Walter Vogler and
                  Ralf Wollowski},
  title        = {Signal transition graph decomposition: internal communication for
                  speed independent circuit implementation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {440--451},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0162},
  doi          = {10.1049/IET-CDT.2010.0162},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WistSVW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XieM11,
  author       = {Yuan Xie and
                  Pol Marchal},
  title        = {Editorial- three-dimensional integrated circuits design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {159},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2011.9051},
  doi          = {10.1049/IET-CDT.2011.9051},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XieM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Ykman-CouvreurAMPSZ11,
  author       = {Chantal Ykman{-}Couvreur and
                  Prabhat Avasare and
                  Giovanni Mariani and
                  Gianluca Palermo and
                  Cristina Silvano and
                  Vittorio Zaccaria},
  title        = {Linking run-time resource management of embedded multi-core platforms
                  with automated design-time exploration},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {123--135},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0030},
  doi          = {10.1049/IET-CDT.2010.0030},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Ykman-CouvreurAMPSZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhouP11,
  author       = {X. Zhou and
                  Peter Petrov},
  title        = {Towards virtual memory support in real-time and memory-constrained
                  embedded applications: the interval page table},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {287--295},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0030},
  doi          = {10.1049/IET-CDT.2009.0030},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhouP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AkdemirS10,
  author       = {Kahraman D. Akdemir and
                  Berk Sunar},
  title        = {Generic approach for hardening state machines against strong adversaries},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {6},
  pages        = {458--470},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0095},
  doi          = {10.1049/IET-CDT.2009.0095},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AkdemirS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Al-SulaifanieAZ10,
  author       = {Ahmed K. Al{-}Sulaifanie and
                  Arash Ahmadi and
                  Mark Zwolinski},
  title        = {Very large scale integration architecture for integer wavelet transform},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {6},
  pages        = {471--483},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0021},
  doi          = {10.1049/IET-CDT.2009.0021},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Al-SulaifanieAZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BernardiGRR10,
  author       = {Paolo Bernardi and
                  Michelangelo Grosso and
                  Maurizio Rebaudengo and
                  Matteo Sonza Reorda},
  title        = {Exploiting an infrastructure-intellectual property for systems-on-chip
                  test, diagnosis and silicon debug},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {2},
  pages        = {104--113},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0122},
  doi          = {10.1049/IET-CDT.2008.0122},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BernardiGRR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Bouhraoua10,
  author       = {Abdelhafid Bouhraoua},
  title        = {Design feasibility study for a 500 Gbits/s advanced encryption standard
                  cipher/decipher engine},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {4},
  pages        = {334--348},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0023},
  doi          = {10.1049/IET-CDT.2009.0023},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Bouhraoua10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChenFXY10,
  author       = {Zhen Chen and
                  J. Feng and
                  Dong Xiang and
                  Boxue Yin},
  title        = {Scan chain configuration based X-filling for low power and high quality
                  testing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {1},
  pages        = {1--13},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0163},
  doi          = {10.1049/IET-CDT.2008.0163},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChenFXY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChiangLLHYCHLSSKT10,
  author       = {Meng{-}Hsueh Chiang and
                  Yi{-}Bo Liao and
                  Jun{-}Tin Lin and
                  Wei{-}Chou Hsu and
                  Chu Yu and
                  Pei{-}Chia Chiang and
                  Y.{-}Y. Hsu and
                  W.{-}H. Liu and
                  Shyh{-}Shyuan Sheu and
                  Keng{-}Li Su and
                  Ming{-}Jer Kao and
                  Ming{-}Jinn Tsai},
  title        = {Low power design of phase-change memory based on a comprehensive model},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {4},
  pages        = {285--292},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0037},
  doi          = {10.1049/IET-CDT.2009.0037},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChiangLLHYCHLSSKT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChiuY10,
  author       = {Jih{-}Ching Chiu and
                  Ta{-}Li Yeh},
  title        = {{IRES:} An integrated software and hardware interface framework for
                  reconfigurable embedded system},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {1},
  pages        = {27--37},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0010},
  doi          = {10.1049/IET-CDT.2009.0010},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChiuY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChoiC10,
  author       = {J. Choi and
                  H. Cha},
  title        = {System-level power management for system-on-a-chip -based mobile devices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {5},
  pages        = {400--409},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0074},
  doi          = {10.1049/IET-CDT.2008.0074},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChoiC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DashS10,
  author       = {Santanu Kumar Dash and
                  Thambipillai Srikanthan},
  title        = {Instruction cache tuning for embedded multitasking applications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {6},
  pages        = {439--457},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0066},
  doi          = {10.1049/IET-CDT.2009.0066},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DashS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DingWWLY10,
  author       = {Qian Ding and
                  Yu Wang and
                  Hui Wang and
                  Rong Luo and
                  Huazhong Yang},
  title        = {Output remapping technique for critical paths soft-error rate reduction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {4},
  pages        = {325--333},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0038},
  doi          = {10.1049/IET-CDT.2009.0038},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DingWWLY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/EsmaeiliAC10,
  author       = {Seyed Ebrahim Esmaeili and
                  A. J. Al{-}Khalili and
                  Glenn E. R. Cowan},
  title        = {Dual-edge triggered sense amplifier flip-flop for resonant clock distribution
                  networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {6},
  pages        = {499--514},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0005},
  doi          = {10.1049/IET-CDT.2010.0005},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/EsmaeiliAC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/EsmaeiliFAC10,
  author       = {Seyed Ebrahim Esmaeili and
                  Ali M. Farhangi and
                  Asim J. Al{-}Khalili and
                  Glenn E. R. Cowan},
  title        = {Skew compensation in energy recovery clock distribution networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {1},
  pages        = {56--72},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0155},
  doi          = {10.1049/IET-CDT.2008.0155},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/EsmaeiliFAC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FuA10,
  author       = {Bo Fu and
                  Paul Ampadu},
  title        = {Error control combining Hamming and product codes for energy efficient
                  nanoscale on-chip interconnects},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {3},
  pages        = {251--261},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0130},
  doi          = {10.1049/IET-CDT.2008.0130},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FuA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GuillemenetTS10,
  author       = {Yoann Guillemenet and
                  Lionel Torres and
                  Gilles Sassatelli},
  title        = {Non-volatile run-time field-programmable gate arrays structures using
                  thermally assisted switching magnetic random access memories},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {3},
  pages        = {211--226},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0019},
  doi          = {10.1049/IET-CDT.2009.0019},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GuillemenetTS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HigginsMM10,
  author       = {Michael Higgins and
                  Ciaran MacNamee and
                  Brendan Mullane},
  title        = {Design and implementation challenges for adoption of the {IEEE} 1500
                  standard},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {1},
  pages        = {38--49},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0141},
  doi          = {10.1049/IET-CDT.2008.0141},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HigginsMM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JassaniUA10,
  author       = {B. A. Al Jassani and
                  Neil Urquhart and
                  A. E. A. Almaini},
  title        = {Manipulation and optimisation techniques for Boolean logic},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {3},
  pages        = {227--239},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0007},
  doi          = {10.1049/IET-CDT.2009.0007},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JassaniUA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KarimiyanSS10,
  author       = {Hossein Karimiyan and
                  Sayed Masoud Sayedi and
                  Hossein Saidi},
  title        = {Low-power dual-edge triggered state-retention scan flip-flop},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {5},
  pages        = {410--419},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0059},
  doi          = {10.1049/IET-CDT.2009.0059},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KarimiyanSS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KebschullPT10,
  author       = {Udo Kebschull and
                  Marco Platzner and
                  J{\"{u}}rgen Teich},
  title        = {Selected papers from the 18\({}^{\mbox{th}}\) International Conference
                  on Field Programmable Logic and Applications {(FPL} 2008) [Editorial]},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {3},
  pages        = {157--158},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2010.9044},
  doi          = {10.1049/IET-CDT.2010.9044},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KebschullPT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KimCK10,
  author       = {Jong{-}Myon Kim and
                  Sung Woo Chung and
                  Cheol Hong Kim},
  title        = {Energy-aware instruction cache design using small trace cache},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {4},
  pages        = {293--305},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0049},
  doi          = {10.1049/IET-CDT.2009.0049},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KimCK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LaiGW10,
  author       = {Ming{-}che Lai and
                  Lei Gao and
                  Zhiying Wang},
  title        = {Exploration and implementation of a highly efficient processor element
                  for multimedia and signal processing domains},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {5},
  pages        = {374--387},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0041},
  doi          = {10.1049/IET-CDT.2009.0041},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LaiGW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiuTCSMG10,
  author       = {Feng Liu and
                  Qingping Tan and
                  Gang Chen and
                  Xiaoyu Song and
                  Otmane A{\"{\i}}t Mohamed and
                  Ming Gu},
  title        = {Field programmable gate array prototyping of end-around carry parallel
                  prefix tree architectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {4},
  pages        = {306--316},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0036},
  doi          = {10.1049/IET-CDT.2009.0036},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiuTCSMG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LuMS10,
  author       = {Liang Lu and
                  John V. McCanny and
                  Sakir Sezer},
  title        = {Reconfigurable system-on-a-chip motion estimation architecture for
                  multi-standard video coding},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {5},
  pages        = {349--364},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0106},
  doi          = {10.1049/IET-CDT.2008.0106},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LuMS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MathewJSRP10,
  author       = {Jimson Mathew and
                  Abusaleh M. Jabir and
                  Ashutosh Kumar Singh and
                  Hafizur Rahaman and
                  Dhiraj K. Pradhan},
  title        = {A Galois field-based logic synthesis with testability},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {4},
  pages        = {263--273},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0055},
  doi          = {10.1049/IET-CDT.2009.0055},
  timestamp    = {Thu, 14 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MathewJSRP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MeloukiSA10,
  author       = {Aissa Melouki and
                  Saket Srivastava and
                  Bashir M. Al{-}Hashimi},
  title        = {Fault-tolerance techniques for hybrid CMOS/nanoarchitecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {3},
  pages        = {240--250},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0146},
  doi          = {10.1049/IET-CDT.2008.0146},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MeloukiSA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MitraSBKND10,
  author       = {Debasis Mitra and
                  Susmita Sur{-}Kolay and
                  Bhargab B. Bhattacharya and
                  Sandip Kundu and
                  Ashish Nigam and
                  Sandeep K. Dey},
  title        = {Test pattern generation for droop faults},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {4},
  pages        = {274--284},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0024},
  doi          = {10.1049/IET-CDT.2009.0024},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MitraSBKND10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ONeillR10,
  author       = {M{\'{a}}ire O'Neill and
                  Matthew J. B. Robshaw},
  title        = {Low-cost digital signature architecture suitable for radio frequency
                  identification tags},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {1},
  pages        = {14--26},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0165},
  doi          = {10.1049/IET-CDT.2008.0165},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ONeillR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/OSullivanH10,
  author       = {David O'Sullivan and
                  Donal Heffernan},
  title        = {{VHDL} architecture for {IEC} 61499 function blocks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {6},
  pages        = {515--524},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0122},
  doi          = {10.1049/IET-CDT.2009.0122},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/OSullivanH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/OzturkKI10,
  author       = {Ozcan Ozturk and
                  Mahmut T. Kandemir and
                  Mary Jane Irwin},
  title        = {On-chip memory space partitioning for chip multiprocessors using polyhedral
                  algebra},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {6},
  pages        = {484--498},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0089},
  doi          = {10.1049/IET-CDT.2009.0089},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/OzturkKI10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR10,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Diagnosis of path delay faults based on low-coverage tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {2},
  pages        = {89--103},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0154},
  doi          = {10.1049/IET-CDT.2008.0154},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR10a,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Static test compaction for diagnostic test sets of full-scan circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {5},
  pages        = {365--373},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0110},
  doi          = {10.1049/IET-CDT.2009.0110},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR10a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RahamanMJP10,
  author       = {Hafizur Rahaman and
                  Jimson Mathew and
                  Abusaleh M. Jabir and
                  Dhiraj K. Pradhan},
  title        = {Simplified bit parallel systolic multipliers for special class of
                  galois field (2\({}^{\mbox{m}}\)) with testability},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {5},
  pages        = {428--437},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0068},
  doi          = {10.1049/IET-CDT.2009.0068},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RahamanMJP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RakvicGCCMG10,
  author       = {Ryan N. Rakvic and
                  Jos{\'{e}} Gonz{\'{a}}lez and
                  Qiong Cai and
                  Pedro Chaparro and
                  Grigorios Magklis and
                  Antonio Gonz{\'{a}}lez},
  title        = {Energy efficiency via thread fusion and value reuse},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {2},
  pages        = {114--125},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0040},
  doi          = {10.1049/IET-CDT.2009.0040},
  timestamp    = {Sat, 29 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RakvicGCCMG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SahaS10,
  author       = {Debasri Saha and
                  Susmita Sur{-}Kolay},
  title        = {Robust intellectual property protection of {VLSI} physical design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {5},
  pages        = {388--399},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0152},
  doi          = {10.1049/IET-CDT.2008.0152},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SahaS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SamalaD10,
  author       = {Harikrishna Samala and
                  Aravind Dasu},
  title        = {Methodology to derive resource aware context adaptable architectures
                  for FPGAs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {1},
  pages        = {73--88},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0047},
  doi          = {10.1049/IET-CDT.2009.0047},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SamalaD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SheL10,
  author       = {X. She and
                  N. Li},
  title        = {Low-overhead single-event upset hardened latch using programmable
                  resistance cells},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {5},
  pages        = {420--427},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0026},
  doi          = {10.1049/IET-CDT.2009.0026},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SheL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShelburnePAJMF10,
  author       = {Matthew Shelburne and
                  Cameron D. Patterson and
                  Peter Athanas and
                  Mark Jones and
                  Brian S. Martin and
                  Ryan Fong},
  title        = {MetaWire: Using {FPGA} configuration circuitry to emulate a network-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {3},
  pages        = {159--169},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0009},
  doi          = {10.1049/IET-CDT.2009.0009},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShelburnePAJMF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/StottSC10,
  author       = {Edward A. Stott and
                  N. Pete Sedcole and
                  Peter Y. K. Cheung},
  title        = {Fault tolerance and reliability in field-programmable gate arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {3},
  pages        = {196--210},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0011},
  doi          = {10.1049/IET-CDT.2009.0011},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/StottSC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SudarsanamBCKD10,
  author       = {Arvind Sudarsanam and
                  Robert Collier Barnes and
                  J. Carver and
                  Ramachandra Kallam and
                  Aravind Dasu},
  title        = {Dynamically reconfigurable systolic array accelerators: {A} case study
                  with extended Kalman filter and discrete wavelet transform algorithms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {2},
  pages        = {126--142},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0139},
  doi          = {10.1049/IET-CDT.2008.0139},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SudarsanamBCKD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SukhwaniH10,
  author       = {Bharat Sukhwani and
                  Martin C. Herbordt},
  title        = {{FPGA} acceleration of rigid-molecule docking codes},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {3},
  pages        = {184--195},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0013},
  doi          = {10.1049/IET-CDT.2009.0013},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SukhwaniH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TsengLL10,
  author       = {Wang{-}Dauh Tseng and
                  Lung{-}Jen Lee and
                  Rung{-}Bin Lin},
  title        = {Deterministic built-in self-test using multiple linear feedback shift
                  registers for test power and test volume reduction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {4},
  pages        = {317--324},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0092},
  doi          = {10.1049/IET-CDT.2009.0092},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TsengLL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VakiliFM10,
  author       = {Shervin Vakili and
                  Sied Mehdi Fakhraie and
                  Siamak Mohammadi},
  title        = {Evolvable multi-processor: {A} novel MPSoC architecture with evolvable
                  task decomposition and scheduling},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {2},
  pages        = {143--156},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0120},
  doi          = {10.1049/IET-CDT.2008.0120},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VakiliFM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VorwerkKPKRDH10,
  author       = {Kristofer Vorwerk and
                  Andrew A. Kennings and
                  Val Pevzner and
                  Arun Kundu and
                  Madhu Raman and
                  Julien Dunoyer and
                  Yaun{-}shung Hsu},
  title        = {Power minimisation during field programmable gate array placement},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {3},
  pages        = {170--183},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0008},
  doi          = {10.1049/IET-CDT.2009.0008},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VorwerkKPKRDH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SheT10,
  title        = {Scheme to minimise short effects of single-event upsets in triple-modular
                  redundancy},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {1},
  pages        = {50--55},
  year         = {2010},
  note         = {Withdrawn.},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0157},
  doi          = {10.1049/IET-CDT.2008.0157},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SheT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AmmariJ09,
  author       = {Ahmed Chiheb Ammari and
                  Abderrazek Jemai},
  title        = {Multiprocessor platform-based design for multimedia},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {52--61},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070168},
  doi          = {10.1049/IET-CDT:20070168},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AmmariJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AndersonK09,
  author       = {Ian D. L. Anderson and
                  Mohammed A. S. Khalid},
  title        = {{SC} Build: a computer-aided design tool for design space exploration
                  of embedded central processing unit cores for field-programmable gate
                  arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {24--32},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070120},
  doi          = {10.1049/IET-CDT:20070120},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AndersonK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BardineCFGP09,
  author       = {Alessandro Bardine and
                  Manuel Comparetti and
                  Pierfrancesco Foglia and
                  Giacomo Gabrielli and
                  Cosimo Antonio Prete},
  title        = {Impact of on-chip network parameters on nuca cache performances},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {501--512},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0078},
  doi          = {10.1049/IET-CDT.2008.0078},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BardineCFGP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BertozziG09,
  author       = {Davide Bertozzi and
                  Kees Goossens},
  title        = {Networks on chips [editorial]},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {395--397},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2009.9039},
  doi          = {10.1049/IET-CDT.2009.9039},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BertozziG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BurnsMKY09,
  author       = {Frank P. Burns and
                  Julian P. Murphy and
                  Albert Koelmans and
                  Alexandre Yakovlev},
  title        = {Efficient advanced encryption standard implementation using lookup
                  and normal basis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {270--280},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0049},
  doi          = {10.1049/IET-CDT.2008.0049},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BurnsMKY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChakrabortyPZB09,
  author       = {Rajat Subhra Chakraborty and
                  Somnath Paul and
                  Yu Zhou and
                  Swarup Bhunia},
  title        = {Low-power hybrid complementary metaloxide- semiconductor-nano-electro-mechanical
                  systems field programmable gate array: circuit level analysis and
                  defect-aware mapping},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {609--624},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0135},
  doi          = {10.1049/IET-CDT.2008.0135},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChakrabortyPZB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChangLC09,
  author       = {Nelson Yen{-}Chung Chang and
                  Ying{-}Ze Liao and
                  Tian{-}Sheuan Chang},
  title        = {Analysis of shared-link {AXI}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {373--383},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0097},
  doi          = {10.1049/IET-CDT.2008.0097},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChangLC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Chen09,
  author       = {Chichyang Chen},
  title        = {Error analysis of {LNS} addition/subtraction with direct-computation
                  implementation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {329--337},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0098},
  doi          = {10.1049/IET-CDT.2008.0098},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Chen09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DadgourB09,
  author       = {Hamed F. Dadgour and
                  Kaustav Banerjee},
  title        = {Hybrid {NEMS-CMOS} integrated circuits: {A} novel strategy for energy-efficient
                  designs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {593--608},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0148},
  doi          = {10.1049/IET-CDT.2008.0148},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DadgourB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DaneshtalabEMA09,
  author       = {Masoud Daneshtalab and
                  Masoumeh Ebrahimi and
                  Siamak Mohammadi and
                  Ali Afzali{-}Kusha},
  title        = {Low-distance path-based multicast routing algorithm for network-on-chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {430--442},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0086},
  doi          = {10.1049/IET-CDT.2008.0086},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DaneshtalabEMA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DurahimSSPK09,
  author       = {Ahmet Onur Durahim and
                  Erkay Savas and
                  Berk Sunar and
                  Thomas Brochmann Pedersen and
                  {\"{O}}v{\"{u}}n{\c{c}} Kocabas},
  title        = {Transparent code authentication at the processor level},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {354--372},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2007.0122},
  doi          = {10.1049/IET-CDT.2007.0122},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DurahimSSPK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/El-MalehAA09,
  author       = {Aiman H. El{-}Maleh and
                  Mustafa Imran Ali and
                  Ahmad A. Al{-}Yamani},
  title        = {Reconfigurable broadcast scan compression using relaxation-based test
                  vector decomposition},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {143--161},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080012},
  doi          = {10.1049/IET-CDT:20080012},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/El-MalehAA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/El-MalehAMK09,
  author       = {Aiman H. El{-}Maleh and
                  Bashir M. Al{-}Hashimi and
                  Aissa Melouki and
                  Farhan Khan},
  title        = {Defect-tolerant n\({}^{\mbox{2}}\)-transistor structure for reliable
                  nanoelectronic designs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {570--580},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0133},
  doi          = {10.1049/IET-CDT.2008.0133},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/El-MalehAMK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FahmyCL09,
  author       = {Suhaib A. Fahmy and
                  Peter Y. K. Cheung and
                  Wayne Luk},
  title        = {High-throughput one-dimensional median and weighted median filters
                  on {FPGA}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {384--394},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0119},
  doi          = {10.1049/IET-CDT.2008.0119},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FahmyCL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FazeliMEP09,
  author       = {Mahdi Fazeli and
                  Seyed Ghassem Miremadi and
                  Alireza Ejlali and
                  Ahmad Patooghy},
  title        = {Low energy single event upset/single event transient-tolerant latch
                  for deep subMicron technologies},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {289--303},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0099},
  doi          = {10.1049/IET-CDT.2008.0099},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FazeliMEP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FernandesOCS09,
  author       = {S{\'{\i}}lvio R. F. de Fernandes and
                  Bruno Cruz de Oliveira and
                  M. Costa and
                  Ivan Saraiva Silva},
  title        = {Processing while routing: a network-on-chipbased parallel system},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {525--538},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0071},
  doi          = {10.1049/IET-CDT.2008.0071},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FernandesOCS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GojmanMRD09,
  author       = {Benjamin Gojman and
                  Harika Manem and
                  Garrett S. Rose and
                  Andr{\'{e}} DeHon},
  title        = {Inversion schemes for sublithographic programmable logic arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {625--642},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0128},
  doi          = {10.1049/IET-CDT.2008.0128},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GojmanMRD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HanssonWMGB09,
  author       = {Andreas Hansson and
                  Maarten Wiggers and
                  Arno Moonen and
                  Kees Goossens and
                  Marco Bekooij},
  title        = {Enabling application-level performance guarantees in network-based
                  systems on chip by applying dataflow analysis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {398--412},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0093},
  doi          = {10.1049/IET-CDT.2008.0093},
  timestamp    = {Thu, 04 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HanssonWMGB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KimKKLY09,
  author       = {Donghyun Kim and
                  Kwanho Kim and
                  Joo{-}Young Kim and
                  Seungjin Lee and
                  Hoi{-}Jun Yoo},
  title        = {Memory-centric network-on-chip for power efficient execution of task-level
                  pipeline on a multi-core processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {513--524},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0085},
  doi          = {10.1049/IET-CDT.2008.0085},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KimKKLY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KroupisS09,
  author       = {Nikolas Kroupis and
                  Dimitrios Soudris},
  title        = {High-level estimation methodology for designing the instruction cache
                  memory of programmable embedded platforms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {205--221},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080009},
  doi          = {10.1049/IET-CDT:20080009},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KroupisS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LimKK09,
  author       = {Kyoung{-}Hwan Lim and
                  YongHwan Kim and
                  Taewhan Kim},
  title        = {Interconnect and communication synthesis for distributed register-file
                  microarchitecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {162--174},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080019},
  doi          = {10.1049/IET-CDT:20080019},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LimKK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LinSSZJZ09,
  author       = {Shijun Lin and
                  Li Su and
                  Haibo Su and
                  Guofei Zhou and
                  Depeng Jin and
                  Lieguang Zeng},
  title        = {Design networks-on-chip with latency/ bandwidth guarantees},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {184--194},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080036},
  doi          = {10.1049/IET-CDT:20080036},
  timestamp    = {Mon, 24 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LinSSZJZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiuBC09,
  author       = {Yang Liu and
                  Christos{-}Savvas Bouganis and
                  Peter Y. K. Cheung},
  title        = {Hardware architectures for eigenvalue computation of real symmetric
                  matrices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {72--84},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080008},
  doi          = {10.1049/IET-CDT:20080008},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiuBC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiuCMC09,
  author       = {Qiang Liu and
                  George A. Constantinides and
                  Konstantinos Masselos and
                  Peter Y. K. Cheung},
  title        = {Data-reuse exploration under an on-chip memory constraint for low-power
                  FPGA-based systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {235--246},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0039},
  doi          = {10.1049/IET-CDT.2008.0039},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiuCMC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LuSHWX09,
  author       = {Ya{-}Shuai L{\"{u}} and
                  Li Shen and
                  Libo Huang and
                  Zhiying Wang and
                  Nong Xiao},
  title        = {Optimal subgraph covering for customisable {VLIW} processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {14--23},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070104},
  doi          = {10.1049/IET-CDT:20070104},
  timestamp    = {Thu, 03 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LuSHWX09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MaoTDC09,
  author       = {Vincent Mao and
                  V. Thusu and
                  Chris Dwyer and
                  Krishnendu Chakrabarty},
  title        = {Connecting fabrication defects to fault models and {SPICE} simulations
                  for {DNA} self-assembled nanoelectronics},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {553--569},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0136},
  doi          = {10.1049/IET-CDT.2008.0136},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MaoTDC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MathewJRP09,
  author       = {Jimson Mathew and
                  Abusaleh M. Jabir and
                  Hafizur Rahaman and
                  Dhiraj K. Pradhan},
  title        = {Single error correctable bit parallel multipliers over GF(2\({}^{\mbox{m}}\))},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {281--288},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0015},
  doi          = {10.1049/IET-CDT.2008.0015},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MathewJRP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MilidonisPAKMPG09,
  author       = {Athanasios Milidonis and
                  Vasileios Porpodas and
                  Nikolaos Alachiotis and
                  Athanasios P. Kakarountas and
                  Harris E. Michail and
                  George A. Panagiotakopoulos and
                  Costas E. Goutis},
  title        = {Low-power architecture with scratch-pad memory for accelerating embedded
                  applications with run-time reuse},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {109--123},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070145},
  doi          = {10.1049/IET-CDT:20070145},
  timestamp    = {Mon, 09 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MilidonisPAKMPG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MitraSB09,
  author       = {Debasis Mitra and
                  Susmita Sur{-}Kolay and
                  Bhargab B. Bhattacharya},
  title        = {Droop sensitivity of stuck-at fault tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {175--193},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080020},
  doi          = {10.1049/IET-CDT:20080020},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MitraSB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PalesiKC09,
  author       = {Maurizio Palesi and
                  Shashi Kumar and
                  Vincenzo Catania},
  title        = {Bandwidth-aware routing algorithms for networks-on-chip platforms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {413--429},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0082},
  doi          = {10.1049/IET-CDT.2008.0082},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PalesiKC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PaulC09,
  author       = {Bipul C. Paul and
                  Krishnendu Chakrabarty},
  title        = {Advances in nanoelectronics circuits and systems [Editorial]},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {551--552},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2009.9040},
  doi          = {10.1049/IET-CDT.2009.9040},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PaulC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PhillipsSSKCD09,
  author       = {Jonathan Phillips and
                  Arvind Sudarsanam and
                  Harikrishna Samala and
                  Ramachandra Kallam and
                  J. Carver and
                  Aravind Dasu},
  title        = {Methodology to derive context adaptable architectures for FPGAs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {124--141},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070099},
  doi          = {10.1049/IET-CDT:20070099},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PhillipsSSKCD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR09,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Definition and generation of partially-functional broadside tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {1--13},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070144},
  doi          = {10.1049/IET-CDT:20070144},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR09a,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Same/different fault dictionary: an extended pass/fail fault dictionary
                  with improved diagnostic resolution},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {85--93},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080017},
  doi          = {10.1049/IET-CDT:20080017},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR09a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR09b,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Test vector chains for increasing the fault coverage and numbers of
                  detections},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {222--233},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080056},
  doi          = {10.1049/IET-CDT:20080056},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR09b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR09c,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Test compaction methods for transition faults under transparent-scan},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {315--328},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0115},
  doi          = {10.1049/IET-CDT.2008.0115},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR09c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RadhakrishnanGPI09,
  author       = {Swarnalatha Radhakrishnan and
                  Hui Guo and
                  Sri Parameswaran and
                  Aleksandar Ignjatovic},
  title        = {HMP-ASIPs: heterogeneous multi-pipeline application-specific instruction-set
                  processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {94--108},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080005},
  doi          = {10.1049/IET-CDT:20080005},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RadhakrishnanGPI09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RaikGU09,
  author       = {Jaan Raik and
                  Vineeth Govind and
                  Raimund Ubar},
  title        = {Design-for-testability-based external test and diagnosis of mesh-like
                  network-on-a-chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {476--486},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0096},
  doi          = {10.1049/IET-CDT.2008.0096},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RaikGU09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RiceK09,
  author       = {Jacqueline E. Rice and
                  Kenneth B. Kent},
  title        = {Case studies in determining the optimal field programmable gate array
                  design for computing highly parallelisable problems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {247--258},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0042},
  doi          = {10.1049/IET-CDT.2008.0042},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RiceK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RodrigoMFBD09,
  author       = {Samuel Rodrigo and
                  Simone Medardoni and
                  Jos{\'{e}} Flich and
                  Davide Bertozzi and
                  Jos{\'{e}} Duato},
  title        = {Efficient implementation of distributed routing algorithms for NoCs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {460--475},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0092},
  doi          = {10.1049/IET-CDT.2008.0092},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RodrigoMFBD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RoginKFDR09,
  author       = {Frank Rogin and
                  Thomas Klotz and
                  G{\"{o}}rschwin Fey and
                  Rolf Drechsler and
                  Steffen R{\"{u}}lke},
  title        = {Advanced verification by automatic property generation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {338--353},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0110},
  doi          = {10.1049/IET-CDT.2008.0110},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RoginKFDR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SalminenGHI09,
  author       = {Erno Salminen and
                  Cristian Grecu and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Andr{\'{e}} Ivanov},
  title        = {Application modelling and hardware description for network-on-chip
                  benchmarking},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {539--550},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0065},
  doi          = {10.1049/IET-CDT.2008.0065},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SalminenGHI09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SchaferK09,
  author       = {Benjamin Carri{\'{o}}n Sch{\"{a}}fer and
                  Taewhan Kim},
  title        = {Autonomous temperature control technique in {VLSI} circuits through
                  logic replication},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {62--71},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070159},
  doi          = {10.1049/IET-CDT:20070159},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SchaferK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SinanogluAT09,
  author       = {Ozgur Sinanoglu and
                  Mohammed Al{-}Mulla and
                  Mohammed Nael Taha},
  title        = {Utilisation of inverse compatibility for test cost reductions},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {195--204},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080051},
  doi          = {10.1049/IET-CDT:20080051},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SinanogluAT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/StruharikN09,
  author       = {Rastislav J. R. Struharik and
                  Ladislav A. Novak},
  title        = {Intellectual property core implementation of decision trees},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {259--269},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0055},
  doi          = {10.1049/IET-CDT.2008.0055},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/StruharikN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TheodoridisVN09,
  author       = {George Theodoridis and
                  Nikolaos Vassiliadis and
                  Spiridon Nikolaidis},
  title        = {An integer linear programming model for mapping applications on hybrid
                  systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {33--42},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080003},
  doi          = {10.1049/IET-CDT:20080003},
  timestamp    = {Tue, 04 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TheodoridisVN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TranTDBR09,
  author       = {Xuan{-}Tu Tran and
                  Yvain Thonnart and
                  Jean Durupt and
                  Vincent Beroulle and
                  Chantal Robach},
  title        = {Design-for-test approach of an asynchronous network-on-chip architecture
                  and its associated test pattern generation and application},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {487--500},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0072},
  doi          = {10.1049/IET-CDT.2008.0072},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TranTDBR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VialVBGLP09,
  author       = {Julien Vial and
                  Arnaud Virazel and
                  Alberto Bosio and
                  Patrick Girard and
                  Christian Landrault and
                  Serge Pravossoudovitch},
  title        = {Is triple modular redundancy suitable for yield improvement?},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {581--592},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0127},
  doi          = {10.1049/IET-CDT.2008.0127},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VialVBGLP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangWL09,
  author       = {F.{-}M. Wang and
                  W.{-}C. Wang and
                  James Chien{-}Mo Li},
  title        = {Time-space test response compaction and diagnosis based on {BCH} codes},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {304--313},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0066},
  doi          = {10.1049/IET-CDT.2008.0066},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangWL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WuYW09,
  author       = {Yi{-}Hsin Wu and
                  Cheng{-}Juei Yu and
                  Sheng{-}De Wang},
  title        = {Heuristic algorithm for the resource constrained scheduling problem
                  during high-level synthesis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {43--51},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070162},
  doi          = {10.1049/IET-CDT:20070162},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WuYW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YanL09,
  author       = {Shan Yan and
                  Bill Lin},
  title        = {Joint multicast routing and network design optimisation for networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {443--459},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0095},
  doi          = {10.1049/IET-CDT.2008.0095},
  timestamp    = {Mon, 01 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YanL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YuA09,
  author       = {Qiaoyan Yu and
                  Paul Ampadu},
  title        = {Adaptive error control for nanometer scale network-on-chip links},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {643--659},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0132},
  doi          = {10.1049/IET-CDT.2008.0132},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YuA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AkayK08,
  author       = {Mehmet Fatih Akay and
                  Constantine Katsinis},
  title        = {Contention resolution on a broadcast-based distributed shared memory
                  multiprocessor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {1},
  pages        = {45--55},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20060189},
  doi          = {10.1049/IET-CDT:20060189},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AkayK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Al-YamaniDG08,
  author       = {Ahmad A. Al{-}Yamani and
                  Narendra Devta{-}Prasanna and
                  Arun Gunda},
  title        = {Comparative study of centralised and distributed compatibility-based
                  test data compression},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {2},
  pages        = {108--117},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070037},
  doi          = {10.1049/IET-CDT:20070037},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Al-YamaniDG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AliAD08,
  author       = {Kashif Ali and
                  Mokhtar Aboelaze and
                  Suprakash Datta},
  title        = {Energy efficient i-cache using multiple line buffers with prediction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {5},
  pages        = {355--362},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070055},
  doi          = {10.1049/IET-CDT:20070055},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AliAD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AnderssonSL08,
  author       = {Daniel A. Andersson and
                  Lars J. Svensson and
                  Per Larsson{-}Edefors},
  title        = {Time-domain interconnect characterisation flow for appropriate model
                  segmentation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {4},
  pages        = {265--274},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070074},
  doi          = {10.1049/IET-CDT:20070074},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AnderssonSL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BahnB08,
  author       = {Jun Ho Bahn and
                  Nader Bagherzadeh},
  title        = {Design of simulation and analytical models for a 2D-meshed asymmetric
                  adaptive router},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {1},
  pages        = {63--73},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070043},
  doi          = {10.1049/IET-CDT:20070043},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BahnB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BengtssonKUJP08,
  author       = {Tomas Bengtsson and
                  Shashi Kumar and
                  Raimund Ubar and
                  Artur Jutman and
                  Zebo Peng},
  title        = {Test methods for crosstalk-induced delay and glitch faults in network-on-chip
                  interconnects implementing asynchronous communication protocols},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {6},
  pages        = {445--460},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070048},
  doi          = {10.1049/IET-CDT:20070048},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BengtssonKUJP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ByrnePM08,
  author       = {Andrew Byrne and
                  Emanuel M. Popovici and
                  William P. Marnane},
  title        = {Versatile processor for GF(p\({}^{\mbox{m}}\)) arithmetic for use
                  in cryptographic applications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {4},
  pages        = {253--264},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070077},
  doi          = {10.1049/IET-CDT:20070077},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ByrnePM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChangC08,
  author       = {Kuei{-}Chung Chang and
                  Tien{-}Fu Chen},
  title        = {Low-power algorithm for automatic topology generation for application-specific
                  networks on chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {3},
  pages        = {239--249},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070049},
  doi          = {10.1049/IET-CDT:20070049},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChangC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChaudharyCSC08,
  author       = {Vikas Chaudhary and
                  Tai{-}Hua Chen and
                  F. Sheerin and
                  Lawrence T. Clark},
  title        = {Critical race-free low-power nand match line content addressable memory
                  tagged cache memory},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {1},
  pages        = {40--44},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070040},
  doi          = {10.1049/IET-CDT:20070040},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChaudharyCSC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/El-Maleh08,
  author       = {Aiman H. El{-}Maleh},
  title        = {Test data compression for system-on-a-chip using extended frequency-directed
                  run-length code},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {3},
  pages        = {155--163},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070028},
  doi          = {10.1049/IET-CDT:20070028},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/El-Maleh08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/El-Maleh08a,
  author       = {Aiman H. El{-}Maleh},
  title        = {Efficient test compression technique based on block merging},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {5},
  pages        = {327--335},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070003},
  doi          = {10.1049/IET-CDT:20070003},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/El-Maleh08a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FengZFM08,
  author       = {Yucheng Feng and
                  W. Zheng and
                  Matt Francis and
                  H. Alan Mantooth},
  title        = {Model order reduction by Miller's theorem and root localisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {5},
  pages        = {363--376},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20050216},
  doi          = {10.1049/IET-CDT:20050216},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FengZFM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GulatiWKS08,
  author       = {Kanupriya Gulati and
                  Mandar Waghmode and
                  Sunil P. Khatri and
                  Weiping Shi},
  title        = {Efficient, scalable hardware engine for Boolean satisfiability and
                  unsatisfiable core extraction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {3},
  pages        = {214--229},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20060221},
  doi          = {10.1049/IET-CDT:20060221},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GulatiWKS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JohanssonGW08,
  author       = {Kenny Johansson and
                  Oscar Gustafsson and
                  Lars Wanhammar},
  title        = {Implementation of elementary functions for logarithmic number systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {4},
  pages        = {295--304},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070080},
  doi          = {10.1049/IET-CDT:20070080},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JohanssonGW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KalomirosL08,
  author       = {John A. Kalomiros and
                  John N. Lygouras},
  title        = {Hardware implementation of a stereo co-processor in a medium-scale
                  field programmable gate array},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {5},
  pages        = {336--346},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070147},
  doi          = {10.1049/IET-CDT:20070147},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KalomirosL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Kao08,
  author       = {Chi{-}Chou Kao},
  title        = {Computer-aided crosstalk minimisation design for system-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {1},
  pages        = {23--29},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070084},
  doi          = {10.1049/IET-CDT:20070084},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Kao08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KarlssonEP08,
  author       = {Daniel Karlsson and
                  Petru Eles and
                  Zebo Peng},
  title        = {Model validation for embedded systems using formal method-aided simulation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {6},
  pages        = {413--433},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070128},
  doi          = {10.1049/IET-CDT:20070128},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KarlssonEP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KarlstromEL08,
  author       = {Per Karlstr{\"{o}}m and
                  Andreas Ehliar and
                  Dake Liu},
  title        = {High-performance, low-latency field-programmable gate array-based
                  floating-point adder and multiplier units in a Virtex 4},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {4},
  pages        = {305--313},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070075},
  doi          = {10.1049/IET-CDT:20070075},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KarlstromEL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KulmalaSH08,
  author       = {Ari Kulmala and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Distributed bus arbitration algorithm comparison on FPGA-based {MPEG-4}
                  multiprocessor system on chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {4},
  pages        = {314--325},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070072},
  doi          = {10.1049/IET-CDT:20070072},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KulmalaSH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KwakJ08,
  author       = {Jong Wook Kwak and
                  Chu Shik Jhon},
  title        = {High-performance embedded branch predictor by combining branch direction
                  history and global branch history},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {2},
  pages        = {142--154},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20060130},
  doi          = {10.1049/IET-CDT:20060130},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KwakJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Larsson08,
  author       = {Erik Larsson},
  title        = {Architecture for integrated test data compression and abort-on-fail
                  testing in a multi-site environment},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {4},
  pages        = {275--284},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070078},
  doi          = {10.1049/IET-CDT:20070078},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Larsson08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LinL08,
  author       = {H.{-}T. Lin and
                  J. C.{-}M. Li},
  title        = {Simultaneous capture and shift power reduction test pattern generator
                  for scan testing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {2},
  pages        = {132--141},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070088},
  doi          = {10.1049/IET-CDT:20070088},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LinL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MarconMCM08,
  author       = {C{\'{e}}sar Augusto Missio Marcon and
                  Edson Ifarraguirre Moreno and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Comparison of network-on-chip mapping algorithms targeting low energy
                  consumption},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {6},
  pages        = {471--482},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070111},
  doi          = {10.1049/IET-CDT:20070111},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MarconMCM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MarsonoEG08,
  author       = {Muhammad N. Marsono and
                  M. Watheq El{-}Kharashi and
                  Fayez Gebali},
  title        = {Binary LNS-based naive Bayes inference engine for spam control: noise
                  analysis and {FPGA} implementation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {1},
  pages        = {56--62},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20050180},
  doi          = {10.1049/IET-CDT:20050180},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MarsonoEG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MatthewsE08,
  author       = {Brad Matthews and
                  Itamar Elhanany},
  title        = {Hardware architecture for high-speed real-time dynamic programming
                  applications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {3},
  pages        = {164--171},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070027},
  doi          = {10.1049/IET-CDT:20070027},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MatthewsE08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MohantyKP08,
  author       = {Saraju P. Mohanty and
                  Elias Kougianos and
                  Dhiraj K. Pradhan},
  title        = {Simultaneous scheduling and binding for low gate leakage nano-complementary
                  metaloxide-semiconductor data path circuit behavioural synthesis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {2},
  pages        = {118--131},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070108},
  doi          = {10.1049/IET-CDT:20070108},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MohantyKP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Nunez-YanezEC08,
  author       = {Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez and
                  Doug A. Edwards and
                  Antonio Marcello Coppola},
  title        = {Adaptive routing strategies for fault-tolerant on-chip networks in
                  dynamically reconfigurable systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {3},
  pages        = {184--198},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20060175},
  doi          = {10.1049/IET-CDT:20060175},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Nunez-YanezEC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PedrazaCMHL08,
  author       = {C{\'{e}}sar Pedraza and
                  Javier Castillo and
                  Jos{\'{e}} Ignacio Mart{\'{\i}}nez and
                  Pablo Huerta and
                  Carlos S. de La Lama},
  title        = {Self-reconfigurable secure file system for embedded Linux},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {6},
  pages        = {461--470},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070131},
  doi          = {10.1049/IET-CDT:20070131},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PedrazaCMHL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PinoCPPT08,
  author       = {Silvia Del Pino and
                  Daniel Chaver and
                  Luis Pi{\~{n}}uel and
                  Manuel Prieto and
                  Francisco Tirado},
  title        = {Energy reduction of the fetch mechanism through dynamic adaptation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {2},
  pages        = {94--107},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20060179},
  doi          = {10.1049/IET-CDT:20060179},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PinoCPPT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RiveraSHB08,
  author       = {Fredy Rivera and
                  Marcos S{\'{a}}nchez{-}{\'{E}}lez and
                  Rom{\'{a}}n Hermida and
                  Nader Bagherzadeh},
  title        = {Scheduling methodology for conditional execution of kernels onto multicontext
                  reconfigurable architectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {3},
  pages        = {199--213},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070085},
  doi          = {10.1049/IET-CDT:20070085},
  timestamp    = {Fri, 26 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RiveraSHB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RomanMMS08,
  author       = {S. Roman and
                  Hortensia Mecha and
                  Daniel Mozos and
                  Julio Septi{\'{e}}n},
  title        = {Constant complexity scheduling for hardware multitasking in two dimensional
                  reconfigurable field-programmable gate arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {6},
  pages        = {401--412},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070060},
  doi          = {10.1049/IET-CDT:20070060},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RomanMMS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SasakiIHKK08,
  author       = {Takahiro Sasaki and
                  Yuji Ichikawa and
                  Tetsuo Hironaka and
                  Toshiaki Kitamura and
                  Toshio Kondo},
  title        = {Evaluation of low-energy and high-performance processor using variable
                  stages pipeline technique},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {3},
  pages        = {230--238},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070130},
  doi          = {10.1049/IET-CDT:20070130},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SasakiIHKK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/She08,
  author       = {Xiaoxuan She},
  title        = {Self-routing, reconfigurable and fault-tolerant cell array},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {3},
  pages        = {172--183},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070118},
  doi          = {10.1049/IET-CDT:20070118},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/She08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SheebaE08,
  author       = {V. S. Sheeba and
                  Elizabeth Elias},
  title        = {Two-dimensional, two-channel signal-adapted filter banks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {4},
  pages        = {285--294},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070071},
  doi          = {10.1049/IET-CDT:20070071},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SheebaE08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShuKG08,
  author       = {Chang Shu and
                  Soonhak Kwon and
                  Kris Gaj},
  title        = {{FPGA} accelerated multipliers over binary composite fields constructed
                  via low hamming weight irreducible polynomials},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {1},
  pages        = {6--11},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20060168},
  doi          = {10.1049/IET-CDT:20060168},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShuKG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Sinanoglu08,
  author       = {Ozgur Sinanoglu},
  title        = {Construction of an adaptive scan network for test time and data volume
                  reduction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {1},
  pages        = {12--22},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070053},
  doi          = {10.1049/IET-CDT:20070053},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Sinanoglu08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SrinivasanLRAVB08,
  author       = {Suresh Srinivasan and
                  Lin Li and
                  Martino Ruggiero and
                  Federico Angiolini and
                  Narayanan Vijaykrishnan and
                  Luca Benini},
  title        = {Exploring architectural solutions for energy optimisations in bus-based
                  system-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {5},
  pages        = {347--354},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070063},
  doi          = {10.1049/IET-CDT:20070063},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SrinivasanLRAVB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TsaiW08,
  author       = {Po{-}Chang Tsai and
                  Sying{-}Jyan Wang},
  title        = {Multi-mode-segmented scan architecture with layout-aware scan chain
                  routing for test data and test time reduction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {6},
  pages        = {434--444},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070115},
  doi          = {10.1049/IET-CDT:20070115},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TsaiW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VitkovskiJLHN08,
  author       = {Arseni Vitkovski and
                  Axel Jantsch and
                  Robert Lauter and
                  Raimo Haukilahti and
                  Erland Nilsson},
  title        = {Low-power and error protection coding for network-on-chip traffic},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {6},
  pages        = {483--492},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20050060},
  doi          = {10.1049/IET-CDT:20050060},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VitkovskiJLHN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangCL08,
  author       = {Jin Wang and
                  Q. S. Chen and
                  Chong Ho Lee},
  title        = {Design and implementation of a virtual reconfigurable architecture
                  for different applications of intrinsic evolvable hardware},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {5},
  pages        = {386--400},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070124},
  doi          = {10.1049/IET-CDT:20070124},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangCL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XiaTSD08,
  author       = {Feng Xia and
                  Yu{-}Chu Tian and
                  Youxian Sun and
                  Jinxiang Dong},
  title        = {Control-theoretic dynamic voltage scaling for embedded controllers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {5},
  pages        = {377--385},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070112},
  doi          = {10.1049/IET-CDT:20070112},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XiaTSD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YangC08,
  author       = {Jen{-}Ho Yang and
                  Chin{-}Chen Chang},
  title        = {Efficient residue number system iterative modular multiplication algorithm
                  for fast modular exponentiation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {1},
  pages        = {1--5},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070066},
  doi          = {10.1049/IET-CDT:20070066},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YangC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YangTC08,
  author       = {Xiaofan Yang and
                  Yuan Yan Tang and
                  Jianqiu Cao},
  title        = {Embedding torus in hexagonal honeycomb torus},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {2},
  pages        = {86--93},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20050219},
  doi          = {10.1049/IET-CDT:20050219},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YangTC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhouP08,
  author       = {Xiangrong Zhou and
                  Peter Petrov},
  title        = {Low-power and real-time address translation through arithmetic operations
                  for virtual memory support in embedded systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {2},
  pages        = {75--85},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070090},
  doi          = {10.1049/IET-CDT:20070090},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhouP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZuimSC08,
  author       = {Romanelli Lodron Zuim and
                  Jos{\'{e}} T. de Sousa and
                  Claudionor Coelho},
  title        = {Decision heuristic for Davis Putnam, Loveland and Logemann algorithm
                  satisfiability solving based on cube subtraction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {1},
  pages        = {30--39},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20060233},
  doi          = {10.1049/IET-CDT:20060233},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZuimSC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AbasRK07,
  author       = {M. Amir Abas and
                  Gordon Russell and
                  David Kinniment},
  title        = {Embedded high-resolution delay measurement system using time amplification},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {77--86},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060099},
  doi          = {10.1049/IET-CDT:20060099},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AbasRK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AbasRK07a,
  author       = {M. Amir Abas and
                  Gordon Russell and
                  David Kinniment},
  title        = {Built-in time measurement circuits - a comparative design study},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {87--97},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060111},
  doi          = {10.1049/IET-CDT:20060111},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AbasRK07a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AdamidisV07,
  author       = {D. Adamidis and
                  Haridimos T. Vergos},
  title        = {{RNS} multiplication/sum-of-squares units},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {1},
  pages        = {38--48},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060009},
  doi          = {10.1049/IET-CDT:20060009},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AdamidisV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Al-Yamani07,
  author       = {Ahmad A. Al{-}Yamani},
  title        = {Energy-delay efficient test},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {653--658},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060227},
  doi          = {10.1049/IET-CDT:20060227},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Al-Yamani07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlmiladiIAA07,
  author       = {Abdurazzag Sulaiman Almiladi and
                  M. K. Ibrahim and
                  M. Al Akidi and
                  A. Aggoun},
  title        = {High-performance scalable bidirectional mixed radix-2\({}^{\mbox{
                  n}}\) serial-serial multipliers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {632--639},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060107},
  doi          = {10.1049/IET-CDT:20060107},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlmiladiIAA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlordaPS07,
  author       = {Bartomeu Alorda and
                  Ivan de Pa{\'{u}}l and
                  Jaume Segura},
  title        = {Charge-based testing {BIST} for embedded memories},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {481--490},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060058},
  doi          = {10.1049/IET-CDT:20060058},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlordaPS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AmoryGMLM07,
  author       = {Alexandre M. Amory and
                  Kees Goossens and
                  Erik Jan Marinissen and
                  Marcelo Lubaszewski and
                  Fernando Moraes},
  title        = {Wrapper design for the reuse of a bus, network-on-chip, or other functional
                  interconnect as test access mechanism},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {197--206},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060152},
  doi          = {10.1049/IET-CDT:20060152},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AmoryGMLM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Arce-NazarioJR07,
  author       = {Rafael A. Arce{-}Nazario and
                  Manuel Jim{\'{e}}nez and
                  Domingo Rodr{\'{\i}}guez},
  title        = {Algorithmic-level exploration of discrete signal transforms for partitioning
                  to distributed hardware architectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {557--564},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060125},
  doi          = {10.1049/IET-CDT:20060125},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Arce-NazarioJR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ArshakJMI07,
  author       = {Khalil Arshak and
                  Essa Jafer and
                  Declan McDonagh and
                  Christian Ibala},
  title        = {Modelling and simulation of wireless sensor system for health monitoring
                  using {HDL} and Simulinkw mixed environment},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {508--518},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050206},
  doi          = {10.1049/IET-CDT:20050206},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ArshakJMI07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BaradaranD07,
  author       = {Nastaran Baradaran and
                  Pedro C. Diniz},
  title        = {Exploiting parallelism in configurable architectures through custom
                  array mapping},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {303--311},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060181},
  doi          = {10.1049/IET-CDT:20060181},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BaradaranD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BartzoudisHM07,
  author       = {Nikolaos G. Bartzoudis and
                  Andrew B. T. Hopkins and
                  Klaus D. McDonald{-}Maier},
  title        = {Monitoring field-programmable gate array-based processing engines
                  of dependable computer systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {6},
  pages        = {661--668},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060200},
  doi          = {10.1049/IET-CDT:20060200},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BartzoudisHM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BosioCNP07,
  author       = {Alberto Bosio and
                  Stefano Di Carlo and
                  Giorgio Di Natale and
                  Paolo Prinetto},
  title        = {March AB, a state-of-the-art march test for realistic static linked
                  faults and dynamic faults in SRAMs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {237--245},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060137},
  doi          = {10.1049/IET-CDT:20060137},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BosioCNP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BouleCZ07,
  author       = {Marc Boule and
                  Jean{-}Samuel Chenard and
                  Zeljko Zilic},
  title        = {Debug enhancements in assertion-checker generation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {6},
  pages        = {669--677},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060209},
  doi          = {10.1049/IET-CDT:20060209},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BouleCZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BurnsMSKY07,
  author       = {Frank P. Burns and
                  Julian P. Murphy and
                  Delong Shang and
                  Albert Koelmans and
                  A. Yakorlev},
  title        = {Dynamic global security-aware synthesis using SystemC},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {405--413},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060121},
  doi          = {10.1049/IET-CDT:20060121},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BurnsMSKY07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChavesS07,
  author       = {Ricardo Chaves and
                  Leonel Sousa},
  title        = {Improving residue number system multiplication with more balanced
                  moduli sets and enhanced modular arithmetic structures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {472--480},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060059},
  doi          = {10.1049/IET-CDT:20060059},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChavesS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChoC07,
  author       = {K.{-}J. Cho and
                  J.{-}G. Chung},
  title        = {Low error fixed-width two's complement squarer design using Booth-folding
                  technique},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {414--422},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060033},
  doi          = {10.1049/IET-CDT:20060033},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChoC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DanneMP07,
  author       = {Klaus Danne and
                  Roland M{\"{u}}hlenbernd and
                  Marco Platzner},
  title        = {Server-based execution of periodic tasks on dynamically reconfigurable
                  hardware},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {295--302},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060186},
  doi          = {10.1049/IET-CDT:20060186},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DanneMP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DasguptaY07,
  author       = {Sohini Dasgupta and
                  Alex Yakovle},
  title        = {Comparative analysis of {GALS} clocking schemes},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {59--69},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:2006015},
  doi          = {10.1049/IET-CDT:2006015},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DasguptaY07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/El-MalehK07,
  author       = {Aiman El{-}Maleh and
                  S. Saqib Khursheed},
  title        = {Efficient test compaction for combinational circuits based on Fault
                  detection count-directed clustering},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {364--368},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20070004},
  doi          = {10.1049/IET-CDT:20070004},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/El-MalehK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/EllerveeRTU07,
  author       = {Peeter Ellervee and
                  Jaan Raik and
                  Kalle Tammem{\"{a}}e and
                  Raimund Ubar},
  title        = {FPGA-based fault emulation of synchronous sequential circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {70--76},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050065},
  doi          = {10.1049/IET-CDT:20050065},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/EllerveeRTU07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FanMHG07,
  author       = {Xinyue Fan and
                  Will R. Moore and
                  Camelia Hora and
                  Guido Gronthoud},
  title        = {Extending gate-level diagnosis tools to {CMOS} intra-gate faults},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {6},
  pages        = {685--693},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060206},
  doi          = {10.1049/IET-CDT:20060206},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FanMHG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GaoCAL07,
  author       = {Shuli Gao and
                  Noureddine Chabini and
                  Dhamin Al{-}Khalili and
                  J. M. Pierre Langlois},
  title        = {Optimised realisations of large integer multipliers and squarers using
                  embedded blocks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {1},
  pages        = {9--16},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060074},
  doi          = {10.1049/IET-CDT:20060074},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GaoCAL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GarciaCBRBN07,
  author       = {Luz Garc{\'{\i}}a and
                  Gustavo Marrero Callic{\'{o}} and
                  D{\'{a}}cil Barreto and
                  V{\'{\i}}ctor Reyes and
                  Tom{\'{a}}s Bautista and
                  Antonio N{\'{u}}{\~{n}}ez},
  title        = {Towards a configurable SoC {MPEG-4} advanced simple profile decoder},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {451--460},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060054},
  doi          = {10.1049/IET-CDT:20060054},
  timestamp    = {Wed, 22 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GarciaCBRBN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GhermanWSG07,
  author       = {Valentin Gherman and
                  Hans{-}Joachim Wunderlich and
                  J{\"{u}}rgen Schl{\"{o}}ffel and
                  Michael Garbers},
  title        = {Deterministic logic {BIST} for transition fault testing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {180--186},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060131},
  doi          = {10.1049/IET-CDT:20060131},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GhermanWSG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GoelMG07,
  author       = {Sandeep Kumar Goel and
                  Maurice Meijer and
                  Jos{\'{e}} Pineda de Gyvez},
  title        = {Efficient testing and diagnosis of faulty power switches in SOCs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {230--236},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060147},
  doi          = {10.1049/IET-CDT:20060147},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GoelMG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GuglielmoFMP07,
  author       = {Giuseppe Di Guglielmo and
                  Franco Fummi and
                  Cristina Marconcini and
                  Graziano Pravadelli},
  title        = {Improving high-level and gate-level testing with {FATE:} {A} functional
                  automatic test pattern generator traversing unstabilised extended
                  {FSM}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {187--196},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060139},
  doi          = {10.1049/IET-CDT:20060139},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GuglielmoFMP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GuptaRL07,
  author       = {Bidyut Gupta and
                  Shahram Rahimi and
                  Ziping Liu},
  title        = {Novel low-overhead roll-forward recovery scheme for distributed systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {397--404},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060102},
  doi          = {10.1049/IET-CDT:20060102},
  timestamp    = {Sun, 24 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GuptaRL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Gutub07,
  author       = {Adnan Abdul{-}Aziz Gutub},
  title        = {High speed hardware architecture to compute galois fields GF(p) montgomery
                  inversion with scalability features},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {389--396},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060183},
  doi          = {10.1049/IET-CDT:20060183},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Gutub07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HanBC07,
  author       = {Donghoon Han and
                  Soumendu Bhattacharya and
                  Abhijit Chatterjee},
  title        = {Low-cost parametric test and diagnosis of {RF} systems using multi-tone
                  response envelope detection},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {170--179},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060145},
  doi          = {10.1049/IET-CDT:20060145},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HanBC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HaririT07,
  author       = {Yassine Hariri and
                  Claude Thibeault},
  title        = {Bridging fault diagnostic tool based on {DIDDQ} probabilistic signatures,
                  circuit layout parasitics and logic errors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {6},
  pages        = {694--705},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060199},
  doi          = {10.1049/IET-CDT:20060199},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HaririT07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HarjuN07,
  author       = {Lasse Harju and
                  Jari Nurmi},
  title        = {Hardware platform for software-defined {WCDMA/OFDM} baseband receiver
                  implementation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {640--652},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060013},
  doi          = {10.1049/IET-CDT:20060013},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HarjuN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HosseinabadyLLN07,
  author       = {Mohammad Hosseinabady and
                  Pejman Lotfi{-}Kamran and
                  Fabrizio Lombardi and
                  Zainalabedin Navabi},
  title        = {Low overhead {DFT} using {CDFG} by modifying controller},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {322--333},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050133},
  doi          = {10.1049/IET-CDT:20050133},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HosseinabadyLLN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HuVIK07,
  author       = {Jie S. Hu and
                  Narayanan Vijaykrishnan and
                  Mary Jane Irwin and
                  Mahmut T. Kandemir},
  title        = {Optimising power efficiency in trace cache fetch unit},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {334--348},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060170},
  doi          = {10.1049/IET-CDT:20060170},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HuVIK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HuangL07,
  author       = {Yu{-}Jen Huang and
                  Jin{-}Fu Li},
  title        = {Testing ternary content addressable memories with active neighbourhood
                  pattern-sensitive faults},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {246--255},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060134},
  doi          = {10.1049/IET-CDT:20060134},
  timestamp    = {Tue, 17 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HuangL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JaberipurK07,
  author       = {Ghassem Jaberipur and
                  Amir Kaivani},
  title        = {Binary-coded decimal digit multipliers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {377--381},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060160},
  doi          = {10.1049/IET-CDT:20060160},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JaberipurK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KerzerhoCBACR07,
  author       = {Vincent Kerzerho and
                  Philippe Cauvet and
                  Serge Bernard and
                  Florence Aza{\"{\i}}s and
                  Mariane Comte and
                  Michel Renovell},
  title        = {Fully digital test solution for a set of ADCs and DACs embedded in
                  a {SIP} or {SOC}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {146--153},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060136},
  doi          = {10.1049/IET-CDT:20060136},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KerzerhoCBACR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KimSCA07,
  author       = {Byoungho Kim and
                  Hongjoong Shin and
                  Ji Hwan (Paul) Chun and
                  Jacob A. Abraham},
  title        = {Predicting mixed-signal dynamic performance using optimised signature-based
                  alternate test},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {159--169},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060154},
  doi          = {10.1049/IET-CDT:20060154},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KimSCA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KuangW07,
  author       = {Shiann{-}Rong Kuang and
                  Jiun{-}Ping Wang},
  title        = {Design of power-efficient pipelined truncated multipliers with various
                  output precision},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {129--136},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060156},
  doi          = {10.1049/IET-CDT:20060156},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KuangW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LandraultM07,
  author       = {Christian Landrault and
                  Erik Jan Marinissen},
  title        = {Editorial},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {145},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20079007},
  doi          = {10.1049/IET-CDT:20079007},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LandraultM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LaquaiBWS07,
  author       = {Bernd Laquai and
                  Michael Braun and
                  S. Walther and
                  Guido Schulze},
  title        = {Flexible and scalable methodology for testing high-speed source synchronous
                  interfaces on automated test equipment {(ATE)} with multiple fixed
                  phase capture and compare},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {154--158},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060133},
  doi          = {10.1049/IET-CDT:20060133},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LaquaiBWS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LarssonE07,
  author       = {Erik Larsson and
                  Stina Edbom},
  title        = {Test data truncation for test quality maximisation under {ATE} memory
                  depth constraint},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {1},
  pages        = {27--37},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050209},
  doi          = {10.1049/IET-CDT:20050209},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LarssonE07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LawGC07,
  author       = {Chong{-}Fatt Law and
                  Bah{-}Hwee Gwee and
                  Joseph Sylvester Chang},
  title        = {Fast and memory-efficient invariant computation of ordinary Petri
                  nets},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {612--624},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060071},
  doi          = {10.1049/IET-CDT:20060071},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LawGC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LeePSH07,
  author       = {Won{-}Jong Lee and
                  Woo{-}Chan Park and
                  Vason P. Srini and
                  Tack{-}Don Han},
  title        = {Simulation and development environment for mobile 3D graphics architectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {501--507},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050205},
  doi          = {10.1049/IET-CDT:20050205},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LeePSH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LeongKB07,
  author       = {Philip Heng Wai Leong and
                  Andreas Koch and
                  Eduardo I. Boemo},
  title        = {Editorial - Field-programmable logic and applications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {265--266},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20079015},
  doi          = {10.1049/IET-CDT:20079015},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LeongKB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LinHH07,
  author       = {Yan Lin and
                  Mike Hutton and
                  Lei He},
  title        = {Statistical placement for FPGAs considering},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {267--275},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060185},
  doi          = {10.1049/IET-CDT:20060185},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LinHH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiuZL07,
  author       = {Zhen Liu and
                  Kai Zheng and
                  Bin Liu},
  title        = {Hybrid cache architecture for high-speed packet processing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {105--112},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060085},
  doi          = {10.1049/IET-CDT:20060085},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiuZL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LuJ07,
  author       = {Zhonghai Lu and
                  Axel Jantsch},
  title        = {Admitting and ejecting flits in wormhole-switched networks on chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {546--556},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050068},
  doi          = {10.1049/IET-CDT:20050068},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LuJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MantoothFZF07,
  author       = {H. Alan Mantooth and
                  A. Francis and
                  W. Zheng and
                  Yucheng Feng},
  title        = {Modelling tools built upon the hardware description language foundation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {519--527},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050213},
  doi          = {10.1049/IET-CDT:20050213},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MantoothFZF07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MarinissenN07,
  author       = {Erik Jan Marinissen and
                  Nicola Nicolici},
  title        = {Editorial Silicon Debug and Diagnosis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {6},
  pages        = {659--660},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20079026},
  doi          = {10.1049/IET-CDT:20079026},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MarinissenN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MaslovM07,
  author       = {Dmitri Maslov and
                  D. Michael Miller},
  title        = {Comparison of the cost metrics through investigation of the relation
                  between optimal {NCV} and optimal {NCT} three-qubit reversible circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {98--104},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060070},
  doi          = {10.1049/IET-CDT:20060070},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MaslovM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MinanaHLCGL07,
  author       = {Guadalupe Mi{\~{n}}ana and
                  Jos{\'{e}} Ignacio Hidalgo and
                  Juan Lanchares and
                  Jos{\'{e}} Manuel Colmenar and
                  Oscar Garnica and
                  Sonia L{\'{o}}pez},
  title        = {Reducing power of functional units in high-performance processors
                  by checking instruction codes and resizing adders},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {113--119},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060194},
  doi          = {10.1049/IET-CDT:20060194},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MinanaHLCGL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MohantyKR07,
  author       = {Saraju P. Mohanty and
                  Elias Kougianos and
                  Nagarajan Ranganathan},
  title        = {{VLSI} architecture and chip for combined invisible robust and fragile
                  watermarking},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {600--611},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20070057},
  doi          = {10.1049/IET-CDT:20070057},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MohantyKR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MorrisCC07,
  author       = {Gareth W. Morris and
                  George A. Constantinides and
                  Peter Y. K. Cheung},
  title        = {{ROM} to {DSP} block transfer for resource constrained synthesis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {1},
  pages        = {17--26},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060016},
  doi          = {10.1049/IET-CDT:20060016},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MorrisCC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/OtisGSSQRSW07,
  author       = {Brian Otis and
                  Simone Gambini and
                  Rahul C. Shah and
                  Dan Steingart and
                  E. Quevy and
                  Jan M. Rabaey and
                  Alberto L. Sangiovanni{-}Vincentelli and
                  Paul K. Wright},
  title        = {Modelling and simulation techniques for highly integrated, low-power
                  wireless sensor networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {528--536},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050214},
  doi          = {10.1049/IET-CDT:20050214},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/OtisGSSQRSW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PalSDCD07,
  author       = {Bhaskar Pal and
                  Arnab Sinha and
                  Pallab Dasgupta and
                  P. P. Chakrabarti and
                  Kaushik De},
  title        = {Hardware accelerated constrained random test generation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {423--433},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20070016},
  doi          = {10.1049/IET-CDT:20070016},
  timestamp    = {Mon, 07 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PalSDCD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PaoZLZ07,
  author       = {Derek Chi{-}Wai Pao and
                  Peng Zhou and
                  Bin Liu and
                  Xin Zhang},
  title        = {Enhanced prefix inclusion coding filter-encoding algorithm for packet
                  classification with ternary content addressable memory},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {572--580},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060226},
  doi          = {10.1049/IET-CDT:20060226},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PaoZLZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Parandeh-AfsharSAP07,
  author       = {Hadi Parandeh{-}Afshar and
                  Mohsen Saneei and
                  Ali Afzali{-}Kusha and
                  Massoud Pedram},
  title        = {Fast {INC-XOR} codec for low-power address buses},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {625--626},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20070056},
  doi          = {10.1049/IET-CDT:20070056},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Parandeh-AfsharSAP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ParkC07,
  author       = {J. H. Park and
                  Y. Chu},
  title        = {Finite state machine-based {DRAM} power management with early resynchronisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {434--442},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060113},
  doi          = {10.1049/IET-CDT:20060113},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ParkC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PenaTCR07,
  author       = {Eduardo Pe{\~{n}}a and
                  Eduardo de la Torre and
                  Angel de Castro and
                  Teresa Riesgo},
  title        = {A digital system to emulate wireless networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {444--450},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060055},
  doi          = {10.1049/IET-CDT:20060055},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PenaTCR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PengWLH07,
  author       = {Yen{-}Lin Peng and
                  Cheng{-}Wen Wu and
                  Jing{-}Jia Liou and
                  Chih{-}Tsun Huang},
  title        = {BIST-based diagnosis scheme for field programmable gate array interconnect
                  delay faults},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {6},
  pages        = {716--723},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060197},
  doi          = {10.1049/IET-CDT:20060197},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PengWLH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PoehlBARRG07,
  author       = {Frank Poehl and
                  Matthias Beck and
                  Ralf Arnold and
                  Jan Rzeha and
                  Thomas Rabenalt and
                  Michael G{\"{o}}ssel},
  title        = {On-chip evaluation, compensation and storage of scan diagnosis data},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {207--212},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060129},
  doi          = {10.1049/IET-CDT:20060129},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PoehlBARRG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR07,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Worst-case and average-case analysis of n-detection test sets and
                  test generation strategies},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {353--363},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060120},
  doi          = {10.1049/IET-CDT:20060120},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR07a,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Effectiveness of scan-based delay fault tests in diagnosis of transition
                  faults},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {537--545},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20070029},
  doi          = {10.1049/IET-CDT:20070029},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR07a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RamoRMC07,
  author       = {Elena Perez Ramo and
                  Javier Resano and
                  Daniel Mozos and
                  Francky Catthoor},
  title        = {Memory hierarchy for high-performance and energyaware reconfigurable
                  systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {565--571},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060155},
  doi          = {10.1049/IET-CDT:20060155},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RamoRMC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SantosT07,
  author       = {Marcelino B. Santos and
                  Jo{\~{a}}o Paulo Teixeira},
  title        = {Functional-oriented mask-based built-in self-test},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {491--498},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060073},
  doi          = {10.1049/IET-CDT:20060073},
  timestamp    = {Fri, 30 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SantosT07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SilvaF07,
  author       = {Miguel Lino Silva and
                  Jo{\~{a}}o Canas Ferreira},
  title        = {Generation of hardware modules for run-time reconfigurable hybrid
                  {CPU/FPGA} systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {461--471},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060056},
  doi          = {10.1049/IET-CDT:20060056},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SilvaF07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SinghalB07,
  author       = {Love Singhal and
                  Elaheh Bozorgzadeh},
  title        = {Multi-layer floorplanning for reconfigurable designs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {276--294},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20070012},
  doi          = {10.1049/IET-CDT:20070012},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SinghalB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SmorfaO07,
  author       = {Simone Smorfa and
                  Mauro Olivieri},
  title        = {{HW-SW} optimisation of {JPEG2000} wavelet transform for dedicated
                  multimedia processor architectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {137--143},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060119},
  doi          = {10.1049/IET-CDT:20060119},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SmorfaO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SungH07,
  author       = {Tze{-}Yun Sung and
                  Hsi{-}Chin Hsin},
  title        = {Design and simulation of reusable {IP} {CORDIC} core for special-purpose
                  processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {581--589},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060075},
  doi          = {10.1049/IET-CDT:20060075},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SungH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ThomasL07,
  author       = {David B. Thomas and
                  Wayne Luk},
  title        = {Non-uniform random number generation through piecewise linear approximations},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {312--321},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060188},
  doi          = {10.1049/IET-CDT:20060188},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ThomasL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TsoiLL07,
  author       = {Kuen Hung Tsoi and
                  Ka Hei Leung and
                  Philip Heng Wai Leong},
  title        = {High performance physical random number generator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {349--352},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050173},
  doi          = {10.1049/IET-CDT:20050173},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TsoiLL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TzengHH07,
  author       = {Chao{-}Wen Tzeng and
                  J.{-}J. Hsu and
                  Shi{-}Yu Huang},
  title        = {Robust paradigm for diagnosing hold-time faults in scan chains},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {6},
  pages        = {706--715},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060205},
  doi          = {10.1049/IET-CDT:20060205},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TzengHH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VergosE07,
  author       = {Haridimos T. Vergos and
                  Costas Efstathiou},
  title        = {Design of efficient modulo 2\({}^{\mbox{n}}\)+1 multipliers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {1},
  pages        = {49--57},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060026},
  doi          = {10.1049/IET-CDT:20060026},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VergosE07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VermeulenB07,
  author       = {Bart Vermeulen and
                  Sjaak Bakker},
  title        = {Debug architecture for the En-II system chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {6},
  pages        = {678--684},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060217},
  doi          = {10.1049/IET-CDT:20060217},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VermeulenB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangB07,
  author       = {Nan Wang and
                  Magdy A. Bayoumi},
  title        = {System-on-chip communication architecture: dynamic parallel fraction
                  control bus design and test methodologies},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {1},
  pages        = {1--8},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060080},
  doi          = {10.1049/IET-CDT:20060080},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangDWXVI07,
  author       = {Feng Wang and
                  Michael DeBole and
                  Xiaoxia Wu and
                  Yuan Xie and
                  Narayanan Vijaykrishnan and
                  Mary Jane Irwin},
  title        = {On-chip bus thermal analysis and optimisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {590--599},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060116},
  doi          = {10.1049/IET-CDT:20060116},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangDWXVI07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WilsonMS07,
  author       = {Peter R. Wilson and
                  H. Alan Mantooth and
                  P. Schwartz},
  title        = {Editorial Advances in Electronics Systems Simulation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {5},
  pages        = {499--500},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20079024},
  doi          = {10.1049/IET-CDT:20079024},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WilsonMS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XuS07,
  author       = {Gefu Xu and
                  Adit D. Singh},
  title        = {Scan cell design for launch-on-shift delay tests with slow scan enable},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {213--219},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060142},
  doi          = {10.1049/IET-CDT:20060142},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XuS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XuWIY07,
  author       = {Qiang Xu and
                  Baosheng Wang and
                  Andr{\'{e}} Ivanov and
                  Fung Yu Young},
  title        = {Test scheduling for built-in self-tested embedded SRAMs with data
                  retention faults},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {256--264},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060128},
  doi          = {10.1049/IET-CDT:20060128},
  timestamp    = {Thu, 30 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XuWIY07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YangKPLK07,
  author       = {Myung{-}Hoon Yang and
                  Youbean Kim and
                  Youngkyu Park and
                  D. Lee and
                  Sungho Kang},
  title        = {Deterministic built-in self-test using split linear feedback shift
                  register reseeding for low-power testing},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {369--376},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060114},
  doi          = {10.1049/IET-CDT:20060114},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YangKPLK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YangSPHBT07,
  author       = {Guowu Yang and
                  Xiaoyu Song and
                  Marek A. Perkowski and
                  William N. N. Hung and
                  Jacob D. Biamonte and
                  Zhiwei Tang},
  title        = {Four-level realisation of 3-qubit reversible functions},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {382--388},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060097},
  doi          = {10.1049/IET-CDT:20060097},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YangSPHBT07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Ykman-CouvreurNMBCC07,
  author       = {Chantal Ykman{-}Couvreur and
                  Vincent Nollet and
                  Th{\'{e}}odore Marescaux and
                  Erik Brockmeyer and
                  Francky Catthoor and
                  Henk Corporaal},
  title        = {Design-time application mapping and platform exploration for MP-SoC
                  customised run-time management},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {2},
  pages        = {120--128},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060031},
  doi          = {10.1049/IET-CDT:20060031},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Ykman-CouvreurNMBCC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhangRPRA07,
  author       = {Zhuo Zhang and
                  Sudhakar M. Reddy and
                  Irith Pomeranz and
                  Janusz Rajski and
                  Bashir M. Al{-}Hashimi},
  title        = {Enhancing delay fault coverage through low-power segmented scan},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {220--229},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060135},
  doi          = {10.1049/IET-CDT:20060135},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhangRPRA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics