Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "stream:streams/journals/ijcta:"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/ijcta/AdityaSNRP24, author = {Kancharapu Aditya and Yellasiri Suresh and Banavath Shiva Naik and Bhukya Nageswar Rao and Anup Kumar Panda}, title = {A single-source nine-level boost inverter with new optimal switching scheme for {EV} applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {954--972}, year = {2024}, url = {https://doi.org/10.1002/cta.3777}, doi = {10.1002/CTA.3777}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AdityaSNRP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AgrawalRSK24, author = {Soniya Agrawal and Ravitheja Rage and Manoranjan Sahoo and Sateesh Kumar Kuncham}, title = {A single-phase seven-level buck-boost common ground transformerless inverter for grid-connected photovoltaic system}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {853--877}, year = {2024}, url = {https://doi.org/10.1002/cta.3790}, doi = {10.1002/CTA.3790}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AgrawalRSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AlAbsi24, author = {Muneer A. Al{-}Absi}, title = {A novel compact and tunable positive and negative impedance simulator and multiplier}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1587--1596}, year = {2024}, url = {https://doi.org/10.1002/cta.3832}, doi = {10.1002/CTA.3832}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AlAbsi24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AlDoriV24, author = {Osamah Al{-}Dori and Ahmet Mete Vural}, title = {A novel control method for enhanced performance of single-phase matrix converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {302--327}, year = {2024}, url = {https://doi.org/10.1002/cta.3752}, doi = {10.1002/CTA.3752}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AlDoriV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AroraS24, author = {Ankita Arora and Alka Singh}, title = {Fractional delay Newton structure for Lagrangian interpolation in {PV} integrated grid connected system}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1095--1115}, year = {2024}, url = {https://doi.org/10.1002/cta.3827}, doi = {10.1002/CTA.3827}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AroraS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AshrafEK24, author = {Hafiz Muhammad Ashraf and Muqaddas Elahi and Chul{-}Hwan Kim}, title = {A novel technique using stretching, repulsion, and chimp optimization algorithm to find global maximum power point considering complex partial shading conditions}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1322--1341}, year = {2024}, url = {https://doi.org/10.1002/cta.3817}, doi = {10.1002/CTA.3817}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AshrafEK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AtallahHA24, author = {Hany A. Atallah and Rasha Hussein and Adel B. Abdel{-}Rahman}, title = {Reconfigurable dual-band wireless power transfer {(RDB-WPT)} system using meander line for near-field {WPT} applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {129--142}, year = {2024}, url = {https://doi.org/10.1002/cta.3762}, doi = {10.1002/CTA.3762}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AtallahHA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AtesA24, author = {Muzaffer Ates and Muhammet Ates}, title = {Stability and passivity analysis of higher-order differential systems inspired by {RLC} circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1384--1398}, year = {2024}, url = {https://doi.org/10.1002/cta.3799}, doi = {10.1002/CTA.3799}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AtesA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Banchuin24, author = {Rawid Banchuin}, title = {On the fractional domain analysis of negative group delay circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1531--1546}, year = {2024}, url = {https://doi.org/10.1002/cta.3819}, doi = {10.1002/CTA.3819}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Banchuin24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BeattieO24, author = {Bakr Al Beattie and Karlheinz Ochs}, title = {A better SPQR-tree decomposition of electrical circuits containing multiports and its application to wave digital emulation}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {536--550}, year = {2024}, url = {https://doi.org/10.1002/cta.3781}, doi = {10.1002/CTA.3781}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BeattieO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhanuchandarM24, author = {Aratipamula Bhanuchandar and Bhagwan K. Murthy}, title = {A new single modulating and single carrier-based predictive current control technique for single-phase quadruple boost multilevel inverter topology}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {793--811}, year = {2024}, url = {https://doi.org/10.1002/cta.3779}, doi = {10.1002/CTA.3779}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BhanuchandarM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhanuchandarM24a, author = {Aratipamula Bhanuchandar and Bhagwan K. Murthy}, title = {A new floor function single-carrier-based modulated model predictive current control technique for single-phase {PUC5} inverter topology}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {812--834}, year = {2024}, url = {https://doi.org/10.1002/cta.3784}, doi = {10.1002/CTA.3784}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BhanuchandarM24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhanuchandarM24b, author = {Aratipamula Bhanuchandar and Bhagwan K. Murthy}, title = {Modulated model predictive current control technique for single phase nine-level T-type packed U-cell inverter topology}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1302--1321}, year = {2024}, url = {https://doi.org/10.1002/cta.3818}, doi = {10.1002/CTA.3818}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BhanuchandarM24b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhaskarSRK24, author = {Data Ram Bhaskar and Meghana Shrivastava and Ajishek Raj and Pragati Kumar}, title = {Floating parallel lossy inductance, parallel lossy capacitance, parallel C-D, and lossless capacitance multiplier circuits using current feedback operational amplifiers}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1489--1517}, year = {2024}, url = {https://doi.org/10.1002/cta.3828}, doi = {10.1002/CTA.3828}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BhaskarSRK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChandrasekharVK24, author = {Valluru Chandrasekhar and Neti Vishwanathan and Hemasundara Rao Kolla}, title = {Soft-switched full-bridge light-emitting diode driver with reduced rectifier components}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1342--1357}, year = {2024}, url = {https://doi.org/10.1002/cta.3820}, doi = {10.1002/CTA.3820}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChandrasekharVK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChankayaAHAL24, author = {Mukul Chankaya and Masiha Aijaz and Ikhlaq Hussain and Aijaz Ahmad and Shameem Ahmad Lone}, title = {Advanced adaptive algorithm controlled single-phase {DSTATCOM} operation during weak grid conditions}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1158--1172}, year = {2024}, url = {https://doi.org/10.1002/cta.3815}, doi = {10.1002/CTA.3815}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChankayaAHAL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenHW24, author = {Zhao Chen and Haihong Huang and Haixin Wang}, title = {Disturbance supression improved discrete sliding mode fast current tracking control of experimental advanced superconducting tokamak fast control power supply}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1231--1249}, year = {2024}, url = {https://doi.org/10.1002/cta.3808}, doi = {10.1002/CTA.3808}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenWCQF24, author = {Lu Chen and Shunli Wang and Lei Chen and Jialu Qiao and Carlos Fernandez}, title = {High-precision state of charge estimation of lithium-ion batteries based on improved particle swarm optimization-backpropagation neural network-dual extended Kalman filtering}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1192--1209}, year = {2024}, url = {https://doi.org/10.1002/cta.3788}, doi = {10.1002/CTA.3788}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenWCQF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenWYLTL24, author = {Zhigang Chen and Yamei Wang and Liyong Yang and Shuo Liu and Chaonan Tong and Yongchao Lv}, title = {Analysis and control of single-phase transformerless dual-frequency grid-connected inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {878--896}, year = {2024}, url = {https://doi.org/10.1002/cta.3787}, doi = {10.1002/CTA.3787}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenWYLTL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenZTWX24, author = {Zhangyong Chen and Zhanchao Zheng and Xuan Tang and Yunfeng Wu and Tianyu Xiang}, title = {Efficiency improvement of dual active bridge converter using simple graphical optimization method}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {248--262}, year = {2024}, url = {https://doi.org/10.1002/cta.3754}, doi = {10.1002/CTA.3754}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenZTWX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DasSG24, author = {Sourabh Das and Susovon Samanta and Supratim Gupta}, title = {Online state-of-charge estimation by modified Coulomb counting method based on the estimated parameters of lithium-ion battery}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {749--768}, year = {2024}, url = {https://doi.org/10.1002/cta.3806}, doi = {10.1002/CTA.3806}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DasSG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DhoteLB24, author = {V. P. Dhote and Makarand M. Lokhande and Obulapathi Balapanuru}, title = {Selection of power sharing factor for hybrid battery/ultracapacitor energy storage-based {EV} through load emulation technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1568--1586}, year = {2024}, url = {https://doi.org/10.1002/cta.3836}, doi = {10.1002/CTA.3836}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DhoteLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GeorgievUTT24, author = {Zhivko Georgiev and Ivan Uzunov and Todor Todorov and Ivan M. Trushev}, title = {The Poincar{\'{e}}-Andronov-Hopf bifurcation theory and its application to nonlinear analysis of {RC} phase-shift oscillator}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1399--1437}, year = {2024}, url = {https://doi.org/10.1002/cta.3783}, doi = {10.1002/CTA.3783}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GeorgievUTT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhasemiK24, author = {Razieh Ghasemi and Mohammad Azim Karami}, title = {A low phase noise quadrature {VCO} using super-harmonic coupling technique in 65-nm {CMOS} technology}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {97--110}, year = {2024}, url = {https://doi.org/10.1002/cta.3765}, doi = {10.1002/CTA.3765}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GhasemiK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GrigolettoCCLS24, author = {Felipe Bovolini Grigoletto and Sound Cedieu and Diego B. Chaves and Sze Sing Lee and Yam Prasad Siwakoti}, title = {A five-level common-ground inverter with step-up/step-down dual-mode operation for transformerless grid-connected {PV} application}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1210--1230}, year = {2024}, url = {https://doi.org/10.1002/cta.3785}, doi = {10.1002/CTA.3785}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GrigolettoCCLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GuoCWCWZ24, author = {Chao Guo and Yingmei Chen and Hui Wang and Xu Chen and Chenghao Wu and Yizhou Zhao}, title = {A 25-Gb/s/pin ground-referenced signaling transceiver with a DC-coupled equalizer for on-package communication}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {494--512}, year = {2024}, url = {https://doi.org/10.1002/cta.3774}, doi = {10.1002/CTA.3774}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GuoCWCWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HajiakbariA24, author = {Fatemeh Hajiakbari and Mohammadreza Ashraf}, title = {A wide input range, 94{\%} peak efficiency auto-select multi-mode boost converter for thermoelectric energy harvesting}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {569--581}, year = {2024}, url = {https://doi.org/10.1002/cta.3796}, doi = {10.1002/CTA.3796}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HajiakbariA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HuS24, author = {Hongjun Hu and Haikuo Shen}, title = {Design and implementation of analog tracking filter for ac signal acquisition}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {44--64}, year = {2024}, url = {https://doi.org/10.1002/cta.3760}, doi = {10.1002/CTA.3760}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HuS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HuXWDC24, author = {Xuefeng Hu and Zijun Xu and Lin Wang and Songsheng Dong and Jiawei Chen}, title = {A transformer-less boost {DC-DC} converter with high gain and continuous input current for fuel cell vehicle}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {835--852}, year = {2024}, url = {https://doi.org/10.1002/cta.3770}, doi = {10.1002/CTA.3770}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HuXWDC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ImanlouNB24, author = {Arash Imanlou and Ebrahim Seifi Najmi and Ebrahim Babaei}, title = {A new high voltage gain {DC-DC} converter based on active switched-inductor technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {634--657}, year = {2024}, url = {https://doi.org/10.1002/cta.3768}, doi = {10.1002/CTA.3768}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ImanlouNB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JiangW24, author = {Yuanhuai Jiang and Xiaogang Wang}, title = {Controller parameters design of a fractional-order LCL-type grid-connected inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {769--792}, year = {2024}, url = {https://doi.org/10.1002/cta.3804}, doi = {10.1002/CTA.3804}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JiangW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JiangWZZY24, author = {Jie Jiang and Chun Wang and Qiang Zhang and Yongzhi Zhang and Quanqing Yu}, title = {Wavelet transform-based real-time energy management strategy of hybrid energy storage system for electric vehicle}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1136--1157}, year = {2024}, url = {https://doi.org/10.1002/cta.3822}, doi = {10.1002/CTA.3822}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JiangWZZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KNRP24, author = {Jithin K and Mayadevi N and Harikumar R and Mini V. P}, title = {A novel system matrix building algorithm for stability analysis of interconnected {DC} microgrids}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {973--1003}, year = {2024}, url = {https://doi.org/10.1002/cta.3764}, doi = {10.1002/CTA.3764}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KNRP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KakodiaD24, author = {Sanjay Kumar Kakodia and Giribabu Dyanamina}, title = {Improved Federal Test Procedure {(FTP75)} driving cycle performance for PMSM-fed hybrid electric vehicles using artificial neural network}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {1004--1026}, year = {2024}, url = {https://doi.org/10.1002/cta.3786}, doi = {10.1002/CTA.3786}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KakodiaD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KaruppusamySK24, author = {Suganthi Karuppusamy and Sethuraman S. Somalinga and Sundararaman Kumaraswami}, title = {Coupled inductor-based triple output bi-polar converter with duty cycle estimation}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1465--1488}, year = {2024}, url = {https://doi.org/10.1002/cta.3813}, doi = {10.1002/CTA.3813}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KaruppusamySK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KheyriGB24, author = {Ramin Kheyri and Hossein Gholizade{-}Narm and Ebrahim Babaei}, title = {Compact three-phase multilevel inverter with boosting capability for low-power three-phase induction motor drive}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {658--673}, year = {2024}, url = {https://doi.org/10.1002/cta.3775}, doi = {10.1002/CTA.3775}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KheyriGB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarK24, author = {Kasoju Bharath Kumar and Kunisetti V. Praveen Kumar}, title = {Simple predictive torque control of an open-end winding interior permanent magnet synchronous motor drive without weighting factor for electric vehicle applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {280--301}, year = {2024}, url = {https://doi.org/10.1002/cta.3744}, doi = {10.1002/CTA.3744}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumarK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiCWZYW24, author = {Yaopu Li and Hong Cheng and Cong Wang and Zhihao Zhao and Wei Yuan and Jun Wang}, title = {A novel bridgeless flying capacitor multilevel rectifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {207--224}, year = {2024}, url = {https://doi.org/10.1002/cta.3761}, doi = {10.1002/CTA.3761}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiCWZYW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiYYZ24, author = {Yulong Li and Ming Yang and Zhuo Yang and Jun Zhu}, title = {A weighted average mixed feedback control method based on LCL-type grid-connected inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {723--748}, year = {2024}, url = {https://doi.org/10.1002/cta.3798}, doi = {10.1002/CTA.3798}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiYYZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LinWLHZHH24, author = {Wei{-}Cheng Lin and Ching{-}Yi Wu and Chien{-}Hung Liao and Chun{-}Ting Hsieh and Ren{-}Jie Zeng and Yun{-}Yu Hsieh and Peng{-}Ru Hou}, title = {Study and implementation of fluxgate current sensor with wireless connectivity for finger exoskeleton rehabilitation}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {1--15}, year = {2024}, url = {https://doi.org/10.1002/cta.3753}, doi = {10.1002/CTA.3753}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LinWLHZHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuCWLCHSZ24, author = {Pu Liu and Yibo Cui and Cong Wang and Yan Liang and Zhongting Chang and Kun Han and Siyuan Shen and Guangjie Zuo}, title = {Sensorless model predictive control based on I-f integrated sliding mode observer for surface permanent magnet synchronous motor}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {934--953}, year = {2024}, url = {https://doi.org/10.1002/cta.3776}, doi = {10.1002/CTA.3776}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuCWLCHSZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuD24, author = {Bo Liu and Puliang Du}, title = {Virtual inertia and droop parameters quantitative determination method for {VSC-HVDC} in receiving-end area power systems with online inertia estimation based}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1280--1301}, year = {2024}, url = {https://doi.org/10.1002/cta.3810}, doi = {10.1002/CTA.3810}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuYQYWL24, author = {Wenjun Liu and Jiahao Yan and Zhiwen Qin and Bo Yuan and Yaoqiang Wang and Jun Liang}, title = {Port reduction operation of a three-port nonagonal modular multilevel converter as soft open point}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {618--633}, year = {2024}, url = {https://doi.org/10.1002/cta.3789}, doi = {10.1002/CTA.3789}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuYQYWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuZ24, author = {Yicheng Liu and Junwei Zhang}, title = {Misalignment tolerance improvement and high efficiency design for wireless power transfer system based on {DDQ-DD} coil}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {111--128}, year = {2024}, url = {https://doi.org/10.1002/cta.3749}, doi = {10.1002/CTA.3749}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LuoCHZJ24, author = {Peng Luo and Yongyan Chen and Junzhe Hong and Lanyi Zhou and Haoyu Jiang}, title = {Implementation of a novel buck-boost converter based on coupled inductor for renewable energy applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {225--247}, year = {2024}, url = {https://doi.org/10.1002/cta.3759}, doi = {10.1002/CTA.3759}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LuoCHZJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MittalSC24, author = {Sudhanshu Mittal and Alka Singh and Prakash Chittora}, title = {Design and development of leaky least mean fourth control algorithm for single-phase grid-connected multilevel inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {328--345}, year = {2024}, url = {https://doi.org/10.1002/cta.3746}, doi = {10.1002/CTA.3746}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MittalSC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NakoPE24, author = {Julia Nako and Costas Psychalinos and Ahmed S. Elwakil}, title = {Complex-order controller design examples and their implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {473--482}, year = {2024}, url = {https://doi.org/10.1002/cta.3751}, doi = {10.1002/CTA.3751}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NakoPE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NiuLW24, author = {Zhiwen Niu and Xinquan Lai and Bingyuan Wang}, title = {A wide input voltage range, high power supply rejection low-dropout regulator with a closed-loop charge pump for sensor front-end circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {27--43}, year = {2024}, url = {https://doi.org/10.1002/cta.3766}, doi = {10.1002/CTA.3766}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NiuLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PanZRYND24, author = {Zeyu Pan and Yu Zhang and Dan Ren and Jinsheng Yang and Bao{-}Lin Nie and Pingan Du}, title = {A bipolar junction transistor {EMC} modeling method based on physical characteristic measurement and simplex optimization}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {551--568}, year = {2024}, url = {https://doi.org/10.1002/cta.3797}, doi = {10.1002/CTA.3797}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PanZRYND24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/QiBXJ24, author = {Ge Qi and Zhenfan Bu and Shuai Xu and Xiaochang Jiang}, title = {Equivalent circuit modeling and optimization design for a novel double-stator linear-rotary switched reluctance motor}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1056--1078}, year = {2024}, url = {https://doi.org/10.1002/cta.3826}, doi = {10.1002/CTA.3826}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/QiBXJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RM24, author = {Sundar R and Senthil Kumar M}, title = {A novel isolated multi-port interleaved flyback converter operated {TPSL-DVR} for smallscale distribution system}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1027--1055}, year = {2024}, url = {https://doi.org/10.1002/cta.3812}, doi = {10.1002/CTA.3812}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RageSTA24, author = {Ravitheja Rage and Manoranjan Sahoo and Karthik Thirumala and Soniya Agarwal}, title = {A transformerless common ground-based 1- {\(\phi\)} single-stage switched boost inverter for solar photovoltaic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {897--919}, year = {2024}, url = {https://doi.org/10.1002/cta.3793}, doi = {10.1002/CTA.3793}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RageSTA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RehmanFSTL24, author = {Haroon Rehman and Mohammad Fahad and Adil Sarwar and Mohd Tariq and Chang{-}Hua Lin}, title = {Performance evaluation of five-level packed U-cell inverter and its fault-tolerant variants}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {598--617}, year = {2024}, url = {https://doi.org/10.1002/cta.3803}, doi = {10.1002/CTA.3803}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RehmanFSTL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RenYWX24, author = {Sheng Ren and Ping Yang and Xiaoqiang Wang and Jianping Xu}, title = {A reconstructed {LCC-LCC} compensated inductive power transfer system with inherent constant current to constant voltage transition capability}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {263--279}, year = {2024}, url = {https://doi.org/10.1002/cta.3758}, doi = {10.1002/CTA.3758}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RenYWX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RoyKNB24, author = {Priyankar Roy and Manish Kurre and Hari Charan Nannam and Atanu Banerjee}, title = {Reliability evaluation of carrier-based pulse width modulated three-level F-type neutral point clamped inverter with power loss analysis}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {162--187}, year = {2024}, url = {https://doi.org/10.1002/cta.3737}, doi = {10.1002/CTA.3737}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RoyKNB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShanLLSF24, author = {Yiqian Shan and Yuan Liang and Chenyang Li and Weifeng Sun and Zhongyuan Fang}, title = {Review of recent progress on solid-state millimeter-wave and terahertz signal sources}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {439--472}, year = {2024}, url = {https://doi.org/10.1002/cta.3726}, doi = {10.1002/CTA.3726}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ShanLLSF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SheikhB24, author = {Aabid Hussain Sheikh and Farhad Ilahi Bakhsh}, title = {Optimizing power system stability: An artificial neural network approach for controlling variable frequency transformer}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1250--1279}, year = {2024}, url = {https://doi.org/10.1002/cta.3800}, doi = {10.1002/CTA.3800}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SheikhB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SivaperumalJ24, author = {Narthana Sivaperumal and Gnanavadivel Jothimani}, title = {A single-stage bridgeless isolated positive output Cuk configuration-based unidirectional onboard battery charger}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {396--419}, year = {2024}, url = {https://doi.org/10.1002/cta.3757}, doi = {10.1002/CTA.3757}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SivaperumalJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SohrabiB24, author = {Zahra Sohrabi and Sara Behnazar}, title = {Employing bias current feedback in {MIC} transimpedance amplifier to increase bandwidth}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {16--26}, year = {2024}, url = {https://doi.org/10.1002/cta.3763}, doi = {10.1002/CTA.3763}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SohrabiB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SongSL24, author = {Jian Song and Wenxiang Song and Hongmin Lin}, title = {DC-link voltage control of small capacitor {PMSM} drive system based on {MPC} algorithm}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {346--363}, year = {2024}, url = {https://doi.org/10.1002/cta.3739}, doi = {10.1002/CTA.3739}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SongSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TangWWL24, author = {Shengxue Tang and Hongfan Wang and Weiwei Wang and Chenglong Liu}, title = {A fault diagnosis method for active power factor correction power supply based on seagull algorithm optimized kernel-based extreme learning machine}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1116--1135}, year = {2024}, url = {https://doi.org/10.1002/cta.3821}, doi = {10.1002/CTA.3821}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TangWWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TangXZQXC24, author = {Zheren Tang and Wenxun Xiao and Bo Zhang and Dongyuan Qiu and Fan Xie and Yanfeng Chen}, title = {H-infinity loop shaping control of wireless power transfer system based on generalized state space averaging model}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {364--379}, year = {2024}, url = {https://doi.org/10.1002/cta.3772}, doi = {10.1002/CTA.3772}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TangXZQXC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TianGCGY24, author = {Zijian Tian and Bochao Guo and Wei Chen and Ni Guo and Ting Yu}, title = {{C2DD} - An omnidirectional receiver based on single-coil transmitter}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1438--1449}, year = {2024}, url = {https://doi.org/10.1002/cta.3782}, doi = {10.1002/CTA.3782}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TianGCGY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Tofoli24, author = {Fernando Lessa Tofoli}, title = {Unified small-signal model for {DC-DC} converters based on the three-state switching cell operating in discontinuous conduction mode}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {694--722}, year = {2024}, url = {https://doi.org/10.1002/cta.3805}, doi = {10.1002/CTA.3805}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Tofoli24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TsaoH24, author = {Chien{-}Ming Tsao and Heng{-}Tung Hsu}, title = {A dual-band polarization switchable antenna switch module for 5G new-radio applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {483--493}, year = {2024}, url = {https://doi.org/10.1002/cta.3778}, doi = {10.1002/CTA.3778}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TsaoH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TsengHH24, author = {Kuo{-}Ching Tseng and Guan{-}Yu Huang and Hsin{-}Yuan Hsiung}, title = {Isolated high step-down {DC-DC} converter with current-doubler rectifier for ultracapacitor charger applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {582--597}, year = {2024}, url = {https://doi.org/10.1002/cta.3795}, doi = {10.1002/CTA.3795}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TsengHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangWXQY24, author = {Song Wang and Wei Wang and Fei Xie and Shengxuan Qiu and Fengye Yang}, title = {Cut-set matrix methods for frequency response analysis curves of lumped parameter equivalent circuit model of three-winding power transformer}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1518--1530}, year = {2024}, url = {https://doi.org/10.1002/cta.3833}, doi = {10.1002/CTA.3833}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangWXQY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WeiLWYCH24, author = {Xuepeng Wei and Yonglun Luo and Yulan Wu and Guoqing Yuan and Rong Chang and Guoping Hong}, title = {A new continuous Class-E mode based on the general theory of high-efficiency continuous power amplifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {65--78}, year = {2024}, url = {https://doi.org/10.1002/cta.3750}, doi = {10.1002/CTA.3750}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WeiLWYCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/XieS24, author = {Qixu Xie and Guoyong Shi}, title = {Multistage Op Amp design space exploration by g m / {I} {D} sampling and symbolic design equations}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1358--1383}, year = {2024}, url = {https://doi.org/10.1002/cta.3825}, doi = {10.1002/CTA.3825}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/XieS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YanWXTZ24, author = {Tiesheng Yan and Hao Wen and Haogang Xia and Quanbao Tao and Guohua Zhou}, title = {Single-stage triple-output Cuk power factor correction converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1173--1191}, year = {2024}, url = {https://doi.org/10.1002/cta.3794}, doi = {10.1002/CTA.3794}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YanWXTZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangLX24, author = {Fan Yang and Zhanguo Li and Yuehang Xu}, title = {An improved harmonics suppression power amplifier circuit using integrated self-resonant capacitor for radar application}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {513--522}, year = {2024}, url = {https://doi.org/10.1002/cta.3780}, doi = {10.1002/CTA.3780}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YangLX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangZWL24, author = {Lin Yang and Xuan Zhang and Jiarong Wu and Liping Luo}, title = {Robust control for non-minimum phase and cross-regulation in single-inductor dual-output boost converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {920--933}, year = {2024}, url = {https://doi.org/10.1002/cta.3791}, doi = {10.1002/CTA.3791}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YangZWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YiMLLC24, author = {Junhong Yi and Hongbo Ma and Song Lu and Xiaobin Li and Zhe Chen}, title = {A novel {PFM/PWM} hybrid modulated single-stage {FSBB-LLC} {AC-DC} converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {143--161}, year = {2024}, url = {https://doi.org/10.1002/cta.3771}, doi = {10.1002/CTA.3771}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YiMLLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YousefiMASA24, author = {Nasser Yousefi and Davar Mirabbasi and Behrouz Alfi and Mahdi Salimi and Gholamreza Aghajani}, title = {A non-isolated {DC-DC} topology with high voltage rate based on magnetic coupling and voltage multiplier method}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {188--206}, year = {2024}, url = {https://doi.org/10.1002/cta.3745}, doi = {10.1002/CTA.3745}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YousefiMASA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZakariaAMM24, author = {Ahmed Zakaria and Ibrahim Abdelsalam and Mostafa I. Marei and Hussein M. Mashaly}, title = {A hybrid bridgeless {AC-DC} converter topology}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {674--693}, year = {2024}, url = {https://doi.org/10.1002/cta.3773}, doi = {10.1002/CTA.3773}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZakariaAMM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZengWCZFG24, author = {Jiawei Zeng and Shunli Wang and Wen Cao and Mengyun Zhang and Carlos Fernandez and Josep M. Guerrero}, title = {Improved fractional-order hysteresis-equivalent circuit modeling for the online adaptive high-precision state of charge prediction of urban-electric-bus lithium-ion batteries}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {420--438}, year = {2024}, url = {https://doi.org/10.1002/cta.3767}, doi = {10.1002/CTA.3767}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZengWCZFG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangCZWZLW24, author = {Qiye Zhang and Changsong Cai and Yanpu Zhao and Junhua Wang and Fan Zhang and Yang Luo and Xi Wu}, title = {An adaptive feedback regulation method of wireless power transfer systems for compensating battery-based source's fluctuations}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1079--1094}, year = {2024}, url = {https://doi.org/10.1002/cta.3816}, doi = {10.1002/CTA.3816}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangCZWZLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangLCWJM24, author = {Yiming Zhang and Bo Luo and Hao Cheng and Zhuling Wang and Chaoqiang Jiang and Ruikun Mai}, title = {A multi-loads capacitive power transfer system for railway intelligent monitoring systems based on single relay plate}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {79--96}, year = {2024}, url = {https://doi.org/10.1002/cta.3755}, doi = {10.1002/CTA.3755}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangLCWJM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangLMFZZ24, author = {Pengyu Zhang and Junfeng Liu and Mingze Ma and Zijie Fang and Hao Zhou and Jun Zeng}, title = {Review on single-phase high-frequency resonant inverters for current sharing in multiple inverter system}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1547--1567}, year = {2024}, url = {https://doi.org/10.1002/cta.3829}, doi = {10.1002/CTA.3829}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangLMFZZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangNWLWD24, author = {Wei Zhang and Bao{-}Lin Nie and Jinping Wang and Enbo Liu and Jiabao Wang and Pingan Du}, title = {A highly accurate and robust source reconstruction method of printed circuit boards based on complex-valued neural network}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {2}, pages = {523--535}, year = {2024}, url = {https://doi.org/10.1002/cta.3802}, doi = {10.1002/CTA.3802}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangNWLWD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhouWC24, author = {Lei Zhou and Chune Wang and Xiaozhong Chen}, title = {A GHz-level memristor emulator with only {MOSFET}}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {3}, pages = {1450--1464}, year = {2024}, url = {https://doi.org/10.1002/cta.3809}, doi = {10.1002/CTA.3809}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhouWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZixuanDLS24, author = {Luo Zixuan and Qu Dawei and Fan Luyan and Yang Shuo}, title = {State of charge estimation for the vanadium redox flow battery based on the Sage-Husa adaptive extended Kalman filter}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {1}, pages = {380--395}, year = {2024}, url = {https://doi.org/10.1002/cta.3734}, doi = {10.1002/CTA.3734}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZixuanDLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AalamiBZI23, author = {Mohammadamin Aalami and Ebrahim Babaei and Saeid Ghassem Zadeh and Atif Iqbal}, title = {Trans Z-source-based half-bridge inverter: {A} method for achieving high voltage gain}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {197--222}, year = {2023}, url = {https://doi.org/10.1002/cta.3400}, doi = {10.1002/CTA.3400}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AalamiBZI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbasiTR23, author = {Vahid Abbasi and Kambiz Mohammadi Tanha and Milad Rezaie}, title = {Ultrahigh step-up {DC-DC} converter consisting quadratic boost converter, multiplier cell, and three windings coupled inductor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {147--176}, year = {2023}, url = {https://doi.org/10.1002/cta.3423}, doi = {10.1002/CTA.3423}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbbasiTR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbasianOAAM23, author = {Erfan Abbasian and Maedeh Orouji and Sana Taghipour Anvari and Alireza Asadi and Ehsan Mahmoodi}, title = {An ultra-low power and energy-efficient ternary Half-Adder based on unary operators and two ternary 3:1 multiplexers in 32-nm {GNRFET} technology}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4969--4983}, year = {2023}, url = {https://doi.org/10.1002/cta.3667}, doi = {10.1002/CTA.3667}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbbasianOAAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbaspourK23, author = {Hasan Abbaspour and Hossein Madadi Kojabadi}, title = {Design and implementation of ground power unit using a three-level fault-tolerant neutral point clamped inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1372--1388}, year = {2023}, url = {https://doi.org/10.1002/cta.3459}, doi = {10.1002/CTA.3459}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbbaspourK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbaszadehJ23, author = {Saber Abbaszadeh and Mohsen Jalali}, title = {A fully digital open-loop clock and data synchronizer for ultra-low power super-regenerative receivers}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1579--1595}, year = {2023}, url = {https://doi.org/10.1002/cta.3502}, doi = {10.1002/CTA.3502}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbbaszadehJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbdelrahmanFASR23, author = {Doaa K. Abdelrahman and Mohammed E. Fouda and Ihsen Alouani and Lobna A. Said and Ahmed G. Radwan}, title = {Ternary {SRAM} circuit designs with CNTFETs}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3443--3453}, year = {2023}, url = {https://doi.org/10.1002/cta.3586}, doi = {10.1002/CTA.3586}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbdelrahmanFASR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbderrahimAC23, author = {Sahbi Abderrahim and Moez Allouche and Mohamed Chaabane}, title = {Intelligent power control of wind conversion system based on Takagi-Sugeno fuzzy model}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2247--2265}, year = {2023}, url = {https://doi.org/10.1002/cta.3517}, doi = {10.1002/CTA.3517}, timestamp = {Sat, 27 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbderrahimAC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbdipourHG23, author = {Ashkan Abdipour and Mohsen Hayati and Andrei Grebennikov}, title = {Implementation of class-E/F\({}_{\mbox{3}}\) power amplifiers based on the variations of the {MOSFET} grading coefficient, built-in potential and output capacitance along with their roles in {MOSFET} selectivity}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1132--1152}, year = {2023}, url = {https://doi.org/10.1002/cta.3487}, doi = {10.1002/CTA.3487}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbdipourHG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbdoliHME23, author = {Iman Abdoli and Mostafa Karimi Hajiabadi and Ali Mosallanejad and Alireza Lahooti Eshkevari}, title = {A single-phase p-type ac-ac converter with reduced components count and high boost factor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {360--378}, year = {2023}, url = {https://doi.org/10.1002/cta.3426}, doi = {10.1002/CTA.3426}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbdoliHME23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbdoliM23, author = {Iman Abdoli and Ali Mosallanejad}, title = {An isolated high-gain impedance source-based single-phase {AC-AC} converter with safe commutation and variable output frequency}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4294--4316}, year = {2023}, url = {https://doi.org/10.1002/cta.3651}, doi = {10.1002/CTA.3651}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbdoliM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AdigintlaA23, author = {Sudheer Adigintla and Mohan V. Aware}, title = {Improved constant phase fractional order approximation method for induction motor {FOPI} speed controller}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1069--1091}, year = {2023}, url = {https://doi.org/10.1002/cta.3472}, doi = {10.1002/CTA.3472}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AdigintlaA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Afzal0T23, author = {Raheel Afzal and Yu Tang and Haisheng Tong}, title = {An integrated coupled inductor-capacitor {DC-DC} high step-up converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2798--2818}, year = {2023}, url = {https://doi.org/10.1002/cta.3544}, doi = {10.1002/CTA.3544}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Afzal0T23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AgarwalGS23, author = {Ritika Agarwal and Krishna Kumar Gupta and Shakti Singh}, title = {A double boost 9-level switched capacitor-based multilevel inverter for photovoltaic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3288--3315}, year = {2023}, url = {https://doi.org/10.1002/cta.3596}, doi = {10.1002/CTA.3596}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AgarwalGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AhmadiE23, author = {Mohammad Ahmadi and Emad Ebrahimi}, title = {A low power external capacitor-less low drop-out regulator with low over/undershoot voltage and high {PSR}}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5009--5025}, year = {2023}, url = {https://doi.org/10.1002/cta.3719}, doi = {10.1002/CTA.3719}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AhmadiE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AhmadianHA23, author = {Sina Ahmadian and Siroos Hemmati and Vahid Abbasi}, title = {Multi-port single-phase inverter considering battery-alone mode for stand-alone applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5401--5430}, year = {2023}, url = {https://doi.org/10.1002/cta.3712}, doi = {10.1002/CTA.3712}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AhmadianHA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AnYCHYL23, author = {Dingguo An and Lifen Yuan and Zhen Cheng and Yigang He and Baiqiang Yin and Bing Li}, title = {A novel time delay-based phase-locked loop with improved anti-harmonic interference performance for grid synchronization}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5634--5649}, year = {2023}, url = {https://doi.org/10.1002/cta.3733}, doi = {10.1002/CTA.3733}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AnYCHYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AnYLC23, author = {Huilin An and Jian Yuan and Jun Li and Liqiang Cao}, title = {Long-distance and anti-disturbance wireless power transfer based on concentric three-coil resonator and inhomogeneous electromagnetic metamaterials}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2030--2045}, year = {2023}, url = {https://doi.org/10.1002/cta.3531}, doi = {10.1002/CTA.3531}, timestamp = {Sat, 27 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AnYLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AnandPYS23, author = {Nidumolu Vijaya Anand and A. V. J. S. Praneeth and Naveen Yalla and Vijay Kumar Sood}, title = {A quasi-two-switch power factor correction converter for on-board battery chargers}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5859--5877}, year = {2023}, url = {https://doi.org/10.1002/cta.3704}, doi = {10.1002/CTA.3704}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AnandPYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AndradeFG23, author = {Ant{\'{o}}nio Manuel Santos Spencer Andrade and Tiago Miguel Klein Faistel and Ronaldo Antonio Guisso}, title = {Evaluation, design, and test of single switch high step-up boost converter with autotransformer}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1777--1793}, year = {2023}, url = {https://doi.org/10.1002/cta.3495}, doi = {10.1002/CTA.3495}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AndradeFG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AndresFARS23, author = {Bernardo Andres and Tiago Miguel Klein Faistel and Ant{\'{o}}nio Manuel Santos Spencer Andrade and Leandro Roggia and Luciano Schuch}, title = {Analysis and comparison of high step-up converters based on Greinacher voltage multiplier cells}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {115--146}, year = {2023}, url = {https://doi.org/10.1002/cta.3436}, doi = {10.1002/CTA.3436}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AndresFARS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AndresRDRS23, author = {Bernardo Andres and Leonardo Romitti and Fabricio H. Dupont and Leandro Roggia and Luciano Schuch}, title = {A high step-up isolated {DC-DC} converter based on voltage multiplier cell}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {557--578}, year = {2023}, url = {https://doi.org/10.1002/cta.3444}, doi = {10.1002/CTA.3444}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AndresRDRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ArenasMR23, author = {Julian Arenas and Juan Sebastian Moya and Elkim Roa}, title = {Analysis and design approach of wideband digital-based feedforward ring oscillators}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5513--5528}, year = {2023}, url = {https://doi.org/10.1002/cta.3721}, doi = {10.1002/CTA.3721}, timestamp = {Sat, 23 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ArenasMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ArifMAAAT23, author = {M. Saad Bin Arif and Uvais Mustafa and Shahbaz Ahmad and Shahrin Bin Md. Ayob and Ratil Hasnat Ashique and Mohammad Tayyab}, title = {Asymmetrical 21-level inverter topology with minimum variety of dc sources and reduced component counts}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1697--1718}, year = {2023}, url = {https://doi.org/10.1002/cta.3498}, doi = {10.1002/CTA.3498}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ArifMAAAT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AwasS23, author = {Tamiru Debela Awas and Jiwanjot Singh}, title = {High-gain nine-level switched-capacitor multilevel inverter featuring less number of devices and leakage current}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3746--3773}, year = {2023}, url = {https://doi.org/10.1002/cta.3603}, doi = {10.1002/CTA.3603}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AwasS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AzimiHC23, author = {Mohammad Azimi and Mehdi Habibi and Paolo Crovetti}, title = {pMOS-only pW-power voltage reference with sub-10 ppm/{\textdegree}C trimmed temperature coefficient and sub-100 ppm/V line sensitivity}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2638--2653}, year = {2023}, url = {https://doi.org/10.1002/cta.3569}, doi = {10.1002/CTA.3569}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AzimiHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BabaGN23, author = {M. F. Baba and A. V. Giridhar and B. L. Narasimharaju}, title = {Active switched-capacitor based ultra-voltage gain quadratic boost {DC-DC} converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1389--1416}, year = {2023}, url = {https://doi.org/10.1002/cta.3453}, doi = {10.1002/CTA.3453}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BabaGN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BadaouiGSMCC23, author = {Yacine Badaoui and Abdelmadjid Gouichiche and Ahmed Safa and Youcef Messlem and Abdelilah Chibani and Zakaria Chedjara}, title = {Interleaved boost converter open switch fault detection and reconfiguration using harmonic selection calculation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4317--4333}, year = {2023}, url = {https://doi.org/10.1002/cta.3644}, doi = {10.1002/CTA.3644}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BadaouiGSMCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BaiCXWZL23, author = {Na Bai and Zihan Chen and Yaohua Xu and Yi Wang and Yueliang Zhou and Zeyuan Lin}, title = {Design of {SEU} and DNU-resistant {SRAM} cells based on polarity reinforcement feature}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4956--4968}, year = {2023}, url = {https://doi.org/10.1002/cta.3640}, doi = {10.1002/CTA.3640}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BaiCXWZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BairabathinaB23, author = {Saikumar Bairabathina and S. Balamurugan}, title = {Design, prototype validation, and reliability analysis of a multi-input {DC/DC} converter for grid-independent hybrid electric vehicles}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2375--2405}, year = {2023}, url = {https://doi.org/10.1002/cta.3533}, doi = {10.1002/CTA.3533}, timestamp = {Sat, 27 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BairabathinaB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BalapanuruLA23, author = {Obulapathi Balapanuru and Makarand M. Lokhande and Mohan V. Aware}, title = {Improved dynamic performance with discrete sampling in digital {ACM} controlled {DC-DC} boost converter with capacitor {ESR} inclusion}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1810--1826}, year = {2023}, url = {https://doi.org/10.1002/cta.3489}, doi = {10.1002/CTA.3489}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BalapanuruLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Banchuin23, author = {Rawid Banchuin}, title = {On the noise performances of fractal-fractional electrical circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {80--96}, year = {2023}, url = {https://doi.org/10.1002/cta.3407}, doi = {10.1002/CTA.3407}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Banchuin23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Banchuin23a, author = {Rawid Banchuin}, title = {A novel generalized fractional-order memristor model with fully explicit memory description}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1935--1957}, year = {2023}, url = {https://doi.org/10.1002/cta.3410}, doi = {10.1002/CTA.3410}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Banchuin23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BattulaGPS23, author = {Santhoshkumar Battula and Man Mohan Garg and Anup Kumar Panda and Laxmidhar Senapati}, title = {Bidirectional quasi-Z-source {DC-DC} converter with Lyapunov function-based controller in stand-alone photovoltaic-connected system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2327--2350}, year = {2023}, url = {https://doi.org/10.1002/cta.3518}, doi = {10.1002/CTA.3518}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BattulaGPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BeattieO23, author = {Bakr Al Beattie and Karlheinz Ochs}, title = {A network-theoretical perspective on oscillator-based Ising machines}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2499--2517}, year = {2023}, url = {https://doi.org/10.1002/cta.3553}, doi = {10.1002/CTA.3553}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BeattieO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BelosoLegarraCCM23, author = {Javier Beloso{-}Legarra and Carlos Aristoteles De la Cruz{-}Blas and Alfonso Carlosena and Maite Martincorena{-}Arraiza}, title = {Very-low frequency capacitively coupled {AC} amplifier with a current feedback operational amplifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4015--4028}, year = {2023}, url = {https://doi.org/10.1002/cta.3620}, doi = {10.1002/CTA.3620}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BelosoLegarraCCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BennacerBBSB23, author = {Nassim Rayane Bennacer and Mahdi Bentafat and Ali Benachour and Sabri Sekhri and El Madjid Berkouk}, title = {Simplified selective harmonic elimination {PWM} for three-level five-phase {T-NPC} inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4334--4347}, year = {2023}, url = {https://doi.org/10.1002/cta.3645}, doi = {10.1002/CTA.3645}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BennacerBBSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhadavathL23, author = {Kiran Kumar Bhadavath and Z. Mary Livinsa}, title = {Very large scale integration implementation of efficient finite impulse response filter architectures using novel distributed arithmetic for digital channelizer of software defined radio}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1153--1167}, year = {2023}, url = {https://doi.org/10.1002/cta.3467}, doi = {10.1002/CTA.3467}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BhadavathL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhanuchandarM23, author = {Aratipamula Bhanuchandar and Bhagwan K. Murthy}, title = {A new generalized floor function based high switching frequency modulation and control technique: Multilevel inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4754--4773}, year = {2023}, url = {https://doi.org/10.1002/cta.3659}, doi = {10.1002/CTA.3659}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BhanuchandarM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhaskarRS23, author = {Data Ram Bhaskar and Ajishek Raj and Raj Senani}, title = {Third-order quadrature sinusoidal oscillators with fully uncoupled tuning laws using only two CFOAs and grounded capacitors}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2981--2992}, year = {2023}, url = {https://doi.org/10.1002/cta.3567}, doi = {10.1002/CTA.3567}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BhaskarRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BheemrajKK23, author = {T. S. Bheemraj and V. Karthikeyan and S. Kumaravel}, title = {A quintuple phase shift modulation scheme in multilevel dual active bridge converter for battery energy storage system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1665--1684}, year = {2023}, url = {https://doi.org/10.1002/cta.3508}, doi = {10.1002/CTA.3508}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BheemrajKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BheemrajKS23, author = {T. S. Bheemraj and V. Karthikeyan and Kumaravel Sundaramoorthy}, title = {{PSO} based universal phase shift modulation scheme for {DAB} converter to eliminate backflow power in energy storage applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3792--3805}, year = {2023}, url = {https://doi.org/10.1002/cta.3615}, doi = {10.1002/CTA.3615}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BheemrajKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Bhukya23, author = {Jawaharlal Bhukya}, title = {Enhancing the wind farm-based power system stability with coordinated tuned supplementary controller}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5878--5907}, year = {2023}, url = {https://doi.org/10.1002/cta.3705}, doi = {10.1002/CTA.3705}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Bhukya23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BocciarelliCMST23, author = {Cristian Bocciarelli and Francesco Centurelli and Pietro Monsurr{\`{o}} and Valerio Spinogatti and Alessandro Trifiletti}, title = {A 17 GHz inductorless low-pass filter based on a quasi-Sallen-Key approach}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5066--5084}, year = {2023}, url = {https://doi.org/10.1002/cta.3713}, doi = {10.1002/CTA.3713}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BocciarelliCMST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BodeleK23, author = {Nikhil J. Bodele and Prakash S. Kulkarni}, title = {Multi-input battery-integrated single-stage {DC-DC} converter for reliable operation of solar photovoltaic-based systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {243--264}, year = {2023}, url = {https://doi.org/10.1002/cta.3432}, doi = {10.1002/CTA.3432}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BodeleK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BoopathiV23, author = {Rajendran Boopathi and Indragandhi Vairavasundaram}, title = {Solar photovoltaic-interfaced shunt active power filter implementation for power quality enhancement in grid-connected systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5305--5323}, year = {2023}, url = {https://doi.org/10.1002/cta.3710}, doi = {10.1002/CTA.3710}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BoopathiV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BounadjaB23, author = {Mohamed Bounadja and Bachir Belmadani}, title = {Sliding mode pulse-width modulation of five-leg converter for grid-connected permanent magnet synchronous generator wind turbine}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4374--4396}, year = {2023}, url = {https://doi.org/10.1002/cta.3637}, doi = {10.1002/CTA.3637}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BounadjaB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Buyuk23, author = {Mehmet B{\"{u}}y{\"{u}}k}, title = {Wireless power transfer system with dual-frequency operation in transmitter side for motor control application}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {18--31}, year = {2023}, url = {https://doi.org/10.1002/cta.3433}, doi = {10.1002/CTA.3433}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Buyuk23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CalarcoCMGLPLQ23, author = {Nicolas Calarco and Mat{\'{\i}}as C{\'{o}}rdoba and Lucas Mombello and Joel Gak and Mart{\'{\i}}n Lorenzatto and Sebastian Pazos and Jos{\'{e}} Lipovetzky and Fernando Perez Quintian}, title = {Self-configuration system and frequency characterization of a programmable photodetector {ASIC}}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4116--4126}, year = {2023}, url = {https://doi.org/10.1002/cta.3628}, doi = {10.1002/CTA.3628}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CalarcoCMGLPLQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CaoWXF23, author = {Jie Cao and Shunli Wang and Yanxin Xie and Carlos Fernandez}, title = {Research on the state of charge estimation method of lithium-ion batteries based on novel limited memory multi-innovation least squares method and {SDE-2-RC} equivalent model}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1902--1917}, year = {2023}, url = {https://doi.org/10.1002/cta.3500}, doi = {10.1002/CTA.3500}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/CaoWXF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CastellainBNO23, author = {Daniel Gustavo Castellain and Eduardo Guilherme Brandt and Claudio Varella do Nascimento and Sergio Vidal Garcia Oliveira}, title = {Study, analysis, and development of a small-scale {AC-AC} modular multilevel converter for solid-state transformer applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2839--2853}, year = {2023}, url = {https://doi.org/10.1002/cta.3559}, doi = {10.1002/CTA.3559}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CastellainBNO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CerdaCDST23, author = {Baltazar Cerda and Isaac Campos{-}Cant{\'{o}}n and Finees Delgado{-}Aranda and Carlos Soubervielle{-}Montalvo and Edgar Trist{\'{a}}n{-}Hern{\'{a}}ndez}, title = {Sixteen logic functions in a single electronic circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {932--954}, year = {2023}, url = {https://doi.org/10.1002/cta.3442}, doi = {10.1002/CTA.3442}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/CerdaCDST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChandraG23, author = {Surabhi Chandra and Prerna Gaur}, title = {An efficient switched inductor-capacitor-based novel non-isolated high gain {SEPIC} for solar energy applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1286--1312}, year = {2023}, url = {https://doi.org/10.1002/cta.3454}, doi = {10.1002/CTA.3454}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChandraG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChangLH23, author = {Rong Chang and Yonglun Luo and Guoping Hong}, title = {Design theory of broadband high-efficiency continuous Class {EF} power amplifier based on new continuous factor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5570--5585}, year = {2023}, url = {https://doi.org/10.1002/cta.3728}, doi = {10.1002/CTA.3728}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChangLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChatterjeeD23, author = {Shantanu Chatterjee and Abhijit Das}, title = {A review on technological aspects of different {PWM} techniques and its comparison based on different performance parameters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2446--2498}, year = {2023}, url = {https://doi.org/10.1002/cta.3513}, doi = {10.1002/CTA.3513}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChatterjeeD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChavanM23, author = {Vinaya Chandrakant Chavan and Suresh Mikkili}, title = {Hardware implementation of proposed and conventional {PV} array reconfiguration techniques to extract maximum power under various shading conditions}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5269--5282}, year = {2023}, url = {https://doi.org/10.1002/cta.3696}, doi = {10.1002/CTA.3696}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChavanM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenP23, author = {Xi Chen and Mani Pei}, title = {Enhancing parameter identification of electrochemical double layer capacitors by fractional-order equivalent impedance models and Levy flight strategy}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1608--1624}, year = {2023}, url = {https://doi.org/10.1002/cta.3515}, doi = {10.1002/CTA.3515}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenTWXZ23, author = {Zhangyong Chen and Xuan Tang and Yunfeng Wu and Tianyu Xiang and Zhanchao Zheng}, title = {Real time online efficiency optimization of buck converter against variable voltage and load change}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {829--847}, year = {2023}, url = {https://doi.org/10.1002/cta.3457}, doi = {10.1002/CTA.3457}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ChenTWXZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenXZCX23, author = {Jintao Chen and Fan Xie and Bo Zhang and Yanfeng Chen and Wenxun Xiao}, title = {Transmission range extension strategy of parity-time-symmetry-based wireless power transfer system by a boost converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {510--524}, year = {2023}, url = {https://doi.org/10.1002/cta.3434}, doi = {10.1002/CTA.3434}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ChenXZCX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenYWN23, author = {Shaojun Chen and Yuanmao Ye and Xiaolin Wang and Qiang Ni}, title = {Single-phase current-fed switched-capacitor five-level inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4607--4625}, year = {2023}, url = {https://doi.org/10.1002/cta.3668}, doi = {10.1002/CTA.3668}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ChenYWN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenZCCF23, author = {Zhangyong Chen and Xintong Zhu and Gen Chen and Yong Chen and Chenchen Feng}, title = {Frequency-adapted hybrid modulation strategy of resonant converter with narrow frequency range}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4159--4177}, year = {2023}, url = {https://doi.org/10.1002/cta.3621}, doi = {10.1002/CTA.3621}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenZCCF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChengLSPSWBWZZY23, author = {Jiafeng Cheng and Wenrui Liu and Nengyuan Sun and Zhaokang Peng and Caiban Sun and Chunyang Wang and Yijian Bi and Yiming Wen and Hongliu Zhang and Pengcheng Zhang and Weize Yu}, title = {A low output ripple and high security on-chip voltage regulation based on Fourier transform}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2578--2591}, year = {2023}, url = {https://doi.org/10.1002/cta.3571}, doi = {10.1002/CTA.3571}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChengLSPSWBWZZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChengLSPSWBWZZY23a, author = {Jiafeng Cheng and Wenrui Liu and Nengyuan Sun and Zhaokang Peng and Caiban Sun and Chunyang Wang and Yijian Bi and Yiming Wen and Hongliu Zhang and Pengcheng Zhang and Weize Yu}, title = {A machine learning low-dropout regulator-assisted differential power analysis attack countermeasure with voltage scaling}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3105--3117}, year = {2023}, url = {https://doi.org/10.1002/cta.3583}, doi = {10.1002/CTA.3583}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChengLSPSWBWZZY23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChengXWZLZ23, author = {Xin Cheng and Yixuan Xu and Kefan Wang and Yongqiang Zhang and Bin Li and Zhang Zhang}, title = {Lightweight and flexible hardware implementation of authenticated encryption algorithm SIMON-Galois/Counter Mode}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5951--5961}, year = {2023}, url = {https://doi.org/10.1002/cta.3724}, doi = {10.1002/CTA.3724}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChengXWZLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChengZZZ23, author = {Xin Cheng and Yunfeng Zhang and Haowen Zhu and Yang Zhou}, title = {A true random number generator with high bit rate and low energy efficiency}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3415--3431}, year = {2023}, url = {https://doi.org/10.1002/cta.3563}, doi = {10.1002/CTA.3563}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChengZZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChhabraSA23, author = {Aakriti Chhabra and Raj Senani and Bhawna Aggarwal}, title = {Wide-range current-controlled grounded resistor realization: {A} new application of the {CMOS} translinear elements}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3622--3636}, year = {2023}, url = {https://doi.org/10.1002/cta.3600}, doi = {10.1002/CTA.3600}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChhabraSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChilakalapudiK23, author = {Ganesh Chilakalapudi and Amritesh Kumar}, title = {Optimal reactive power control for dual-active-bridge converter using improved dual-phase-shift modulation strategy for electric vehicle application}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1204--1223}, year = {2023}, url = {https://doi.org/10.1002/cta.3474}, doi = {10.1002/CTA.3474}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChilakalapudiK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChowdhuryH23, author = {Sujan Chowdhury and Mehedi Hasan}, title = {Design of an automatic gain control loop for high speed communication}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {47--66}, year = {2023}, url = {https://doi.org/10.1002/cta.3425}, doi = {10.1002/CTA.3425}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ChowdhuryH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DarabiSA23, author = {Abdolreza Darabi and Mohammad Reza Salehi and Ebrahim Abiri}, title = {One-sided 10T static-random access memory cell for energy-efficient and noise-immune internet of things applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {379--397}, year = {2023}, url = {https://doi.org/10.1002/cta.3408}, doi = {10.1002/CTA.3408}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DarabiSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DehuiH23, author = {Wu Dehui and Feng Hao}, title = {A novel method for calculating the mutual inductance between two perpendicular coils in wireless power transfer}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4550--4564}, year = {2023}, url = {https://doi.org/10.1002/cta.3670}, doi = {10.1002/CTA.3670}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DehuiH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DeoPMP23, author = {Anupama Deo and Supratik Patra and Ashis Maity and Amit Patra}, title = {On-chip bias-generating architecture for an automotive application with a wide input dynamic range}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4503--4520}, year = {2023}, url = {https://doi.org/10.1002/cta.3669}, doi = {10.1002/CTA.3669}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DeoPMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DhananjayaAPM23, author = {Mudadla Dhananjaya and Jagabar Sathik M. Ali and Devendra Potnuru and Saad Mekhilef}, title = {Multi-input multi-output converter for simultaneous buck and boost voltage conversion}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5691--5704}, year = {2023}, url = {https://doi.org/10.1002/cta.3695}, doi = {10.1002/CTA.3695}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DhananjayaAPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DhananjayaSAPM23, author = {Mudadla Dhananjaya and Mohamed Ali Jagabar Sathik and Dhafer J. Almakhles and Devendra Potnuru and Saad Mekhilef}, title = {Two-input single-output converter with preserved output voltage under source fault}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5210--5232}, year = {2023}, url = {https://doi.org/10.1002/cta.3691}, doi = {10.1002/CTA.3691}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DhananjayaSAPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DilekTC23, author = {Selma Dilek and Suleyman Tosun and Alperen Cakin}, title = {Simulated annealing-based high-level synthesis methodology for reliable and energy-aware application specific integrated circuit designs with multiple supply voltages}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4897--4938}, year = {2023}, url = {https://doi.org/10.1002/cta.3666}, doi = {10.1002/CTA.3666}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DilekTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DongareUB23, author = {Ujwala V. Dongare and Bhimrao S. Umre and Makarand Sudhakar Ballal}, title = {Voltage-current locus-based stator winding inter-turn fault detection in induction motors}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2889--2911}, year = {2023}, url = {https://doi.org/10.1002/cta.3561}, doi = {10.1002/CTA.3561}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DongareUB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DouLYWWZDY23, author = {Minfeng Dou and Linshuang Long and Hongxin Yao and Yue Wang and Xiyao Wang and Guozhu Zhao and Saichao Dang and Hong Ye}, title = {A voltage waveform design method for shortening current response time of a coil}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4103--4115}, year = {2023}, url = {https://doi.org/10.1002/cta.3648}, doi = {10.1002/CTA.3648}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DouLYWWZDY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DuAM23, author = {Kevin Du and Ahmed Abdelaziz and Tawfiq Musah}, title = {A digitally controlled switched-ring oscillator-based time domain multiply-and-accumulate core for machine learning}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4984--4996}, year = {2023}, url = {https://doi.org/10.1002/cta.3717}, doi = {10.1002/CTA.3717}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DuAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DuongTNDMHLD23, author = {Quang{-}Manh Duong and Quang{-}Kien Trinh and Van{-}Tinh Nguyen and Dinh{-}Ha Dao and Luong Duy Manh and Van{-}Phuc Hoang and Longyang Lin and Chacko John Deepu}, title = {A low-power charge-based integrate-and-fire circuit for binarized-spiking neural network}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3404--3414}, year = {2023}, url = {https://doi.org/10.1002/cta.3573}, doi = {10.1002/CTA.3573}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DuongTNDMHLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Elwakil23, author = {Ahmed S. Elwakil}, title = {Editorial and call for best paper award nominations}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {509}, year = {2023}, url = {https://doi.org/10.1002/cta.3549}, doi = {10.1002/CTA.3549}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Elwakil23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ElwakilPMA23, author = {Ahmed S. Elwakil and Costas Psychalinos and Brent Maundy and Anis Allagui}, title = {On the possible realization of a complex-order capacitive impedance and its applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {500--507}, year = {2023}, url = {https://doi.org/10.1002/cta.3396}, doi = {10.1002/CTA.3396}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ElwakilPMA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EsmaeiliPS23, author = {Elham Esmaeili and Farshad Pesaran and Nabiollah Shiri}, title = {A high-efficient imprecise discrete cosine transform block based on a novel full adder and Wallace multiplier for bioimages compression}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2942--2965}, year = {2023}, url = {https://doi.org/10.1002/cta.3551}, doi = {10.1002/CTA.3551}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/EsmaeiliPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EsmailiFSS23, author = {Siamak Esmaili and Mohammad Reza Feyzi and Mohammad Bagher Bannae Sharifian and Mehran Sabahi}, title = {High voltage-gain and wide-range input {DC-DC} converter using single switch suitable for fuel-cell hybrid vehicles}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3331--3348}, year = {2023}, url = {https://doi.org/10.1002/cta.3575}, doi = {10.1002/CTA.3575}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/EsmailiFSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EwerlingLF23, author = {Marcos V. M. Ewerling and Telles B. Lazzarin and Carlos Henrique Illa Font}, title = {A three-level isolated dc-dc {SEPIC} converter with parallel-connected output}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1224--1245}, year = {2023}, url = {https://doi.org/10.1002/cta.3468}, doi = {10.1002/CTA.3468}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/EwerlingLF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FallahtabarAN23, author = {Aida Fallahtabar and Soolmaz Abbasalizadeh and Hossein Miar Naimi}, title = {Automatic phase error compensation of rotary traveling wave oscillator: Analysis and design}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2046--2064}, year = {2023}, url = {https://doi.org/10.1002/cta.3539}, doi = {10.1002/CTA.3539}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FallahtabarAN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FanWHW23, author = {Li{-}Feng Fan and Zhong{-}Yi Wang and Lan Huang and Yan{-}Hui Wang}, title = {Measurement of two-layer medium dielectric property using a novel parameters model in radio frequency}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3652--3674}, year = {2023}, url = {https://doi.org/10.1002/cta.3622}, doi = {10.1002/CTA.3622}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FanWHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FangCWZLTS23, author = {Chenghui Fang and Hao Chen and Xing Wang and Jingxin Zhang and Ye Li and Hossein Torkaman and Muhammed Asghar Saqib}, title = {Current estimation of switched reluctance motor based on piecewise model and iteration calculation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1794--1809}, year = {2023}, url = {https://doi.org/10.1002/cta.3493}, doi = {10.1002/CTA.3493}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FangCWZLTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FangLZZZ23, author = {Zijie Fang and Junfeng Liu and Hao Zhou and Jun Zeng and Pengyu Zhang}, title = {Disturbance observer-based quasi-proportional resonant composite control strategy for high-frequency {LCLC} inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4711--4727}, year = {2023}, url = {https://doi.org/10.1002/cta.3643}, doi = {10.1002/CTA.3643}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/FangLZZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FeiMHL23, author = {Chenjin Fei and Kunshu Mu and Xuefeng Hu and Zikang Long}, title = {An integrated single-phase boosting voltage inverter with two parallel {DC/DC} converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1246--1264}, year = {2023}, url = {https://doi.org/10.1002/cta.3448}, doi = {10.1002/CTA.3448}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FeiMHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FilhoTBJ23, author = {Olympio Cipriano da Silva Filho and Fernando Lessa Tofoli and Luiz Henrique Silva Colado Barreto and Demercil de Souza Oliveira Junior}, title = {Family of single-phase high-frequency isolated {AC-AC} converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {628--646}, year = {2023}, url = {https://doi.org/10.1002/cta.3441}, doi = {10.1002/CTA.3441}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/FilhoTBJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GafsiAHMB23, author = {Mohamed Gafsi and Rim Amdouni and Mohamed Ali Hajjaji and Abdellatif Mtibaa and El{-}Bey Bourennane}, title = {Hardware implementation of a strong pseudorandom number generator based block-cipher system for color image encryption and decryption}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {410--436}, year = {2023}, url = {https://doi.org/10.1002/cta.3415}, doi = {10.1002/CTA.3415}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GafsiAHMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GanieBA23, author = {Zahoor Ahmad Ganie and Abdul Hamid Bhat and Salman Ahmad}, title = {A novel adaptive invasive weed optimization technique and least square regression for harmonics minimization in standalone {PV} applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1642--1664}, year = {2023}, url = {https://doi.org/10.1002/cta.3483}, doi = {10.1002/CTA.3483}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GanieBA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GaoTKSLNT23, author = {Yahu Gao and Yu Tang and Jiarong Kan and Zhe Shi and Hongjing Liu and Jincai Niu and Donghao Tian}, title = {Phase-shifted full-bridge converter based on an adjustable current auxiliary circuit with full load range {ZVS} and small duty cycle loss}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1827--1840}, year = {2023}, url = {https://doi.org/10.1002/cta.3492}, doi = {10.1002/CTA.3492}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GaoTKSLNT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GaoTWZWS23, author = {Yahu Gao and Yu Tang and Hongchang Wang and Zixiang Zhao and Guanghui Wang and Yinghao Song}, title = {Wide zero-voltage switching range full-bridge converter based on adjustable parallel current}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4242--4256}, year = {2023}, url = {https://doi.org/10.1002/cta.3641}, doi = {10.1002/CTA.3641}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GaoTWZWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GawhadeO23, author = {Pragya Gawhade and Amit Ojha}, title = {A novel design of basic module-based multilevel inverter for symmetrical/asymmetrical sources}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4257--4275}, year = {2023}, url = {https://doi.org/10.1002/cta.3638}, doi = {10.1002/CTA.3638}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GawhadeO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhonoodiMH23, author = {Hojat Ghonoodi and Shahram Modanlou and Mahsa Hadjmohammadi}, title = {Using tail current phase shift technique to improve locking range injection-locked frequency divider}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3596--3611}, year = {2023}, url = {https://doi.org/10.1002/cta.3598}, doi = {10.1002/CTA.3598}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GhonoodiMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GobburiBM23, author = {Hari Babu Gobburi and Vijay B. Borghate and Prafullachandra M. Meshram}, title = {A level enhanced voltage balancing method for modular multilevel converter without using sensors}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2266--2288}, year = {2023}, url = {https://doi.org/10.1002/cta.3522}, doi = {10.1002/CTA.3522}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GobburiBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GoknarM23, author = {Izzet Cem G{\"{o}}knar and Elham Minayi}, title = {Metamutator based universal, single input multi output current mode filter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1492--1501}, year = {2023}, url = {https://doi.org/10.1002/cta.3481}, doi = {10.1002/CTA.3481}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GoknarM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GonzalezD23, author = {Sergio A. Gonzalez and Mar{\'{\i}}a Bel{\'{e}}n D'Amico}, title = {Analysis of a coupled-inductor double-boost dc-dc converter operating in discontinuous conduction mode}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1187--1203}, year = {2023}, url = {https://doi.org/10.1002/cta.3484}, doi = {10.1002/CTA.3484}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GonzalezD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GopinathanRS23, author = {Sija Gopinathan and Vemparala Seshagiri Rao and Kumaravel Sundaramurthy}, title = {Switched inductor-capacitor-based quasi-Z source converter for renewable energy source integration}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4646--4667}, year = {2023}, url = {https://doi.org/10.1002/cta.3661}, doi = {10.1002/CTA.3661}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GopinathanRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GorreVKSR23, author = {Pradeep Gorre and R. Vignesh and Sandeep Kumar and Hanjung Song and Gunjan Mittal Roy}, title = {A 2.71-pA/{\(\surd\)}Hz ultra-low noise, 70-dB dynamic range {CMOS} transimpedance amplifier with incorporated microstrip line techniques over extended bandwidth}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {912--931}, year = {2023}, url = {https://doi.org/10.1002/cta.3471}, doi = {10.1002/CTA.3471}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GorreVKSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GovindSNNS23, author = {D. Govind and H. M. Suryawanshi and Pratik P. Nachankar and Chintalpudi L. Narayana and Ankit Singhal}, title = {An enhanced master-slave control for accurate load sharing among parallel standalone {AC} microgrids}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {647--667}, year = {2023}, url = {https://doi.org/10.1002/cta.3445}, doi = {10.1002/CTA.3445}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GovindSNNS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GovindSNNS23a, author = {D. Govind and H. M. Suryawanshi and Pratik P. Nachankar and Chintalpudi L. Narayana and Ankit Singhal}, title = {Fractional-order {LC} filter modeling, implementation, and analysis for distributed power generation system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4565--4583}, year = {2023}, url = {https://doi.org/10.1002/cta.3657}, doi = {10.1002/CTA.3657}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GovindSNNS23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GovindaswamyKP23, author = {Prema Kumar Govindaswamy and Raviteja Kammari and Vijaya Sankara Rao Pasupureddi}, title = {An adaptive link training based hybrid circuit topology for full-duplex on-chip interconnects}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3637--3651}, year = {2023}, url = {https://doi.org/10.1002/cta.3616}, doi = {10.1002/CTA.3616}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GovindaswamyKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GoyretCCG23, author = {Juan P. Goyret and Mar{\'{\i}}a V. Cassani and Sebasti{\'{a}}n H. Carbonetto and Mariano A. Garcia{-}Inza}, title = {Low-power temperature-independent {CMOS} measurement circuits for resistive gas sensors}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2562--2577}, year = {2023}, url = {https://doi.org/10.1002/cta.3562}, doi = {10.1002/CTA.3562}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GoyretCCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GuettabBBT23, author = {Abdelkader Guettab and Elhadj Bounadja and Zinelaabidine Boudjema and Rachid Taleb}, title = {Third-order super-twisting control of a double stator asynchronous generator integrated in a wind turbine system under single-phase open fault}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1858--1878}, year = {2023}, url = {https://doi.org/10.1002/cta.3511}, doi = {10.1002/CTA.3511}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GuettabBBT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HaghiriYRA23, author = {Saeed Haghiri and Salah I. Yahya and Abbas Rezaei and Arash Ahmadi}, title = {Multiplierless low-cost implementation of Hindmarsh-Rose neuron model in case of large-scale realization}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2966--2980}, year = {2023}, url = {https://doi.org/10.1002/cta.3570}, doi = {10.1002/CTA.3570}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HaghiriYRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HajiabadiEAMS23, author = {Mostafa Karimi Hajiabadi and Alireza Lahooti Eshkevari and Iman Abdoli and Ali Mosallanejad and Ahmad Salemnia}, title = {A quadratic step-up dc-dc converter with high gain, reduced voltage stress, and component counts}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2186--2206}, year = {2023}, url = {https://doi.org/10.1002/cta.3514}, doi = {10.1002/CTA.3514}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HajiabadiEAMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HajiabadiMS23, author = {Mostafa Karimi Hajiabadi and Ali Mosallanejad and Ahmad Salemnia}, title = {A high step-up {DC-DC} converter based on coupled inductor and diode-capacitor with improved voltage gain and efficiency, and reduced voltage stress for photovoltaic systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {787--806}, year = {2023}, url = {https://doi.org/10.1002/cta.3462}, doi = {10.1002/CTA.3462}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HajiabadiMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HamidHISD23, author = {Bisma Hamid and Ikhlaq Hussain and Sheikh Javed Iqbal and Bhim Singh and Souvik Das}, title = {An improved {DC} link voltage control with double frequency second-order generalized integrator for enhanced power quality of grid-interfaced {DFIG} system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3710--3729}, year = {2023}, url = {https://doi.org/10.1002/cta.3625}, doi = {10.1002/CTA.3625}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HamidHISD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HanG23, author = {Kefeng Han and Li Geng}, title = {Simplifying the design of harmonic-suppressing power amplifiers adopting capacitance-splitting method}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {897--911}, year = {2023}, url = {https://doi.org/10.1002/cta.3455}, doi = {10.1002/CTA.3455}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HanG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HaoW23, author = {Chunling Hao and Faqiang Wang}, title = {Passive realization of the fractional-order capacitor based on fractional-order inductor and its application}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2607--2622}, year = {2023}, url = {https://doi.org/10.1002/cta.3554}, doi = {10.1002/CTA.3554}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HaoW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HashkavayiBTB23, author = {Mohammad Bagheri Hashkavayi and S. Masoud Barakati and S. Hamed Torabi and Vahid Barahouei}, title = {An improved method to sub-module voltage balancing in modular multilevel converters with two voltage sensors}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3263--3287}, year = {2023}, url = {https://doi.org/10.1002/cta.3588}, doi = {10.1002/CTA.3588}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HashkavayiBTB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HeXW23, author = {Fei He and Qian Xie and Zheng Wang}, title = {A novel gain-boosting structure with Z-embedding and parallel pre-embedding network for amplifiers at near-\emph{f}\({}_{\mbox{\emph{max}}}\) frequencies}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4465--4476}, year = {2023}, url = {https://doi.org/10.1002/cta.3658}, doi = {10.1002/CTA.3658}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HeXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HosseinnejadKEK23, author = {Mahdi Hosseinnejad and Mostafa Katebi and Abbas Erfanian and Mohammad Azim Karami}, title = {A 2-mA charge-balanced neurostimulator in 0.18-{\(\mu\)}m/1.8 {V} standard {CMOS} process}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1092--1109}, year = {2023}, url = {https://doi.org/10.1002/cta.3478}, doi = {10.1002/CTA.3478}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HosseinnejadKEK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HsuLH23, author = {Hsien{-}Yuan Hsu and Tzu{-}Yang Lo and Min{-}Fu Hsieh}, title = {A simple signal extraction-based online real-time diagnosis approach for interturn short-circuit fault of permanent magnet motor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3246--3262}, year = {2023}, url = {https://doi.org/10.1002/cta.3589}, doi = {10.1002/CTA.3589}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HsuLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HuDYTW23, author = {Wei Hu and Zekang Ding and Hong Yang and Jintong Tao and Xiaogang Wang}, title = {Stability analysis and stabilization of Cuk converter with constant power load}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1685--1696}, year = {2023}, url = {https://doi.org/10.1002/cta.3504}, doi = {10.1002/CTA.3504}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HuDYTW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HuTDY23, author = {Wei Hu and Jintong Tao and Zekang Ding and Hong Yang}, title = {Analysis and control of partial power processing nonisolated bidirectional {DC-DC} converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4427--4441}, year = {2023}, url = {https://doi.org/10.1002/cta.3611}, doi = {10.1002/CTA.3611}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HuTDY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HuangCWY23, author = {Min Huang and Fan Chen and Weimin Wu and Zhilei Yao}, title = {An adaptive phase-locked loop-less control strategy for LCL-filtered grid-connected inverter under complex grid conditions}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2105--2121}, year = {2023}, url = {https://doi.org/10.1002/cta.3525}, doi = {10.1002/CTA.3525}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HuangCWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HuangH23, author = {Zhenwei Huang and Zhicong Huang}, title = {Single-immittance-network-based inductive-power-transfer systems for driving multistring lighting-emmiting diodes with current balance}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2406--2421}, year = {2023}, url = {https://doi.org/10.1002/cta.3536}, doi = {10.1002/CTA.3536}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HuangH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/IsmeilOAH23, author = {Mohamed A. Ismeil and Mohammed Orabi and Emad M. Ahmed and Hany S. Hussein}, title = {Refine control methodology and implementation of capacitor voltage control for improved switched inductor Z-source inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5324--5347}, year = {2023}, url = {https://doi.org/10.1002/cta.3711}, doi = {10.1002/CTA.3711}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/IsmeilOAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JagtapP23, author = {Nilesh Jagtap and Swapnjeet Pattnaik}, title = {Design analysis and control of step-up converter with reduced switch stress}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4668--4689}, year = {2023}, url = {https://doi.org/10.1002/cta.3655}, doi = {10.1002/CTA.3655}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/JagtapP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JahangiriAS23, author = {Alireza Jahangiri and Ali Abdolalizadeh and Ahmad Ghaderi Shamim}, title = {A new single ended primary inductor converter with high voltage gain, low voltage stress and continuous input current}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3897--3914}, year = {2023}, url = {https://doi.org/10.1002/cta.3606}, doi = {10.1002/CTA.3606}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JahangiriAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JainGJ23, author = {Anekant Jain and Krishna Kumar Gupta and Sanjay K. Jain}, title = {A novel step-up topology for multilevel power conversion}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {265--282}, year = {2023}, url = {https://doi.org/10.1002/cta.3430}, doi = {10.1002/CTA.3430}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JainGJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JalanB23, author = {Subham Kumar Jalan and Baladhandautham Chitti Babu}, title = {Analysis of optimized phase locked loop for grid synchronization of solar {PV} system under grid disturbances}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5840--5858}, year = {2023}, url = {https://doi.org/10.1002/cta.3743}, doi = {10.1002/CTA.3743}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JalanB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JamsheedI23, author = {Faisal Jamsheed and Sheikh Javed Iqbal}, title = {Intelligent wide-area damping controller for static var compensator considering communication delays}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3376--3403}, year = {2023}, url = {https://doi.org/10.1002/cta.3560}, doi = {10.1002/CTA.3560}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JamsheedI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JaveedE23, author = {Khalid Javeed and Ali El{-}Moursy}, title = {Area-time efficient point multiplication architecture on twisted Edwards curve over general prime field {G} {F} {(} p {)}}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5962--5979}, year = {2023}, url = {https://doi.org/10.1002/cta.3708}, doi = {10.1002/CTA.3708}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JaveedE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JeelaniB23, author = {Naira Jeelani and Abdul Hamid Bhat}, title = {Constant switching frequency-based delta-sigma modulation of single-phase {AC-AC} zeta converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {97--114}, year = {2023}, url = {https://doi.org/10.1002/cta.3435}, doi = {10.1002/CTA.3435}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/JeelaniB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JooqBM23, author = {Mohammad Khaleqi Qaleh Jooq and Fereshteh Behbahani and Mohammad Hossein Moaiyeri}, title = {Ultra-efficient fully programmable membership function generator based on independent double-gate FinFET technology}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4485--4502}, year = {2023}, url = {https://doi.org/10.1002/cta.3663}, doi = {10.1002/CTA.3663}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/JooqBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KTR23, author = {Swetha KT and Barry Venugopal Reddy}, title = {A novel constraint-based improved equilibrium optimization for global peak tracking of photovoltaic system under complex shading conditions}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2819--2838}, year = {2023}, url = {https://doi.org/10.1002/cta.3546}, doi = {10.1002/CTA.3546}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KTR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KakarAALNA23, author = {Saifullah Kakar and Shahrin Bin Md. Ayob and M. Saad Bin Arif and Sze Sing Lee and Norjulia Mohamad Nordin and Razman Ayop}, title = {A generalized switched-capacitor-based modular T-type inverter topology with reduced switch count}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1841--1857}, year = {2023}, url = {https://doi.org/10.1002/cta.3505}, doi = {10.1002/CTA.3505}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KakarAALNA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KalahasthiRS23, author = {Rajesh Babu Kalahasthi and Manojkumar R. Ramteke and Hiralal Murlidhar Suryawanshi}, title = {A high-gain low-ripple {DC-DC} converter for micro-grid applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {807--828}, year = {2023}, url = {https://doi.org/10.1002/cta.3466}, doi = {10.1002/CTA.3466}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KalahasthiRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KalahasthiRS23a, author = {Rajesh Babu Kalahasthi and Manojkumar R. Ramteke and Hiralal Murlidhar Suryawanshi}, title = {A high step-up soft-switched {DC-DC} converter with reduced voltage stress for {DC} micro-grid applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1758--1776}, year = {2023}, url = {https://doi.org/10.1002/cta.3499}, doi = {10.1002/CTA.3499}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KalahasthiRS23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KalirajanSS23, author = {Karthik Kumar Kalirajan and Willjuice Iruthayarajan Maria Siluvairaj and Kamaraja Arunavathi Soundarapandian}, title = {Efficiency and dynamic characteristics of improved dual-stage power converter setup with advanced model predictive controller for electric vehicle battery charging}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5743--5775}, year = {2023}, url = {https://doi.org/10.1002/cta.3736}, doi = {10.1002/CTA.3736}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KalirajanSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KarakilicHA23, author = {Murat Karakili{\c{c}} and Hasan Hatas and M. Nuri Almali}, title = {Design of a 21-level multilevel inverter with minimum number of devices count}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5705--5723}, year = {2023}, url = {https://doi.org/10.1002/cta.3730}, doi = {10.1002/CTA.3730}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KarakilicHA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KaramzadehTDT23, author = {Khadijeh Karamzadeh and Masood Teymouri and Massoud Dousti and Pooya Torkzadeh}, title = {A 256 {\texttimes} 256 {CMOS} image sensor with differential readout and data converter circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3066--3077}, year = {2023}, url = {https://doi.org/10.1002/cta.3580}, doi = {10.1002/CTA.3580}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KaramzadehTDT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KarimiMFR23, author = {Fereshteh Karimi and Reza Faghih Mirzaee and Ali Fakeri{-}Tabrizi and Arman Roohi}, title = {Design and evaluation of ultra-fast 8-bit approximate multipliers using novel multicolumn inexact compressors}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3454--3479}, year = {2023}, url = {https://doi.org/10.1002/cta.3613}, doi = {10.1002/CTA.3613}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KarimiMFR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KarthikNP23, author = {Markala Karthik and Venkataramana Naik N and Anup Kumar Panda}, title = {A variable step size robust normalized least mean absolute third-based control scheme for a grid-tied multifunctional photovoltaic system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4817--4838}, year = {2023}, url = {https://doi.org/10.1002/cta.3618}, doi = {10.1002/CTA.3618}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KarthikNP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KattelMEF23, author = {Menaouar Berrehil El Kattel and Robson Mayer and Fernando Ely and Braz de Jesus Cardoso Filho}, title = {Comprehensive review of battery charger structures of EVs and HEVs for levels 1-3}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3514--3542}, year = {2023}, url = {https://doi.org/10.1002/cta.3579}, doi = {10.1002/CTA.3579}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KattelMEF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KhanHWL23, author = {Danish Khan and Pengfei Hu and Muhammad Waseem and Zhenzhi Lin}, title = {LCL-filter resonance suppression in grid-connected inverter based on strictly real positive plant strategy}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {177--196}, year = {2023}, url = {https://doi.org/10.1002/cta.3413}, doi = {10.1002/CTA.3413}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KhanHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KhandayBS23, author = {Sajid Ahmad Khanday and Abdul Hamid Bhat and Obbu Chandra Sekhar}, title = {Multiple load operation of indirect matrix converter for different frequencies using symmetrical space vector modulation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5926--5950}, year = {2023}, url = {https://doi.org/10.1002/cta.3729}, doi = {10.1002/CTA.3729}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KhandayBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KremesACFL23, author = {William Jesus de Kremes and Jessika Melo de Andrade and Bharatiraja Chokkalingam and Carlos Henrique Illa Font and Telles Brunelli Lazzarin}, title = {Input parallel-output parallel connected modular nonisolated {DC-DC} converters with current self-sharing capability operating in discontinuous conduction mode}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {340--359}, year = {2023}, url = {https://doi.org/10.1002/cta.3431}, doi = {10.1002/CTA.3431}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KremesACFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KrishnaK23, author = {Bekkam Krishna and V. Karthikeyan}, title = {A novel bi-directional high-gain {DC-DC} converter with optimum number of components}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {283--301}, year = {2023}, url = {https://doi.org/10.1002/cta.3421}, doi = {10.1002/CTA.3421}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KrishnaK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KrishnachaitanyaA23, author = {Daki Krishnachaitanya and Chitra Annamalai}, title = {Real time implementation of a new seven level multilevel inverter with design considerations for feasible and reliable operation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4839--4863}, year = {2023}, url = {https://doi.org/10.1002/cta.3653}, doi = {10.1002/CTA.3653}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KrishnachaitanyaA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KrishnanP23, author = {Suresh Krishnan and Ezhilvannan Parimalasundar}, title = {Design and implementation of dual-leg generic converter for {DC/AC} grid integration}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3865--3879}, year = {2023}, url = {https://doi.org/10.1002/cta.3614}, doi = {10.1002/CTA.3614}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KrishnanP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KrishnanP23a, author = {Suresh Krishnan and Ezhilvannan Parimalasundar}, title = {Newly designed single-stage dual leg {DC-DC/AC} buck-boost converter for grid connected solar system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5452--5469}, year = {2023}, url = {https://doi.org/10.1002/cta.3709}, doi = {10.1002/CTA.3709}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KrishnanP23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarKK23, author = {Rajesh Kumar and Sachin Kumar and Binod Kumar Kanaujia}, title = {Design of an {RF} output Class JJ\({}^{\mbox{-1}}\) Doherty power amplifier using post-matching varactor diodes for configurable IoT transmitters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1548--1569}, year = {2023}, url = {https://doi.org/10.1002/cta.3507}, doi = {10.1002/CTA.3507}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumarKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarKK23a, author = {Rajesh Kumar and Sachin Kumar and Binod Kumar Kanaujia}, title = {An efficient wideband cascode class FF\({}^{\mbox{-1}}\) Doherty power amplifier with control harmonic impedance inverter for X-band applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3017--3031}, year = {2023}, url = {https://doi.org/10.1002/cta.3581}, doi = {10.1002/CTA.3581}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumarKK23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarL23, author = {Mukku Pavan Kumar and Rohit Lorenzo}, title = {A review on radiation-hardened memory cells for space and terrestrial applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {475--499}, year = {2023}, url = {https://doi.org/10.1002/cta.3429}, doi = {10.1002/CTA.3429}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KumarL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarMZ23, author = {Abdul Waheed Kumar and Mairaj{-}ud{-}din Mufti and Mubashar Yaqoob Zargar}, title = {Utilization of superconducting magnetic energy storage and doubly fed induction generator for enhancing stability of interconnected power system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5251--5268}, year = {2023}, url = {https://doi.org/10.1002/cta.3699}, doi = {10.1002/CTA.3699}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumarMZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarPK23, author = {Balram Kumar and Sankar Peddapati and V. S. Prasadrao K}, title = {An NPC-type fault-tolerant {MLI} with symmetrical and asymmetrical voltage modes}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5797--5822}, year = {2023}, url = {https://doi.org/10.1002/cta.3741}, doi = {10.1002/CTA.3741}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumarPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KyatamRAMM23, author = {Shusmitha Kyatam and Lu{\'{\i}}s Rodrigues and Luis Nero Alves and Stanislav I. Maslovski and Joana C. Mendes}, title = {Modeling temperature dynamic effects for high-power light-emitting diodes}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2289--2300}, year = {2023}, url = {https://doi.org/10.1002/cta.3496}, doi = {10.1002/CTA.3496}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KyatamRAMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LaaliBA23, author = {Sara Laali and Ebrahim Babaei and Mohammadamin Aalami}, title = {Half-bridge Z-source inverter based on T-source configuration with continuous input current and a high boost factor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1719--1739}, year = {2023}, url = {https://doi.org/10.1002/cta.3482}, doi = {10.1002/CTA.3482}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LaaliBA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LalithaCK23, author = {Ayyagari Sai Lalitha and Sarbani Chakraborty and Sarode Shiva Kumar}, title = {An efficient soft switching synchronous buck converter for battery charging application in hybrid electric vehicle architecture}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5154--5172}, year = {2023}, url = {https://doi.org/10.1002/cta.3698}, doi = {10.1002/CTA.3698}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LalithaCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LeiDLY23, author = {Yanxiong Lei and Guiping Du and Tuhuan Li and Zijiang Yang}, title = {An electric vehicle integrated battery charger with high utilization rate of the three-phase open-winding permanent-magnet-synchronous-motor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1417--1439}, year = {2023}, url = {https://doi.org/10.1002/cta.3475}, doi = {10.1002/CTA.3475}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LeiDLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiLWWZ23, author = {Zhen Li and Zhenrong Li and Xudong Wang and Zeyuan Wang and Yiqi Zhuang}, title = {A low jitter sub-sampling phase-locked loop with sampling thermal noise cancellation technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {67--79}, year = {2023}, url = {https://doi.org/10.1002/cta.3414}, doi = {10.1002/CTA.3414}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiLWWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiSHCJL23, author = {Jinwei Li and Bing Sun and Jiawei Huang and Hudong Chang and Rui Jia and Honggang Liu}, title = {A 7.6-12.3 GHz wide-band {PLL} with an ultra low reference spur -81.1 dBc in 0.13 {\(\mu\)}m {CMOS} technology}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3003--3016}, year = {2023}, url = {https://doi.org/10.1002/cta.3604}, doi = {10.1002/CTA.3604}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiSHCJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiWWDYC23, author = {Jiangui Li and Chen Wang and Longyang Wang and Yishuo Ding and Tianqi Yu and Yuying Cheng}, title = {A comparative study on transmission performance of multi-stage wireless power transfer systems using {SPS} compensation and {LCC} compensation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1625--1641}, year = {2023}, url = {https://doi.org/10.1002/cta.3512}, doi = {10.1002/CTA.3512}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiWWDYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiZ23, author = {Jianguo Li and Bo Zhang}, title = {A wireless power transfer system based on quasi-parity-time symmetry with gain-loss ratio modulation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1039--1056}, year = {2023}, url = {https://doi.org/10.1002/cta.3491}, doi = {10.1002/CTA.3491}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LimYA23, author = {Michelle Sern Mi Lim and Kim Heng Yeo and Sawal Hamid Md. Ali}, title = {An optimal power management circuit with a dynamic subthreshold start-up for thermal energy harvesting}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2544--2561}, year = {2023}, url = {https://doi.org/10.1002/cta.3548}, doi = {10.1002/CTA.3548}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LimYA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LinHC23, author = {Wei{-}Cheng Lin and Chun{-}Ting Hsieh and Ming{-}Chiu Chang}, title = {Design and implementation of pixel-based adjustable {ESD} protection circuits for capacitive fingerprint biometric sensors}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {991--1006}, year = {2023}, url = {https://doi.org/10.1002/cta.3477}, doi = {10.1002/CTA.3477}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LinHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LinLW23, author = {Jican Lin and Shenquan Liu and Gang Wang}, title = {Active disturbance rejection controller design for harmonic suppression in {MPC} optimal control based on harmonic state space modeling}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5650--5671}, year = {2023}, url = {https://doi.org/10.1002/cta.3722}, doi = {10.1002/CTA.3722}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LinLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuCSPSWWZZY23, author = {Wenrui Liu and Jiafeng Cheng and Nengyuan Sun and Zhaokang Peng and Caiban Sun and Chunyang Wang and Yiming Wen and Hongliu Zhang and Pengcheng Zhang and Weize Yu}, title = {A novel hardware authentication primitive against modeling attacks}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2993--3001}, year = {2023}, url = {https://doi.org/10.1002/cta.3566}, doi = {10.1002/CTA.3566}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuCSPSWWZZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuSFL23, author = {Jinting Liu and Weimin Shi and Linping Feng and Mingyu Li}, title = {Analysis and design of dual-input Doherty power amplifier with enhanced efficiency for broadband application}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3557--3567}, year = {2023}, url = {https://doi.org/10.1002/cta.3617}, doi = {10.1002/CTA.3617}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuSFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuWLZC23, author = {Yuyang Liu and Zhaohui Wu and Bin Li and Yanqi Zheng and Zhijian Chen}, title = {An optimization method for PLL-based charge control {SIMO} Buck {DC-DC}}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2094--2104}, year = {2023}, url = {https://doi.org/10.1002/cta.3530}, doi = {10.1002/CTA.3530}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuWLZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuXXLBHZZ23, author = {Zhongyang Liu and Yuqiao Xie and Tao Xu and Qing Liu and Dawei Bi and Zhiyuan Hu and Shichang Zou and Zhengxuan Zhang}, title = {A highly stable and low-cost 12T radiation hardened {SRAM} cell design for aerospace application}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3938--3948}, year = {2023}, url = {https://doi.org/10.1002/cta.3635}, doi = {10.1002/CTA.3635}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuXXLBHZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuXZN23, author = {Yalei Liu and Jiangtao Xu and Wanbin Zha and Kaiming Nie}, title = {A 1/f noise optimized correlated multiple sampling technique for complementary metal oxide semiconductor image sensor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5529--5542}, year = {2023}, url = {https://doi.org/10.1002/cta.3718}, doi = {10.1002/CTA.3718}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuXZN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LoureiroA23, author = {Pablo Henrique Costa da Silva Bernardo Loureiro and Ant{\'{o}}nio Manuel Santos Spencer Andrade}, title = {Evaluation of cascaded combinations of boost {DC-DC} converter and three-winding coupled inductor for high-voltage gain applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4139--4158}, year = {2023}, url = {https://doi.org/10.1002/cta.3639}, doi = {10.1002/CTA.3639}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LoureiroA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LuMYLL23, author = {Song Lu and Hongbo Ma and Junhong Yi and Xiaobin Li and Jian Li}, title = {A novel hybrid half-bridge {LLC} resonant converter with double resonant tanks for high step-down applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {525--540}, year = {2023}, url = {https://doi.org/10.1002/cta.3419}, doi = {10.1002/CTA.3419}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LuMYLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LuoFL23, author = {FengHua Luo and Yi Fang and LiJin Luo}, title = {Electrical performance of the 3 {\texttimes} 6 {\texttimes} \emph{n} cobweb cascade resistance network}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4071--4084}, year = {2023}, url = {https://doi.org/10.1002/cta.3632}, doi = {10.1002/CTA.3632}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LuoFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LuoSLXLWW23, author = {Zhongge Luo and Yanfei Sun and Hang Li and Yu Xiao and Bowen Liu and Ting Wang and Bohao Wan}, title = {Power management and coordinated control strategy of flexible interconnected {AC/DC} hybrid microgrid with back-to-back converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5173--5196}, year = {2023}, url = {https://doi.org/10.1002/cta.3694}, doi = {10.1002/CTA.3694}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LuoSLXLWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaE23, author = {Andrew Ma and Arif Ege Engin}, title = {Orthogonal rational approximation of transfer functions for high-frequency circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1007--1019}, year = {2023}, url = {https://doi.org/10.1002/cta.3488}, doi = {10.1002/CTA.3488}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MaE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaPDSYLX23, author = {Hongbo Ma and Yu Pan and Juan Du and Wenzan Sun and Junhong Yi and Xiaobin Li and Meng Xie}, title = {Efficiency optimization for {LCC-LC} compensated inductive coupling power transfer system with load-independent zero-phase-angle and constant voltage output}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3568--3584}, year = {2023}, url = {https://doi.org/10.1002/cta.3591}, doi = {10.1002/CTA.3591}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MaPDSYLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaWYQXH23, author = {Wei Ma and Meiyu Wang and Xiang Yan and Kefan Qin and Zhiming Xiao and Weibo Hu}, title = {A lumped-element balun design with multi-interference suppression for push-pull power amplifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2016--2029}, year = {2023}, url = {https://doi.org/10.1002/cta.3527}, doi = {10.1002/CTA.3527}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MaWYQXH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MadnaniM23, author = {Rohan Madnani and Mahesh K. Mishra}, title = {A visual understanding of electrical transformations and generalized \emph{abc} to \emph{{\(\alpha\)}{\(\beta\)}0} and \emph{dq0} transformation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {963--978}, year = {2023}, url = {https://doi.org/10.1002/cta.3439}, doi = {10.1002/CTA.3439}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MadnaniM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MahmoodGES23, author = {Amal Ibrahim Mahmood and Sadik Kamel Gharghan and Mohamed A. A. Eldosoky and Ahmed M. Soliman}, title = {Wireless charging for cardiac pacemakers based on class-D power amplifier and a series-parallel spider-web coil}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {1--17}, year = {2023}, url = {https://doi.org/10.1002/cta.3420}, doi = {10.1002/CTA.3420}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MahmoodGES23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MajjiMD23, author = {Ravi Kumar Majji and Jyoti Prakash Mishra and Ashish A Dongre}, title = {MPC-based {DC} microgrid integrated series active power filter for voltage quality improvement in distribution system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1349--1371}, year = {2023}, url = {https://doi.org/10.1002/cta.3470}, doi = {10.1002/CTA.3470}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MajjiMD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MakhsuciNSI23, author = {Saeedeh Makhsuci and Seyedeh Masoumeh Navidi and Mihai Sanduleanu and Mohammed Ismail}, title = {A review of Doherty power amplifier and load modulated balanced amplifier for 5G technology}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2422--2445}, year = {2023}, url = {https://doi.org/10.1002/cta.3521}, doi = {10.1002/CTA.3521}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MakhsuciNSI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MalikZAF23, author = {Muhammad Zeeshan Malik and Shiqing Zhang and Amjad Ali and Ajmal Farooq}, title = {Design and implementation of a multi-port bidirectional converter for electric vehicle applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4728--4753}, year = {2023}, url = {https://doi.org/10.1002/cta.3649}, doi = {10.1002/CTA.3649}, timestamp = {Fri, 29 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MalikZAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MansourMA23, author = {Marwa Mansour and Islam Mansour and Mohamed Aboualalaa}, title = {Class-F power oscillator based on complementary split ring resonator for sub-6 GHz fifth generation and multistandard applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1570--1578}, year = {2023}, url = {https://doi.org/10.1002/cta.3503}, doi = {10.1002/CTA.3503}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MansourMA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MartinezSFSB23, author = {Sara Vega Mart{\'{\i}}nez and Laio Oriel Seman and Edemar Morsch Filho and Leonardo Kessler Slongo and Eduardo Augusto Bezerra}, title = {On-board energy scheduling optimization algorithm for nanosatellites}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3915--3937}, year = {2023}, url = {https://doi.org/10.1002/cta.3595}, doi = {10.1002/CTA.3595}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MartinezSFSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MayoskyVCF23, author = {Miguel Angel Mayosky and Alejandro L. Veiga and Carlos Garc{\'{\i}}a Canal and Huner Fanchiotti}, title = {Feedback and {P} {T} symmetry in a class of active {LCR} circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {4997--5008}, year = {2023}, url = {https://doi.org/10.1002/cta.3715}, doi = {10.1002/CTA.3715}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MayoskyVCF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MeiteiBT23, author = {Naorem Yaipharenba Meitei and Krishna Lal Baishnab and Gaurav Trivedi}, title = {Fast power density aware three-dimensional integrated circuit floorplanning for hard macroblocks using best operator combination genetic algorithm}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4879--4896}, year = {2023}, url = {https://doi.org/10.1002/cta.3672}, doi = {10.1002/CTA.3672}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MeiteiBT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MendiPS23, author = {Balaji Mendi and Monalisa Pattnaik and Gopalakrishna Srungavarapu}, title = {Design, analysis, and adaptive maximum power point tracking control of small-scale wind turbine system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3316--3330}, year = {2023}, url = {https://doi.org/10.1002/cta.3593}, doi = {10.1002/CTA.3593}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MendiPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MinnaertM23, author = {Ben Minnaert and Giuseppina Monti}, title = {Optimization of a capacitive wireless power transfer system with two electric field repeaters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2623--2637}, year = {2023}, url = {https://doi.org/10.1002/cta.3564}, doi = {10.1002/CTA.3564}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MinnaertM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MoghadamHA23, author = {Farshid Yousefi Moghadam and Siroos Hemmati and Vahid Abbasi}, title = {New combined impedance-source network inverter with high gain performance in low shoot-through and improved quality factors}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3167--3188}, year = {2023}, url = {https://doi.org/10.1002/cta.3584}, doi = {10.1002/CTA.3584}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MoghadamHA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohajerySSBB23, author = {Reza Mohajery and Hossein Shayeghi and Farzad Sedaghati and Asghar Bahador and Nicu Bizon}, title = {A modified configuration of high step-up non-isolated {DC-DC} converter with low voltage stress: Analysis, design, and implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4178--4201}, year = {2023}, url = {https://doi.org/10.1002/cta.3626}, doi = {10.1002/CTA.3626}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MohajerySSBB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MoradinoN23, author = {Vahid Moradino and Esmaeil Najafiaghdam}, title = {A generalized analysis of high-order N-path filters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {848--865}, year = {2023}, url = {https://doi.org/10.1002/cta.3440}, doi = {10.1002/CTA.3440}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MoradinoN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MousaviBAB23, author = {Seyyed Mohammad Javad Mousavi and Ebrahim Babaei and Darioush Alizadeh and Asghar Bahador}, title = {A bidirectional single-phase Z-source {AC-AC} converter with reduced voltage stress on switches and safe commutation strategy}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5233--5250}, year = {2023}, url = {https://doi.org/10.1002/cta.3675}, doi = {10.1002/CTA.3675}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MousaviBAB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MushtaqM23, author = {Basit Mushtaq and Mairaj{-}ud{-}din Mufti}, title = {Coordinated operation of fuzzy-based {TCSC} and {SMES} for low-frequency oscillation damping in interconnected power systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2912--2941}, year = {2023}, url = {https://doi.org/10.1002/cta.3543}, doi = {10.1002/CTA.3543}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MushtaqM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MushtaqM23a, author = {Basit Mushtaq and Mairaj{-}ud{-}din Mufti}, title = {An intelligent cascaded fuzzy-lead-lag-based damping control scheme incorporating variable frequency transformer and superconducting magnetic energy storage in multimachine power system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4790--4816}, year = {2023}, url = {https://doi.org/10.1002/cta.3660}, doi = {10.1002/CTA.3660}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MushtaqM23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Narahara23, author = {Koichi Narahara}, title = {Mutual synchronization of rotary pulses in coupled tunnel-diode oscillator lattice loops}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1958--1969}, year = {2023}, url = {https://doi.org/10.1002/cta.3526}, doi = {10.1002/CTA.3526}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Narahara23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NareshPA23, author = {S. V. K. Naresh and Sankar Peddapati and Mamdouh L. Alghaythi}, title = {A non-isolated high quadratic step-up converter for fuel cell electric vehicle applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3841--3864}, year = {2023}, url = {https://doi.org/10.1002/cta.3610}, doi = {10.1002/CTA.3610}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NareshPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NetiS23, author = {Sukhdev Singh Neti and Varsha Singh}, title = {A common ground switched capacitor-based single-phase five-level transformerless inverter for photovoltaic application}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2854--2874}, year = {2023}, url = {https://doi.org/10.1002/cta.3552}, doi = {10.1002/CTA.3552}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NetiS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NetiSPA23, author = {Sukhdev Singh Neti and Varsha Singh and Swapnajit Pattnaik and Vishal Anand}, title = {Single-phase five-level common-ground transformerless inverter using switched capacitors for photovoltaic application}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4626--4645}, year = {2023}, url = {https://doi.org/10.1002/cta.3671}, doi = {10.1002/CTA.3671}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/NetiSPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NiuYZ23, author = {Wangqiang Niu and Xuejie Yu and Weiting Zhang}, title = {Experimental results and analysis of midrange underwater wireless power transfer}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2674--2688}, year = {2023}, url = {https://doi.org/10.1002/cta.3565}, doi = {10.1002/CTA.3565}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NiuYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NoohiFSMM23, author = {Mostafa Noohi and Amin Faraji and Sayed Alireza Sadrossadat and Ali Mirvakili and Ali Moftakharzadeh}, title = {Modeling and implementation of a novel active voltage balancing circuit using deep recurrent neural network with dropout regularization}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2351--2374}, year = {2023}, url = {https://doi.org/10.1002/cta.3485}, doi = {10.1002/CTA.3485}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NoohiFSMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OjhaG23, author = {Sandeep Ojha and Rajesh Gupta}, title = {Stabilization of DC-link voltage in single-phase {AC/DC} converter with power factor correction using predictive control algorithm}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5197--5209}, year = {2023}, url = {https://doi.org/10.1002/cta.3702}, doi = {10.1002/CTA.3702}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/OjhaG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OresanyaSXGG23, author = {Babajide Oluwatosin Oresanya and Gangquan Si and Xiang Xu and Jiahui Gong and Zhang Guo}, title = {A unified modeling approach for characterization of fractional-order memory elements}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4029--4042}, year = {2023}, url = {https://doi.org/10.1002/cta.3652}, doi = {10.1002/CTA.3652}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/OresanyaSXGG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OresanyaSXGG23a, author = {Babajide Oluwatosin Oresanya and Gangquan Si and Xiang Xu and Jiahui Gong and Zhang Guo}, title = {Conditions for realization of multiple pinch-off points in generalized fractional-order memory elements}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5050--5065}, year = {2023}, url = {https://doi.org/10.1002/cta.3690}, doi = {10.1002/CTA.3690}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/OresanyaSXGG23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OzenliY23, author = {Deniz {\"{O}}zenli and Abdullah Yesil}, title = {{VCII} based electronically tunable multi-mode filter structure with fourth order low-pass function for the {HF} band applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4939--4955}, year = {2023}, url = {https://doi.org/10.1002/cta.3738}, doi = {10.1002/CTA.3738}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/OzenliY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PahlavanzadehK23, author = {Hadi Pahlavanzadeh and Mohammad Azim Karami}, title = {A low settling time switching scheme for {SAR} ADCs with reset-free regenerative comparator}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3078--3092}, year = {2023}, url = {https://doi.org/10.1002/cta.3577}, doi = {10.1002/CTA.3577}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PahlavanzadehK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PallapatiC23, author = {Ramesh Babu Pallapati and Ramulu Chinthamalla}, title = {Electrolytic capacitor-less reduced power processing single-phase {LED} driver with power decoupling using split capacitor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3118--3145}, year = {2023}, url = {https://doi.org/10.1002/cta.3585}, doi = {10.1002/CTA.3585}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PallapatiC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PanRSWYND23, author = {Zeyu Pan and Dan Ren and Giorgio Spiazzi and Siqi Wei and Jinsheng Yang and Bao{-}Lin Nie and Pingan Du}, title = {An equivalent modeling method of passive components with multi-resonant frequency}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2689--2704}, year = {2023}, url = {https://doi.org/10.1002/cta.3545}, doi = {10.1002/CTA.3545}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PanRSWYND23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PandeyS23, author = {Amarendra Pandey and Alka Singh}, title = {Laguerre polynomial function-based inverter control with low-voltage ride-through capabilities}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {764--786}, year = {2023}, url = {https://doi.org/10.1002/cta.3463}, doi = {10.1002/CTA.3463}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PandeyS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Pandiev23, author = {Ivailo M. Pandiev}, title = {Stability analysis and design of floating load voltage-controlled current sources}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {880--896}, year = {2023}, url = {https://doi.org/10.1002/cta.3438}, doi = {10.1002/CTA.3438}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Pandiev23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ParapariPKT23, author = {Elmira Semsar Parapari and Ehsan Semsar Parapari and Ziaddin Daei Koozehkanani and Siroos Toofan}, title = {A 12.5 Gb/s 0.13-{\(\mu\)}m {CMOS} inductorless transimpedance amplifier with 1 pF input capacitance for optical communications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {866--879}, year = {2023}, url = {https://doi.org/10.1002/cta.3427}, doi = {10.1002/CTA.3427}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ParapariPKT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PatakamooriUNCP23, author = {Aswini Patakamoori and Ramanjaneya Reddy Udumula and Tousif Khan Nizami and Kasi Ramakrishnareddy Ch and Sanjeevikumar Padmanaban}, title = {Soft-switched full-bridge converter for {LED} lighting applications with reduced switch current}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1740--1757}, year = {2023}, url = {https://doi.org/10.1002/cta.3494}, doi = {10.1002/CTA.3494}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PatakamooriUNCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PatnanaLS23, author = {Hema Kumar Patnana and Suresh Lakhimsetty and Veeramraju Tirumala Somasekhar}, title = {A low-cost fault-tolerant permanent magnet brush-less direct current motor drive for low-power electric vehicle applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4442--4453}, year = {2023}, url = {https://doi.org/10.1002/cta.3642}, doi = {10.1002/CTA.3642}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PatnanaLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PauluH23, author = {Filip Paulu and Jir{\'{\i}} Hospodka}, title = {High-speed adaptive analog filter based on fully analog artificial neural network}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5543--5554}, year = {2023}, url = {https://doi.org/10.1002/cta.3701}, doi = {10.1002/CTA.3701}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PauluH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PellegriniMM23, author = {Bruno Pellegrini and Massimo Macucci and Paolo Marconcini}, title = {Novel comprehensive feedback theory - Part {I:} Generalization of the cut-insertion theorem and demonstration of feedback universality}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {3979--3993}, year = {2023}, url = {https://doi.org/10.1002/cta.3674}, doi = {10.1002/CTA.3674}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PellegriniMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PellegriniMM23a, author = {Bruno Pellegrini and Massimo Macucci and Paolo Marconcini}, title = {Novel comprehensive feedback theory - Part {II:} Unifying previous and new feedback models and further results}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {3994--4014}, year = {2023}, url = {https://doi.org/10.1002/cta.3619}, doi = {10.1002/CTA.3619}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PellegriniMM23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PeriyathiruvadiJG23, author = {Muthu Thiruvengadam Periyathiruvadi and Gnanavadivel Jothimani and Marimuthu Gajendran}, title = {A cost-effective switched inductor-based bridgeless Sepic converter for {PQ} enrichment in power solutions}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4348--4373}, year = {2023}, url = {https://doi.org/10.1002/cta.3654}, doi = {10.1002/CTA.3654}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PeriyathiruvadiJG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Petrovic23, author = {Predrag Bosko Petrovic}, title = {Current and transconductance mode full/half-wave rectifiers realized with only one active block}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5586--5603}, year = {2023}, url = {https://doi.org/10.1002/cta.3742}, doi = {10.1002/CTA.3742}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Petrovic23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PourdadashniaFTS23, author = {Amirhossein Pourdadashnia and Mohammad Farhadi{-}Kangarlu and Behrouz Tousi and Milad Sadoughi}, title = {{SHM-PWM} technique in a cascaded H-bridge multilevel inverter with adjustable DC-link for wide voltage range applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2228--2246}, year = {2023}, url = {https://doi.org/10.1002/cta.3519}, doi = {10.1002/CTA.3519}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PourdadashniaFTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PrasannaM23, author = {K. Lakshmi Prasanna and Mithun Mondal}, title = {Analytical formulas for calculating the electrical characteristics of multiparameter arbitrary configurational homogenous ladder networks}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2592--2606}, year = {2023}, url = {https://doi.org/10.1002/cta.3547}, doi = {10.1002/CTA.3547}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PrasannaM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PredigerBSBP23, author = {Vanessa Prediger and F{\'{a}}bio Bairros and Laio Oriel Seman and Eduardo Augusto Bezerra and H{\'{e}}ctor Pettenghi}, title = {{RNS} processor using moduli sets of the form 2\({}^{\mbox{\emph{n}}}\){\(\pm\)}1}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3432--3442}, year = {2023}, url = {https://doi.org/10.1002/cta.3594}, doi = {10.1002/CTA.3594}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PredigerBSBP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/QanbariTF23, author = {Tohid Qanbari and Behrouz Tousi and Mohammad Farhadi{-}Kangarlu}, title = {Level vector pulse width modulation for multilevel inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5776--5796}, year = {2023}, url = {https://doi.org/10.1002/cta.3727}, doi = {10.1002/CTA.3727}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/QanbariTF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RadmaneshJPM23, author = {Hamid Radmanesh and Hamidreza Jashnani and Saeed Pourjafar and Mohammad Maalandish}, title = {A dual-output single-input non-isolated {DC-DC} converter with reduced semiconductors stress}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {594--610}, year = {2023}, url = {https://doi.org/10.1002/cta.3451}, doi = {10.1002/CTA.3451}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/RadmaneshJPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RadmaneshMPK23, author = {Hamid Radmanesh and Mohammad Maalandish and Saeed Pourjafar and Mohammad Hassan Khooban}, title = {High step-up {MIMO} {DC-DC} power converter control-based pole placement}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1313--1331}, year = {2023}, url = {https://doi.org/10.1002/cta.3469}, doi = {10.1002/CTA.3469}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RadmaneshMPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RaiKS23, author = {Kanchan Bala Rai and Narendra Kumar and Alka Singh}, title = {Design and analysis of Hermite function-based artificial neural network controller for performance enhancement of photovoltaic-integrated grid system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1440--1459}, year = {2023}, url = {https://doi.org/10.1002/cta.3486}, doi = {10.1002/CTA.3486}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RaiKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RaiSK23, author = {Kanchan Bala Rai and Alka Singh and Narendra Kumar}, title = {Bernoulli polynomial-based control technique for PV-integrated grid system under distorted supply}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3204--3225}, year = {2023}, url = {https://doi.org/10.1002/cta.3578}, doi = {10.1002/CTA.3578}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RaiSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RajBSK23, author = {Ajishek Raj and Data Ram Bhaskar and Meghana Shrivastava and Pragati Kumar}, title = {New negative-grounded capacitance multiplier circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1476--1491}, year = {2023}, url = {https://doi.org/10.1002/cta.3479}, doi = {10.1002/CTA.3479}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RajBSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RajN23, author = {Rayappa David Amar Raj and Kanasottu Anil Naik}, title = {A novel scan pattern for reconfiguration of partially shaded photovoltaic arrays for maximum power extraction}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {668--701}, year = {2023}, url = {https://doi.org/10.1002/cta.3452}, doi = {10.1002/CTA.3452}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/RajN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RamalingamC23, author = {Anandan Ramalingam and Annadurai Chinnamuthu}, title = {Linear Polarization to Circular Polarization convertor loaded orthogonally placed two-port ring ceramic-based {MIMO} antenna for {WLAN} applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {979--989}, year = {2023}, url = {https://doi.org/10.1002/cta.3449}, doi = {10.1002/CTA.3449}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/RamalingamC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RanjbarizadBC23, author = {Vida Ranjbarizad and Ebrahim Babaei and Carlo Cecati}, title = {Embedded active impedance source inverter: Analysis and implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {728--749}, year = {2023}, url = {https://doi.org/10.1002/cta.3443}, doi = {10.1002/CTA.3443}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/RanjbarizadBC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RaoPSGB23, author = {C. H. Kamesh Rao and Ramnarayan Patel and Lalit Kumar Sahu and Krishna Kumar Gupta and Manish Kumar Barwar}, title = {Maximum power point tracking controller implementation in multiple input converter for effective solar energy harvesting using maximum power point resistance method}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {302--321}, year = {2023}, url = {https://doi.org/10.1002/cta.3428}, doi = {10.1002/CTA.3428}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RaoPSGB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RaoRN23, author = {Chepuri Venkateswara Rao and Rayappa David Amar Raj and Kanasottu Anil Naik}, title = {A novel hybrid image processing-based reconfiguration with {RBF} neural network {MPPT} approach for improving global maximum power and effective tracking of {PV} system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4397--4426}, year = {2023}, url = {https://doi.org/10.1002/cta.3629}, doi = {10.1002/CTA.3629}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RaoRN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RaoSNAP23, author = {Bhukya Nageswar Rao and Yellasiri Suresh and Banavath Shiva Naik and Kancharapu Aditya and Anup Kumar Panda}, title = {A new single-phase multilevel inverter with improved modulation technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3730--3745}, year = {2023}, url = {https://doi.org/10.1002/cta.3608}, doi = {10.1002/CTA.3608}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RaoSNAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RaoSPR23, author = {Singamasetty Manmadha Rao and Rajshree Jithendra Satputaley and Nita R. Patne and Bathula Venkata Surya Raghava}, title = {Enhancing voltage regulation using 5-level {TCHB} based {DVR} in radial secondary distribution feeder system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2207--2227}, year = {2023}, url = {https://doi.org/10.1002/cta.3528}, doi = {10.1002/CTA.3528}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RaoSPR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Rashidi23, author = {Bahram Rashidi}, title = {Error-correcting cryptographic S-boxes with multiple error detection and correction}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5499--5511}, year = {2023}, url = {https://doi.org/10.1002/cta.3703}, doi = {10.1002/CTA.3703}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Rashidi23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RatnakumarN23, author = {Rahul Ratnakumar and Satyasai Jagannath Nanda}, title = {A high-speed unsupervised hardware architecture for rapid diagnosis of {COVID-19}}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {437--474}, year = {2023}, url = {https://doi.org/10.1002/cta.3417}, doi = {10.1002/CTA.3417}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RatnakumarN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SA23, author = {Sudarshan B. S and G. Arunkumar}, title = {SiC switch-based isolated {DC-DC} converter for simultaneous charging of Li-ion batteries of different voltage ratings for low- and medium-power electric vehicle battery charging application}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5348--5400}, year = {2023}, url = {https://doi.org/10.1002/cta.3693}, doi = {10.1002/CTA.3693}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SNJ23, author = {Krishna Veni K. S. and Senthil Kumar Natarajan and Gnanavadivel Jothimani}, title = {Hall sensor fault diagnosis and compensation in Brushless {DC} motor drive using artificial intelligence technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2777--2797}, year = {2023}, url = {https://doi.org/10.1002/cta.3538}, doi = {10.1002/CTA.3538}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SNJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SS23, author = {Sofiya S. and Shelas Sathyan}, title = {A three-level three port isolated converter with reduced current stress for {DC} microgrid applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3349--3375}, year = {2023}, url = {https://doi.org/10.1002/cta.3572}, doi = {10.1002/CTA.3572}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SSM23, author = {Sanal Kumar S and Palanivel S and Kamalesh MS}, title = {An improved robust coupled sliding mode control strategy for solar photovoltaic-based single-phase inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2163--2185}, year = {2023}, url = {https://doi.org/10.1002/cta.3542}, doi = {10.1002/CTA.3542}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SafaaiJazi23, author = {Ahmad Safaai{-}Jazi}, title = {Analytical non-Fourier solution of linear time-invariant circuits with periodic inputs}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1893--1901}, year = {2023}, url = {https://doi.org/10.1002/cta.3497}, doi = {10.1002/CTA.3497}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SafaaiJazi23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SahinTY23, author = {Yakup Sahin and Naim Suleyman Ting and H{\"{u}}seyin Yesilyurt}, title = {A novel capacitor-voltage reduced bidirectional {PWM} {DC-DC} buck-boost converter for renewable energy battery charge system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2875--2888}, year = {2023}, url = {https://doi.org/10.1002/cta.3558}, doi = {10.1002/CTA.3558}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SahinTY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SalaCMST23, author = {Riccardo Della Sala and Francesco Centurelli and Pietro Monsurr{\`{o}} and Giuseppe Scotti and Alessandro Trifiletti}, title = {A body-driven rail-to-rail 0.3 {V} operational transconductance amplifier exploiting current gain stages}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {1971--1987}, year = {2023}, url = {https://doi.org/10.1002/cta.3520}, doi = {10.1002/CTA.3520}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SalaCMST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SandroliniSBF23, author = {Leonardo Sandrolini and Mattia Simonazzi and Sami Barmada and Nunzia Fontana}, title = {Two-port network compact representation of resonator arrays for wireless power transfer with variable receiver position}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2301--2314}, year = {2023}, url = {https://doi.org/10.1002/cta.3510}, doi = {10.1002/CTA.3510}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SandroliniSBF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SantosHJBTFP23, author = {Kristian Pessoa dos Santos and Gustavo Alves de Lima Henn and Demercil de Souza Oliveira Junior and Luiz Henrique Silva Colado Barreto and Fernando Lessa Tofoli and Herm{\'{\i}}nio Miguel Oliveira Filho and Paulo Peixoto Pra{\c{c}}a}, title = {Three-phase bidirectional isolated {LLC} resonant {DC-DC} converter for {DC} microgrid applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4220--4241}, year = {2023}, url = {https://doi.org/10.1002/cta.3630}, doi = {10.1002/CTA.3630}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SantosHJBTFP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SarwerAS23, author = {Zeeshan Sarwer and Md Nishat Anwar and Adil Sarwar}, title = {A nine-level common ground multilevel inverter {(9L-CGMLI)} with reduced components and boosting ability}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3826--3840}, year = {2023}, url = {https://doi.org/10.1002/cta.3550}, doi = {10.1002/CTA.3550}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SarwerAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SatheesanN23, author = {Jithin Satheesan and Rajeev Thankappan Nair}, title = {An adaptive two-level hierarchical controller for universal power sharing and performance enhancement of hybrid energy storage-supported {AC/DC} microgrids}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2122--2140}, year = {2023}, url = {https://doi.org/10.1002/cta.3529}, doi = {10.1002/CTA.3529}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SatheesanN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SeifiHH23, author = {Ali Seifi and Majid Hosseinpour and Seyed Hossein Hosseini}, title = {A novel bidirectional modular multilevel inverter utilizing diode-based bidirectional unit}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3226--3245}, year = {2023}, url = {https://doi.org/10.1002/cta.3582}, doi = {10.1002/CTA.3582}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SeifiHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SenaniBGKS23, author = {Raj Senani and Data Ram Bhaskar and Shanti Swaroop Gupta and Pragati Kumar and Ravindra Kumar Sharma}, title = {An ingenious methodology of deriving single-FTFN-based canonic grounded-capacitor SRCOs}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1918--1934}, year = {2023}, url = {https://doi.org/10.1002/cta.3501}, doi = {10.1002/CTA.3501}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SenaniBGKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SenaniRS23, author = {Raj Senani and Manish Rai and Abdhesh K. Singh}, title = {{CMOS} linear voltage-controlled positive/negative floating resistance configuration and its application in realising a voltage controllable all-pass filter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3543--3555}, year = {2023}, url = {https://doi.org/10.1002/cta.3599}, doi = {10.1002/CTA.3599}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SenaniRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShahbaziBG23, author = {Nassim Shahbazi and Sajad Bagheri and Gevork B. Gharehpetian}, title = {Transformer differential protection scheme based on self-adaptive biased characteristic curve and considering cross-country faults}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1110--1131}, year = {2023}, url = {https://doi.org/10.1002/cta.3476}, doi = {10.1002/CTA.3476}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ShahbaziBG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SharmaRK23, author = {Ritika Sharma and Mayank Kumar Rai and Rajesh Khanna}, title = {Structure optimization: Configuring optimum performance of randomly distributed mixed carbon nanotube bundle interconnects}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3949--3967}, year = {2023}, url = {https://doi.org/10.1002/cta.3605}, doi = {10.1002/CTA.3605}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SharmaRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SharroushB23, author = {Sherif M. Sharroush and Emad Badry}, title = {Proposed time-mode wide fan-in {NAND} and {NOR} gates}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3480--3513}, year = {2023}, url = {https://doi.org/10.1002/cta.3612}, doi = {10.1002/CTA.3612}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SharroushB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShawSMI23, author = {Priyabrata Shaw and Marif Daula Siddique and Saad Mekhilef and Atif Iqbal}, title = {A new family of high gain boost {DC-DC} converters with reduced switch voltage stress for renewable energy sources}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1265--1285}, year = {2023}, url = {https://doi.org/10.1002/cta.3464}, doi = {10.1002/CTA.3464}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ShawSMI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Shi23, author = {Guoyong Shi}, title = {Automatic generation of macromodels and design equations for application to Op Amp design}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4521--4549}, year = {2023}, url = {https://doi.org/10.1002/cta.3673}, doi = {10.1002/CTA.3673}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Shi23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShivashankerJ23, author = {K. Shivashanker and M. Janaki}, title = {An approach on nonlinear integration of {MMC} to linear model of {ROPS} in transient analysis}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4584--4606}, year = {2023}, url = {https://doi.org/10.1002/cta.3664}, doi = {10.1002/CTA.3664}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ShivashankerJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SinghG23, author = {Raghvenda Kumar Singh and Ashish Gupta}, title = {A miniaturized highly selective MTM-inspired filter for lowpass and triple-band bandpass performance with configurable transmission zeros and bandwidth}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3695--3709}, year = {2023}, url = {https://doi.org/10.1002/cta.3602}, doi = {10.1002/CTA.3602}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SinghG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SinghMKS23, author = {Ajit Kumar Singh and Santosh Kumar Mahto and Praveen Kumar and Rashmi Sinha}, title = {Analysis of path loss and channel capacity in quad element {MIMO} antenna for terahertz communication systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1460--1475}, year = {2023}, url = {https://doi.org/10.1002/cta.3473}, doi = {10.1002/CTA.3473}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SinghMKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SinghT23, author = {Amit Pratap Singh and Sanjay Tolani}, title = {A voltage lift-based high-gain {DC-DC} converter with low input current ripple}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5617--5633}, year = {2023}, url = {https://doi.org/10.1002/cta.3748}, doi = {10.1002/CTA.3748}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SinghT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Sommariva23, author = {Antonino M. Sommariva}, title = {Passivity criteria for controlled affine resistive components}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1020--1038}, year = {2023}, url = {https://doi.org/10.1002/cta.3424}, doi = {10.1002/CTA.3424}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Sommariva23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SommarivaD23, author = {Antonino M. Sommariva and Marco Dalai}, title = {Refined asymptotic analysis of the two-capacitor circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5997--6016}, year = {2023}, url = {https://doi.org/10.1002/cta.3723}, doi = {10.1002/CTA.3723}, timestamp = {Sat, 23 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SommarivaD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SongCLD23, author = {Jiuxu Song and Zhiwei Chang and Hongxia Liu and Shuai Ding}, title = {One-cycle controllability of high step-up Boost converter with coupled inductor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2729--2743}, year = {2023}, url = {https://doi.org/10.1002/cta.3532}, doi = {10.1002/CTA.3532}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SongCLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SubudhiMA23, author = {Sanjeet Kumar Subudhi and Somnath Maity and Abdelali El Aroudi}, title = {Limit-cycle-oscillation in {DC} bus system and its death through heterogeneous coupling}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2760--2776}, year = {2023}, url = {https://doi.org/10.1002/cta.3541}, doi = {10.1002/CTA.3541}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SubudhiMA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SunJWHGR23, author = {Zhang Sun and Weidong Jin and Fan Wu and Qi Han and Kun Guan and Junxiao Ren}, title = {A novel low-complexity model predictive control for Vienna rectifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5136--5153}, year = {2023}, url = {https://doi.org/10.1002/cta.3697}, doi = {10.1002/CTA.3697}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SunJWHGR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SunLCSPSY23, author = {Caiban Sun and Wenrui Liu and Jiafeng Cheng and Nengyuan Sun and Zhaokang Peng and Heng Sha and Weize Yu}, title = {A high-speed and low-latency hardware implementation of {RC4} cryptographic algorithm}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5980--5996}, year = {2023}, url = {https://doi.org/10.1002/cta.3769}, doi = {10.1002/CTA.3769}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SunLCSPSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TahaMADPA23, author = {Mohamed Ahmed Taha and Islam Mansour and Ahmed Allam and Mohamed El Dessouky and Ramesh K. Pokharel and Adel B. Abdel{-}Rahman}, title = {Compact and low phase noise {LC-VCO} design using novel V-shaped inductor in 0.18-{\(\mu\)}m {CMOS} technology for Ku-band applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5604--5616}, year = {2023}, url = {https://doi.org/10.1002/cta.3747}, doi = {10.1002/CTA.3747}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TahaMADPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TangCJLZZX23, author = {Xiongmin Tang and Yongquan Chen and Tianhong Jiang and Zhihong Lin and Zexin Zhou and Zihao Zhao and Haoyuan Xie}, title = {A novel LC-based bipolar pulsed power supply for dielectric barrier discharge excimer lamps}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3675--3694}, year = {2023}, url = {https://doi.org/10.1002/cta.3623}, doi = {10.1002/CTA.3623}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TangCJLZZX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TangLSGJ23, author = {Yu Tang and Xiang Liu and Zhe Shi and Leijiao Ge and Lin Jiang}, title = {A frequency-doubling modulation method for {LLC} with optimizing thermal balance of power switches}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2079--2093}, year = {2023}, url = {https://doi.org/10.1002/cta.3537}, doi = {10.1002/CTA.3537}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TangLSGJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TangZSJZ23, author = {Yu Tang and Baolin Zhao and Zhe Shi and Lin Jiang and Yun Zhang}, title = {\emph{LLC} resonant converter based on {PFM} and secondary-side short-circuit control for on-board charger}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1332--1348}, year = {2023}, url = {https://doi.org/10.1002/cta.3465}, doi = {10.1002/CTA.3465}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TangZSJZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TarassodiAR23, author = {Pouya Tarassodi and Jafar Adabi and Mohammad Rezanejad}, title = {A power management strategy for a grid-connected multi-energy storage resources with a multiport converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2744--2759}, year = {2023}, url = {https://doi.org/10.1002/cta.3540}, doi = {10.1002/CTA.3540}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TarassodiAR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ThirukkuralkaniSK23, author = {K. N. Thirukkuralkani and K. Srinivasan and V. Karthikeyan}, title = {A single switch hybrid quadratic converter for grid-integrated renewable energy system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4276--4293}, year = {2023}, url = {https://doi.org/10.1002/cta.3631}, doi = {10.1002/CTA.3631}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ThirukkuralkaniSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TruongL23, author = {Binh Duc Truong and Cuong Phu Le}, title = {Theoretical analysis of electrostatic energy harvester configured as Bennet's doubler based on {Q-V} cycles}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2518--2543}, year = {2023}, url = {https://doi.org/10.1002/cta.3556}, doi = {10.1002/CTA.3556}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TruongL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TsengSH23, author = {Kuo{-}Ching Tseng and En{-}Ming Shih and Guan{-}Yu Huang}, title = {An integrated of buck and half-bridge high step-down converter utilizing single-stage driving design for high-efficiency energy conversions}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {750--763}, year = {2023}, url = {https://doi.org/10.1002/cta.3458}, doi = {10.1002/CTA.3458}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/TsengSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/UR23, author = {Deepa M. U. and Bindu G. R.}, title = {Design and analysis of reconfigurable sensorless brushless {DC} motor drive for regenerative braking and battery charging in electric vehicle}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5283--5304}, year = {2023}, url = {https://doi.org/10.1002/cta.3707}, doi = {10.1002/CTA.3707}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/UR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/UmredkarKBR23, author = {Sheetal Umredkar and Ritesh Kumar Keshri and Vijay B. Borghate and Mohan M. Renge}, title = {Performance improvement of 36-slot 6-terminal induction motor drive using pole phase modulation with higher slot per pole per phase}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4774--4789}, year = {2023}, url = {https://doi.org/10.1002/cta.3662}, doi = {10.1002/CTA.3662}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/UmredkarKBR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/UpadhyaySKKH23, author = {Prashant Upadhyay and Preeti Sharma and Subodh Khandelwal and Rajneesh Kumar and Sara Hasanpour}, title = {An active clamp coupled inductor-based soft-switched quadratic boost converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2141--2162}, year = {2023}, url = {https://doi.org/10.1002/cta.3523}, doi = {10.1002/CTA.3523}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/UpadhyaySKKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VaidyanathanBOTSD23, author = {Sundarapandian Vaidyanathan and Khaled Benkouider and Brisbane Ovilla{-}Martinez and Esteban Tlelo{-}Cuautle and Aceng Sambas and P. Darwin}, title = {A new 4-D hyperchaotic two-scroll system with hidden attractor and its field-programmable gate array implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5484--5498}, year = {2023}, url = {https://doi.org/10.1002/cta.3700}, doi = {10.1002/CTA.3700}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/VaidyanathanBOTSD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VasantharajV23, author = {Subramanian Vasantharaj and Indragandhi Vairavasundaram}, title = {Implementation of hardware-in-loop for DC-link voltage balancing in hybrid ac/dc microgrid using interlinking converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {223--242}, year = {2023}, url = {https://doi.org/10.1002/cta.3422}, doi = {10.1002/CTA.3422}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/VasantharajV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VermaBSDW23, author = {Shivpal R. Verma and Makarand Sudhakar Ballal and Hiralal M. Suryawanshi and Rohit R. Deshmukh and Sarvesh A. Wakode}, title = {Power pool-based supervisory coordinate control strategy for {DC} microgrids}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5724--5742}, year = {2023}, url = {https://doi.org/10.1002/cta.3725}, doi = {10.1002/CTA.3725}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/VermaBSDW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VostaNJ23, author = {Pezhman Kiani Vosta and Hossein Miar Naimi and Mohsen Javadi}, title = {General analysis of {LC} oscillator with active and passive transconductance amplification}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3032--3050}, year = {2023}, url = {https://doi.org/10.1002/cta.3576}, doi = {10.1002/CTA.3576}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/VostaNJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WaghmareC23, author = {Tushar T. Waghmare and Pradyumn Chaturvedi}, title = {Super twisting approach of a higher order sliding mode controller for a flyback {DC-DC} converter in photovoltaic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4202--4219}, year = {2023}, url = {https://doi.org/10.1002/cta.3636}, doi = {10.1002/CTA.3636}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WaghmareC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangCL23, author = {Kai Wang and Michael Z. Q. Chen and Fei Liu}, title = {Minimal seven-element series-parallel realizability of a certain positive-real biquadratic impedance}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4043--4070}, year = {2023}, url = {https://doi.org/10.1002/cta.3627}, doi = {10.1002/CTA.3627}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangCYLZ23, author = {Bingting Wang and Ziping Cao and Wenjuan Yu and Lingchun Li and Changhai Zhou}, title = {Design of lossy three-element networks with optimization efficiency}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1596--1607}, year = {2023}, url = {https://doi.org/10.1002/cta.3461}, doi = {10.1002/CTA.3461}, timestamp = {Tue, 30 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangCYLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangCYZHSMM23, author = {Lin Wang and Yong Chen and Chaowei Yang and Xionghui Zhou and Mei Han and Paolo Stefano Crovetti and Pui{-}In Mak and Rui Paulo Martins}, title = {A 6-to-38Gb/s capture-range bang-bang clock and data recovery circuit with deliberate-current-mismatch frequency detection and interpolation-based multiphase clock generation}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {1988--2015}, year = {2023}, url = {https://doi.org/10.1002/cta.3535}, doi = {10.1002/CTA.3535}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangCYZHSMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangGSWSY23, author = {Meng Wang and Jingjing Guo and Yanyan Shi and Mengmeng Wang and Guangcheng Song and Renliang Yin}, title = {A metamaterial-incorporated wireless power transmission system for efficiency enhancement}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3051--3065}, year = {2023}, url = {https://doi.org/10.1002/cta.3587}, doi = {10.1002/CTA.3587}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangGSWSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangGZFL23, author = {Jiahui Wang and Yabin Gao and Yue Zhao and Zhiguang Feng and Jianxing Liu}, title = {A comparative study of FNN-based dynamic sliding mode control for {DC-DC} converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {579--593}, year = {2023}, url = {https://doi.org/10.1002/cta.3446}, doi = {10.1002/CTA.3446}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WangGZFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangHT23, author = {Yuan Wang and Heng{-}Tung Hsu and Yi{-}Fan Tsao}, title = {A 20-W wideband voltage controlled attenuator for millimeter-wave applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2065--2078}, year = {2023}, url = {https://doi.org/10.1002/cta.3557}, doi = {10.1002/CTA.3557}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangLTZL23, author = {Chun Wang and Rui Liu and Aihua Tang and Zhigang Zhang and Pu Liu}, title = {A reinforcement learning-based energy management strategy for a battery-ultracapacitor electric vehicle considering temperature effects}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4690--4710}, year = {2023}, url = {https://doi.org/10.1002/cta.3656}, doi = {10.1002/CTA.3656}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WangLTZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangTWZ23, author = {Xiao{-}Kang Wang and Ling Tian and Hai{-}Long Wang and Xiao{-}Wei Zhu}, title = {Design of an ultra-wideband picosecond pulse generator based on step recovery diodes with an improved {SPICE} model}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3585--3595}, year = {2023}, url = {https://doi.org/10.1002/cta.3592}, doi = {10.1002/CTA.3592}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangTWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangWSGSY23, author = {Meng Wang and Mengmeng Wang and Yanyan Shi and Jingjing Guo and Guangcheng Song and Renliang Yin}, title = {Design of new metamaterial with negative permeability for efficient wireless power transfer}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5026--5037}, year = {2023}, url = {https://doi.org/10.1002/cta.3714}, doi = {10.1002/CTA.3714}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangWSGSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangWZWCCRM23, author = {Xi Wang and Dong Wei and Zhiyang Zhang and Tianxiang Wu and Xu Chen and Yong Chen and Junyan Ren and Shunli Ma}, title = {A 90- to 115-GHz superheterodyne receiver front-end for W-band imaging system in 28-nm complementary metal-oxide-semiconductor}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1530--1547}, year = {2023}, url = {https://doi.org/10.1002/cta.3509}, doi = {10.1002/CTA.3509}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangWZWCCRM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangYL23, author = {Xinsheng Wang and Xingxi Yu and Xiongliang Lai}, title = {A fusion bandwidth extension technique for broadband low-noise amplifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3612--3621}, year = {2023}, url = {https://doi.org/10.1002/cta.3634}, doi = {10.1002/CTA.3634}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangYSSY23, author = {Meng Wang and Renliang Yin and Yanyan Shi and Guangcheng Song and Lin Yang}, title = {Frequency offset suppression method for wireless power transfer based on nonlinear resonant network}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {5}, pages = {2315--2326}, year = {2023}, url = {https://doi.org/10.1002/cta.3524}, doi = {10.1002/CTA.3524}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangYSSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangYXQW23, author = {Jingying Wang and Ruohe Yao and Zhenting Xiong and Jian Qin and Hong Wang}, title = {Design of a broadband power amplifier based on high-efficiency impedance space}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3968--3978}, year = {2023}, url = {https://doi.org/10.1002/cta.3609}, doi = {10.1002/CTA.3609}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangYXQW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WeiL23, author = {Xuepeng Wei and Yonglun Luo}, title = {A continuous Class-EF power amplifier based on the general continuous mode design theory}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5038--5049}, year = {2023}, url = {https://doi.org/10.1002/cta.3688}, doi = {10.1002/CTA.3688}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WeiL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WuWCLLF23, author = {Fan Wu and Shunli Wang and Wen Cao and Tao Long and Yawen Liang and Carlos Fernandez}, title = {An improved long short-term memory based on global optimization square root extended Kalman smoothing algorithm for collaborative state of charge and state of energy estimation of lithium-ion batteries}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3880--3896}, year = {2023}, url = {https://doi.org/10.1002/cta.3624}, doi = {10.1002/CTA.3624}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WuWCLLF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/XavierSPM23, author = {Lucas S. Xavier and Clodualdo Venicio De Sousa and Heverton Augusto Pereira and Victor Flores Mendes}, title = {Design and performance comparisons of power converters for battery energy storage systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3146--3166}, year = {2023}, url = {https://doi.org/10.1002/cta.3590}, doi = {10.1002/CTA.3590}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/XavierSPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/XieLXBHZZ23, author = {Yuqiao Xie and Zhongyang Liu and Tao Xu and Dawei Bi and Zhiyuan Hu and Zhengxuan Zhang and Shichang Zou}, title = {A novel high-precision single-event transient hardened voltage comparator design}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4864--4878}, year = {2023}, url = {https://doi.org/10.1002/cta.3646}, doi = {10.1002/CTA.3646}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/XieLXBHZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/XuanCZGLL23, author = {Xuefei Xuan and Zhiqun Cheng and Zhiwei Zhang and Tingwei Gong and Guohua Liu and Chao Le}, title = {Design of a high-efficiency bandwidth-enhanced power amplifier based on dual-paths impedance matching techniques}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4127--4138}, year = {2023}, url = {https://doi.org/10.1002/cta.3650}, doi = {10.1002/CTA.3650}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/XuanCZGLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangYSW23, author = {Lin Yang and Xinyuan Yu and Yanyan Shi and Meng Wang}, title = {A four-coil structure wireless power transfer system with constant current and constant voltage charging: Analysis, design, and experiment}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {32--46}, year = {2023}, url = {https://doi.org/10.1002/cta.3437}, doi = {10.1002/CTA.3437}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/YangYSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangZL23, author = {Xiaoguang Yang and Xiaobing Zhao and Jietao Liu}, title = {Integrated bidirectional converter with voltage equalizer for energy storage system}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5823--5839}, year = {2023}, url = {https://doi.org/10.1002/cta.3740}, doi = {10.1002/CTA.3740}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YangZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YaoC23, author = {Zhilei Yao and Liang Cai}, title = {Single-stage buck-boost off-grid inverter with feedforward control}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2705--2715}, year = {2023}, url = {https://doi.org/10.1002/cta.3555}, doi = {10.1002/CTA.3555}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YaoC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YarlagaddaV23, author = {Anil Kumar Yarlagadda and Vimlesh Verma}, title = {Seven-level triple boost self-balanced switched-capacitor inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {611--627}, year = {2023}, url = {https://doi.org/10.1002/cta.3450}, doi = {10.1002/CTA.3450}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YarlagaddaV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YavariSJ23, author = {Majid Yavari and Ahmad Salemnia and Hamid Javadi}, title = {A new step-up {DC-DC} converter with high gain for photovoltaic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {702--727}, year = {2023}, url = {https://doi.org/10.1002/cta.3447}, doi = {10.1002/CTA.3447}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/YavariSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YedukondaluSJ23, author = {Guttula Yedukondalu and Susovon Samanta and Mahendra Chandra Joshi}, title = {Analysis, design, and minimum phase selection of high power interleaved {DC-DC} converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {322--339}, year = {2023}, url = {https://doi.org/10.1002/cta.3409}, doi = {10.1002/CTA.3409}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YedukondaluSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YucehanYM23, author = {Tolga Yucehan and Erkan Y{\"{u}}ce and Shahram Minaei}, title = {A single CCII-based high-precision voltage-mode full-wave rectifier suitable for low-level signals}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5085--5102}, year = {2023}, url = {https://doi.org/10.1002/cta.3706}, doi = {10.1002/CTA.3706}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YucehanYM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YueCC23, author = {Bingyan Yue and Qiming Cheng and Yinman Cheng}, title = {Robustness improvement model predictive control strategy based on Luenberger observer for Y-type modular multilevel converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5672--5690}, year = {2023}, url = {https://doi.org/10.1002/cta.3731}, doi = {10.1002/CTA.3731}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YueCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZancoG23, author = {Alessandro Zanco and Stefano Grivet{-}Talocia}, title = {On checking dissipativity of parameterized linear and time-invariant circuits and systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1503--1529}, year = {2023}, url = {https://doi.org/10.1002/cta.3516}, doi = {10.1002/CTA.3516}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZancoG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZengCZQ23, author = {Libin Zeng and Yanfeng Chen and Bo Zhang and Dongyuan Qiu}, title = {Optimal design of {VHF} resonant boost converter considering the nonlinear characteristic of parasitic capacitance}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3189--3203}, year = {2023}, url = {https://doi.org/10.1002/cta.3574}, doi = {10.1002/CTA.3574}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZengCZQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangCLBWLY23, author = {Fu Zhang and Pingbo Chen and Haijun Lin and Wenqi Bai and Shuangshuang Wu and Jianmin Li and Yuxiang Yang}, title = {Analysis of time-domain response of series-parallel fractional-order \emph{RC}\({}_{\mbox{{\(\alpha\)}}}\) and \emph{RL}\({}_{\mbox{{\(\beta\)}}}\) circuits based on Cole distribution function}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {7}, pages = {3093--3104}, year = {2023}, url = {https://doi.org/10.1002/cta.3597}, doi = {10.1002/CTA.3597}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangCLBWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangCY23, author = {Guidong Zhang and Zexiang Chen and Samson S. Yu}, title = {Design and experimental verification of a simplified controller for high-order converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2716--2728}, year = {2023}, url = {https://doi.org/10.1002/cta.3534}, doi = {10.1002/CTA.3534}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangLBZXD23, author = {Haineng Zhang and Zhongyang Liu and Dawei Bi and Zhengxuan Zhang and Zhiyi Xiao and Ruofan Dai}, title = {An energy-efficient level shifter based on a differential cascade voltage switch structure}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {955--962}, year = {2023}, url = {https://doi.org/10.1002/cta.3460}, doi = {10.1002/CTA.3460}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangLBZXD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangWLLX23, author = {Ben Zhang and Xudong Wang and Changbo Lu and Yong Lu and Wanli Xu}, title = {A wireless power transfer system for an autonomous underwater vehicle based on lightweight universal variable ring-shaped magnetic coupling}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {6}, pages = {2654--2673}, year = {2023}, url = {https://doi.org/10.1002/cta.3568}, doi = {10.1002/CTA.3568}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangWLLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangXWQCLT23, author = {Fan Zhang and Yong Xiao and Leke Wan and Bin Qian and Changsong Cai and Xiaoming Lin and Jianlin Tang}, title = {An input-parallel output-series wireless power transfer scheme for automatic vehicle modular and misalignment-tolerant charging}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4085--4102}, year = {2023}, url = {https://doi.org/10.1002/cta.3633}, doi = {10.1002/CTA.3633}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangXWQCLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangYXZ23, author = {Jie Zhang and Jing Yang and Longhao Xu and Xiaopeng Zhu}, title = {The circuit realization of a fifth-order multi-wing chaotic system and its application in image encryption}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1168--1186}, year = {2023}, url = {https://doi.org/10.1002/cta.3490}, doi = {10.1002/CTA.3490}, timestamp = {Fri, 11 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangYXZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangZL23, author = {Xiaobing Zhang and Guohua Zhou and Shuyi Liu}, title = {Equalization circuit based on switched-capacitor units and graph networks for series-connected cell strings}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5116--5135}, year = {2023}, url = {https://doi.org/10.1002/cta.3720}, doi = {10.1002/CTA.3720}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoBZZWG23, author = {Xiaojun Zhao and Pengshuo Bai and Chunjiang Zhang and Zhide Zhao and Xiaohuan Wang and Xiaoqiang Guo}, title = {Analysis and validations of operation behaviors for dual active bridge-based unified power quality conditioner under different working conditions}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {2}, pages = {541--556}, year = {2023}, url = {https://doi.org/10.1002/cta.3456}, doi = {10.1002/CTA.3456}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoBZZWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoDPLLCW23, author = {Qiang Zhao and Hanwen Dong and Chunyu Peng and Wenjuan Lu and Zhiting Lin and Junning Chen and Xiulong Wu}, title = {Write-enhanced and radiation-hardened {SRAM} for multi-node upset tolerance in space-radiation environments}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {1}, pages = {398--409}, year = {2023}, url = {https://doi.org/10.1002/cta.3418}, doi = {10.1002/CTA.3418}, timestamp = {Sat, 27 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoDPLLCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoWLPW23, author = {Tian Zhao and JiaHao Wei and BiJia Lan and Qing Peng and Jing Wan}, title = {A unified black-box macro model for analog circuit based on artificial neural network}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4455--4464}, year = {2023}, url = {https://doi.org/10.1002/cta.3647}, doi = {10.1002/CTA.3647}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoWLPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoXS23, author = {Baoshui Zhao and Yancai Xiao and Haikuo Shen}, title = {Design and implementation of high precision servo channel loading card for fatigue testing of aircraft wings}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5908--5925}, year = {2023}, url = {https://doi.org/10.1002/cta.3732}, doi = {10.1002/CTA.3732}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoXS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoYXSJ23, author = {Xiaoguang Zhao and Jingjing Yang and Wenyan Xie and Xujian Shu and Yanwei Jiang}, title = {Comparative analysis of reflected impedance between fractional-order and integer-order wireless power transfer systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {3}, pages = {1057--1068}, year = {2023}, url = {https://doi.org/10.1002/cta.3480}, doi = {10.1002/CTA.3480}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoYXSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoZXLDCZJYH23, author = {Zi{-}Ming Zhao and Xiao{-}Wei Zhu and Jing Xia and Rui{-}Jia Liu and Qin Dong and Peng Chen and Lei Zhang and Xin Jiang and Chao Yu and Wei Hong}, title = {A 23-29.5 GHz three-stage mm-wave GaN power amplifier using arbitrary two-port complex-impedance matching method}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5103--5115}, year = {2023}, url = {https://doi.org/10.1002/cta.3716}, doi = {10.1002/CTA.3716}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoZXLDCZJYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoZZLXL23, author = {Jiaqing Zhao and Jianwei Zhang and Yang Zhang and Cheng Liu and Zhennan Xi and Xiangdong Luo}, title = {An 18-bit 1-GS/s time interleaved analog-to-digital converter with timing skew calibration based on an adaptive genetic algorithm}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5470--5483}, year = {2023}, url = {https://doi.org/10.1002/cta.3689}, doi = {10.1002/CTA.3689}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoZZLXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhouLZMZ23, author = {Hao Zhou and Junfeng Liu and Zhi Zhang and Mingze Ma and Jun Zeng}, title = {An active damping control strategy with improved transient performance for high-frequency {AC} power distribution in intelligent vehicles}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3774--3791}, year = {2023}, url = {https://doi.org/10.1002/cta.3601}, doi = {10.1002/CTA.3601}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhouLZMZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhouP23, author = {Bo Zhou and Zheng Pan}, title = {Miniaturized lumped-element low-temperature co-fired ceramic balun using capacitance-enhanced capacitors}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {10}, pages = {4477--4484}, year = {2023}, url = {https://doi.org/10.1002/cta.3665}, doi = {10.1002/CTA.3665}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhouP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhouWZWHS23, author = {Runjian Zhou and Jiangang Wen and Yuanping Zou and Anding Wang and Jingyu Hua and Bin Sheng}, title = {Enhanced image compression method exploiting {NTT} for internet of thing}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {4}, pages = {1879--1892}, year = {2023}, url = {https://doi.org/10.1002/cta.3506}, doi = {10.1002/CTA.3506}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhouWZWHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhuJJZ23, author = {Xiaoquan Zhu and Liming Jiang and Ke Jin and Bo Zhang}, title = {Multicell nonisolated resonant modular multilevel dc-dc converter with self-voltage balancing and step-up conversion}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {11}, pages = {5431--5451}, year = {2023}, url = {https://doi.org/10.1002/cta.3692}, doi = {10.1002/CTA.3692}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhuJJZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhuLJZ23, author = {Xiaoquan Zhu and Kang Liu and Ke Jin and Bo Zhang}, title = {Bidirectional half-bridge {LLC} resonant converter with automatic current sharing characteristic}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {8}, pages = {3806--3825}, year = {2023}, url = {https://doi.org/10.1002/cta.3607}, doi = {10.1002/CTA.3607}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhuLJZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZouLCZWMZ23, author = {Wei Zou and Lei Li and Zhengwang Cheng and Li Zhang and Mei Wang and Xinguo Ma and Xuecheng Zou}, title = {A 3.83-5.55 GHz high frequency resolution {DCO} with optimized switched-capacitor ladder and low-coupled eight-shaped transformer}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {12}, pages = {5555--5569}, year = {2023}, url = {https://doi.org/10.1002/cta.3735}, doi = {10.1002/CTA.3735}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZouLCZWMZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AalamiBZ22, author = {Mohammadamin Aalami and Ebrahim Babaei and Saeid Ghassem Zadeh}, title = {High-voltage gain magnetically coupled half-bridge Z-source inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1250--1278}, year = {2022}, url = {https://doi.org/10.1002/cta.3177}, doi = {10.1002/CTA.3177}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AalamiBZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbasianBM22, author = {Erfan Abbasian and Shilpi Birla and Emad Mojaveri Moslem}, title = {Design and investigation of stability- and power-improved 11T {SRAM} cell for low-power devices}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3827--3845}, year = {2022}, url = {https://doi.org/10.1002/cta.3364}, doi = {10.1002/CTA.3364}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbbasianBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbasianF22, author = {Sohrab Abbasian and Mohammad Farsijani}, title = {A single-switch high step-up Zero Current Switching {DC-DC} converter based on three-winding coupled inductor and voltage multiplier cells with quasi resonant operation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4419--4433}, year = {2022}, url = {https://doi.org/10.1002/cta.3390}, doi = {10.1002/CTA.3390}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbbasianF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbasianG22, author = {Erfan Abbasian and Morteza Gholipour}, title = {A low-leakage single-bitline 9T {SRAM} cell with read-disturbance removal and high writability for low-power biomedical applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1537--1556}, year = {2022}, url = {https://doi.org/10.1002/cta.3231}, doi = {10.1002/CTA.3231}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbbasianG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbasianG22a, author = {Erfan Abbasian and Morteza Gholipour}, title = {Improved read/write assist mechanism for 10-transistor static random access memory cell}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3642--3660}, year = {2022}, url = {https://doi.org/10.1002/cta.3361}, doi = {10.1002/CTA.3361}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbbasianG22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Abdel-HafeezOQ22, author = {Saleh Abdel{-}Hafeez and Sanabel Otoom and Muhannad Quwaider}, title = {Design of memory Alias Table based on the {SRAM} 8T-Cell}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2913--2931}, year = {2022}, url = {https://doi.org/10.1002/cta.3284}, doi = {10.1002/CTA.3284}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Abdel-HafeezOQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbdoliM22, author = {Iman Abdoli and Ali Mosallanejad}, title = {A single-phase q-source {AC-AC} converter with continuous input current, safe commutation feature, and reduced bidirectional switch counts}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2829--2846}, year = {2022}, url = {https://doi.org/10.1002/cta.3298}, doi = {10.1002/CTA.3298}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbdoliM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AberbourBV22, author = {Adel Aberbour and Mateo Basic and Dinko Vukadinovic}, title = {Advanced sliding mode speed observer with compensated stray-load and iron losses for a stand-alone wind turbine-driven induction generator}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3462--3486}, year = {2022}, url = {https://doi.org/10.1002/cta.3353}, doi = {10.1002/CTA.3353}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AberbourBV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbtiogluY22, author = {Emrah Abtioglu and M{\"{u}}stak Erhan Yal{\c{c}}in}, title = {The deep multichannel discrete-time cellular neural network model for classification}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {4171--4178}, year = {2022}, url = {https://doi.org/10.1002/cta.3401}, doi = {10.1002/CTA.3401}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbtiogluY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AdigintlaA22, author = {Sudheer Adigintla and Mohan V. Aware}, title = {Design and analysis of a speed controller for fractional-order-modeled voltage-source-inverter-fed induction motor drive}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2378--2397}, year = {2022}, url = {https://doi.org/10.1002/cta.3290}, doi = {10.1002/CTA.3290}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AdigintlaA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AdityaSNRP22, author = {Kancharapu Aditya and Yellasiri Suresh and Banavath Shiva Naik and Bhukya Nageswar Rao and Anup Kumar Panda}, title = {A capacitor based single source {MLI} with natural balancing and less component for {EV/HEV} application}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3551--3566}, year = {2022}, url = {https://doi.org/10.1002/cta.3362}, doi = {10.1002/CTA.3362}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AdityaSNRP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AgrawalSSMTR22, author = {Amit Agrawal and Ashish Shrivastava and Kulwant Singh and Bidyut Mahato and Shubham Tiwari and Amit Rai}, title = {{SEPIC} converter in discontinuous conduction mode: Small signal modeling and analysis}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {633--652}, year = {2022}, url = {https://doi.org/10.1002/cta.3161}, doi = {10.1002/CTA.3161}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AgrawalSSMTR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AhmadzadehBSA22, author = {Taher Ahmadzadeh and Ebrahim Babaei and Mehran Sabahi and Taher Abedinzadeh}, title = {Design and control of an improved {Z-H8} inverter for photovoltaic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3342--3371}, year = {2022}, url = {https://doi.org/10.1002/cta.3303}, doi = {10.1002/CTA.3303}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AhmadzadehBSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AizazK22, author = {Zainab Aizaz and Kavita Khare}, title = {Energy efficient approximate booth multipliers using compact error compensation circuit for mitigation of truncation error}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2252--2270}, year = {2022}, url = {https://doi.org/10.1002/cta.3252}, doi = {10.1002/CTA.3252}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AizazK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AkbariTTO22, author = {Sadegh Akbari and Mostafa Taheri and Asghar Taheri and Mansour Ojaghi}, title = {A new reactive power compensation concept for distribution systems using a grid-integrated hybrid photovoltaic/wind turbine system: {PV-WT-STATCOM}}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3325--3341}, year = {2022}, url = {https://doi.org/10.1002/cta.3338}, doi = {10.1002/CTA.3338}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AkbariTTO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Al-AliEMAE22, author = {Abdulwadood A. Al{-}Ali and Ahmed S. Elwakil and Brent J. Maundy and Anis Allagui and Mohamed B. Elamien}, title = {Estimating phase error using a Hilbert transform-based time-domain technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {72--82}, year = {2022}, url = {https://doi.org/10.1002/cta.3142}, doi = {10.1002/CTA.3142}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Al-AliEMAE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AlamLS22, author = {Muhammad Alam and Dylan Dah{-}Chuan Lu and Yam Prasad Siwakoti}, title = {Time-multiplexed hysteretic control for single-inductor dual-input single-output {DC-DC} power converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1235--1249}, year = {2022}, url = {https://doi.org/10.1002/cta.3199}, doi = {10.1002/CTA.3199}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AlamLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AliCCHK22, author = {Abdar Ali and Jiang Chuanwen and Wang Chen and Salman Habib and Muhammad Mansoor Khan}, title = {Study of a novel soft-switched Vienna rectifier using simple active technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3523--3538}, year = {2022}, url = {https://doi.org/10.1002/cta.3348}, doi = {10.1002/CTA.3348}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AliCCHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AlsolamiA22, author = {Mohammed Alsolami and Ahmed Allehyani}, title = {A non-isolated high voltage gain {DC-DC} converter based hybrid switched {LC} network for renewable energy applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2055--2074}, year = {2022}, url = {https://doi.org/10.1002/cta.3246}, doi = {10.1002/CTA.3246}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AlsolamiA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Aminzadeh22, author = {Hamed Aminzadeh}, title = {Subthreshold reference circuit with curvature compensation based on the channel length modulation of {MOS} devices}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1082--1100}, year = {2022}, url = {https://doi.org/10.1002/cta.3201}, doi = {10.1002/CTA.3201}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Aminzadeh22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AmmarAJ22, author = {Noemen Ammar and Jihad H. Asad and Rabab Jarrar}, title = {Electrical characteristics for triangular resistors-capacitors-inductors network designed on two coats}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {153--174}, year = {2022}, url = {https://doi.org/10.1002/cta.3154}, doi = {10.1002/CTA.3154}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AmmarAJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AndradeFTGS22, author = {Ant{\'{o}}nio Manuel Santos Spencer Andrade and Tiago Miguel Klein Faistel and Ademir Toebe and Ronaldo Antonio Guisso and Mauricio Mendes da Silva}, title = {High step-up active switched coupled inductor {DC-DC} converter with voltage multiplier}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3911--3925}, year = {2022}, url = {https://doi.org/10.1002/cta.3369}, doi = {10.1002/CTA.3369}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AndradeFTGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Ates22, author = {Muzaffer Ates}, title = {Circuit theory approach to stability and passivity analysis of nonlinear dynamical systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {214--225}, year = {2022}, url = {https://doi.org/10.1002/cta.3159}, doi = {10.1002/CTA.3159}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Ates22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AzizianE22, author = {Sarkis Azizian and Mehdi Ehsanian}, title = {Effective extraction method for triple errors in foreground calibration of TI-ADCs}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {354--366}, year = {2022}, url = {https://doi.org/10.1002/cta.3149}, doi = {10.1002/CTA.3149}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AzizianE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BabaGN22, author = {M. F. Baba and A. V. Giridhar and B. L. Narasimharaju}, title = {Nonisolated high gain hybrid switched-inductor {DC-DC} converter with common switch grounding}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2810--2828}, year = {2022}, url = {https://doi.org/10.1002/cta.3295}, doi = {10.1002/CTA.3295}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BabaGN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BabalouDMHIT22, author = {Milad Babalou and Morteza Dezhbord and Mohammad Maalandish and Seyed Hossein Hosseini and Md. Rabiul Islam and Hossein Torkaman}, title = {Modular {DC-DC} converter with reduced current ripple and low voltage stress suitable for high voltage applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {4027--4044}, year = {2022}, url = {https://doi.org/10.1002/cta.3372}, doi = {10.1002/CTA.3372}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BabalouDMHIT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BagheriL22, author = {Mohammad Bagheri and Xun Li}, title = {An ultra-low power and low jitter frequency synthesizer for 5G wireless communication and IoE applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {1021--1047}, year = {2022}, url = {https://doi.org/10.1002/cta.3203}, doi = {10.1002/CTA.3203}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BagheriL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Banchuin22, author = {Rawid Banchuin}, title = {Analytical model of inverse memelement with fractional order kinetic}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2342--2377}, year = {2022}, url = {https://doi.org/10.1002/cta.3264}, doi = {10.1002/CTA.3264}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Banchuin22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BandyopadhyayMP22, author = {Aranya Bandyopadhyay and Kuntal Mandal and Sukanya Parui}, title = {A Filippov method based analytical perspective on stability analysis of a {DC-AC} H-bridge inverter with nonlinear rectifier load}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1686--1708}, year = {2022}, url = {https://doi.org/10.1002/cta.3222}, doi = {10.1002/CTA.3222}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BandyopadhyayMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BanerjeeR22, author = {Suchandra Banerjee and Suchismita Roy}, title = {Power aware floorplanning in multiple supply voltage domain}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {382--393}, year = {2022}, url = {https://doi.org/10.1002/cta.3156}, doi = {10.1002/CTA.3156}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BanerjeeR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BarwarSBGC22, author = {Manish Kumar Barwar and Lalit Kumar Sahu and Pallavee Bhatnagar and Krishna Kumar Gupta and Allamsetty Hema Chander}, title = {Performance analysis and reliability estimation of five-level rectifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {926--943}, year = {2022}, url = {https://doi.org/10.1002/cta.3187}, doi = {10.1002/CTA.3187}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BarwarSBGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BashaM22, author = {Chakarajamula Hussaian Basha and Matcha Murali}, title = {A new design of transformerless, non-isolated, high step-up {DC-DC} converter with hybrid fuzzy logic {MPPT} controller}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {272--297}, year = {2022}, url = {https://doi.org/10.1002/cta.3153}, doi = {10.1002/CTA.3153}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BashaM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BharathidasanV22, author = {Mohan Bharathidasan and Indragandhi Vairavasundaram}, title = {Design and implementations of high step-up non-isolated {DC-DC} converters for electric vehicles application}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {4009--4026}, year = {2022}, url = {https://doi.org/10.1002/cta.3365}, doi = {10.1002/CTA.3365}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BharathidasanV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhaskarGK22, author = {Data Ram Bhaskar and Garima and Pragati Kumar}, title = {Single operational transresistance amplifier-based grounded resistance-controlled synthetic inductor configuration}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2642--2652}, year = {2022}, url = {https://doi.org/10.1002/cta.3270}, doi = {10.1002/CTA.3270}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BhaskarGK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhaskarRS22, author = {Data Ram Bhaskar and Ajishek Raj and Raj Senani}, title = {Novel current feedback operational amplifier-based configuration that realizes a single-input multiple-output-type universal active filter and a single-resistance-controlled oscillator}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4251--4264}, year = {2022}, url = {https://doi.org/10.1002/cta.3404}, doi = {10.1002/CTA.3404}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BhaskarRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhaskarRSK22, author = {Data Ram Bhaskar and Ajishek Raj and Raj Senani and Pragati Kumar}, title = {CFOA-based simple mixed-mode first-order universal filter configurations}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2631--2641}, year = {2022}, url = {https://doi.org/10.1002/cta.3289}, doi = {10.1002/CTA.3289}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BhaskarRSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BizhaniTNMB22, author = {Hamed Bizhani and Kuaanan Techato and Reza Noroozian and S. M. Muyeen and Frede Blaabjerg}, title = {A novel multiport converter switching scheme to integrate multiple renewable energy sources}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1750--1769}, year = {2022}, url = {https://doi.org/10.1002/cta.3227}, doi = {10.1002/CTA.3227}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BizhaniTNMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BoWZ22, author = {Qiang Bo and Lifang Wang and Yuwang Zhang}, title = {Zero-voltage-switching angle of inductive power transfer system supplied by parallel multi-inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {981--987}, year = {2022}, url = {https://doi.org/10.1002/cta.3169}, doi = {10.1002/CTA.3169}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BoWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BogineniN22, author = {Jayachandra Bogineni and Jayaram Nakka}, title = {A novel reduced switch single-phase five-level inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2793--2809}, year = {2022}, url = {https://doi.org/10.1002/cta.3283}, doi = {10.1002/CTA.3283}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BogineniN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BouafassaF22, author = {Amar Bouafassa and Luis M. Fern{\'{a}}ndez{-}Ramirez}, title = {A DSP-based implementation of fuzzy logic and predictive current control for a Sheppard-Taylor power factor correction converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {812--826}, year = {2022}, url = {https://doi.org/10.1002/cta.3192}, doi = {10.1002/CTA.3192}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BouafassaF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CaoDHYLLL22, author = {Zequan Cao and Xiaoliang Dong and Sile Hu and Samson S. Yu and Bin Liu and Zhen Li and Xiangdong Liu}, title = {Impedance modeling and analysis of voltage-source converter-based high-voltage direct current system for grid-connected wind farm}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2759--2776}, year = {2022}, url = {https://doi.org/10.1002/cta.3278}, doi = {10.1002/CTA.3278}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CaoDHYLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CengelciGE22, author = {Ekrem Cengelci and Muhammet Garip and Ahmed S. Elwakil}, title = {Fractional-order controllers for switching {DC/DC} converters using the K-factor method: Analysis and circuit realization}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {588--613}, year = {2022}, url = {https://doi.org/10.1002/cta.3168}, doi = {10.1002/CTA.3168}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/CengelciGE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CetinD22, author = {Sevilay Cetin and Yunus Emre Demirci}, title = {High-efficiency {LC-S} compensated wireless power transfer charging converter for implantable pacemakers}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {122--134}, year = {2022}, url = {https://doi.org/10.1002/cta.3150}, doi = {10.1002/CTA.3150}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/CetinD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenFCC22, author = {Zhangyong Chen and Chenchen Feng and Yong Chen and Gen Chen}, title = {Interleaved high conversion ratio {DC-DC} converter with output self-balancing and current auto-sharing for {DC} nanogrid application}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2510--2530}, year = {2022}, url = {https://doi.org/10.1002/cta.3271}, doi = {10.1002/CTA.3271}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenFCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenWHL22, author = {Guanhua Chen and Xueqi Wang and Linlu Huang and Zexiang Li}, title = {The control and evaluation method of the cascaded buck-boost converter for equalization on battery strings}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3949--3967}, year = {2022}, url = {https://doi.org/10.1002/cta.3366}, doi = {10.1002/CTA.3366}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenWHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenXXZQ22, author = {Yanfeng Chen and Minglin Xu and Wenxun Xiao and Bo Zhang and Dongyuan Qiu}, title = {General method for constructing multi-input high-boost {DC-DC} converters with low spike current}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2847--2864}, year = {2022}, url = {https://doi.org/10.1002/cta.3294}, doi = {10.1002/CTA.3294}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenXXZQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenYW22, author = {Shaojun Chen and Yuanmao Ye and Xiaolin Wang}, title = {Hybrid 17-level inverters based on T-type flying-capacitor and switched-capacitor}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {886--903}, year = {2022}, url = {https://doi.org/10.1002/cta.3186}, doi = {10.1002/CTA.3186}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CorradiniIO22, author = {Maria Letizia Corradini and Gianluca Ippoliti and Giuseppe Orlando}, title = {Boost converter load estimation by a sliding mode approach}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1806--1816}, year = {2022}, url = {https://doi.org/10.1002/cta.3226}, doi = {10.1002/CTA.3226}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CorradiniIO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DadgarN22, author = {Morteza Dadgar and Esmaeil Najafi Aghdam}, title = {A high contact force and high-isolation radio-frequency microelectromechanical systems switch for radio-frequency front-end applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1442--1461}, year = {2022}, url = {https://doi.org/10.1002/cta.3225}, doi = {10.1002/CTA.3225}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DadgarN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DasP22, author = {Sudhansu Sekhar Das and Aurobinda Panda}, title = {Extended boost active switch-controlled switched inductor-based Z-source inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {683--705}, year = {2022}, url = {https://doi.org/10.1002/cta.3164}, doi = {10.1002/CTA.3164}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DasP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DasSP22, author = {Apangshu Das and Vivek Kumar Singh and Sambhu Nath Pradhan}, title = {Shared reduced ordered binary decision diagram-based thermal-aware network synthesis}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2271--2286}, year = {2022}, url = {https://doi.org/10.1002/cta.3255}, doi = {10.1002/CTA.3255}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DasSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DastgiriHSM22, author = {Armineh Dastgiri and Majid Hosseinpour and Farzad Sedaghati and Seyed Reza Mousavi{-}Aghdam}, title = {A high step-up {DC-DC} converter with active switched LC-network and voltage-lift circuit: Topology, operating principle, and implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {226--248}, year = {2022}, url = {https://doi.org/10.1002/cta.3157}, doi = {10.1002/CTA.3157}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DastgiriHSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DavaranhaghBSS22, author = {Elshan Davaranhagh and Ebrahim Babaei and Mehran Sabahi and Sima Shahmohamadi}, title = {Tapped inductor based switched boost inverter: Analysis and implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {706--726}, year = {2022}, url = {https://doi.org/10.1002/cta.3160}, doi = {10.1002/CTA.3160}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DavaranhaghBSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DebelaSS22, author = {Tamiru Debela and Jiwanjot Singh and Vijay K. Sood}, title = {Evaluation of a grid-connected reduced-component boost multilevel inverter {(BMLI)} topology}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2075--2107}, year = {2022}, url = {https://doi.org/10.1002/cta.3253}, doi = {10.1002/CTA.3253}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DebelaSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DengXZC22, author = {Feifei Deng and Guangjun Xie and Yongqiang Zhang and Song Chen}, title = {Module-based design method using clocking scheme for quantum-dot cellular automata}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {448--464}, year = {2022}, url = {https://doi.org/10.1002/cta.3185}, doi = {10.1002/CTA.3185}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DengXZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DimopoulosPP22, author = {Alexandros C. Dimopoulos and Christos Pavlatos and George K. Papakonstantinou}, title = {Multi-output, multi-level, multi-gate design using non-linear programming}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2960--2968}, year = {2022}, url = {https://doi.org/10.1002/cta.3300}, doi = {10.1002/CTA.3300}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DimopoulosPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DingSLY22, author = {Zhixia Ding and Ting Su and Sai Li and Le Yang}, title = {Memristor-based circuit design of continuously adjustable direct-current voltage source}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3861--3874}, year = {2022}, url = {https://doi.org/10.1002/cta.3380}, doi = {10.1002/CTA.3380}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DingSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DjurhuusK22, author = {Torsten Djurhuus and Viktor Krozer}, title = {A generalized model of coupled oscillator phase-noise response}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {35--55}, year = {2022}, url = {https://doi.org/10.1002/cta.3139}, doi = {10.1002/CTA.3139}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DjurhuusK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DongreMM22, author = {Ashish A Dongre and Jyoti Prakash Mishra and Ravi Kumar Majji}, title = {A new configuration of grid-connected photovoltaic-based multifunctional power converter for single-phase system}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1709--1729}, year = {2022}, url = {https://doi.org/10.1002/cta.3210}, doi = {10.1002/CTA.3210}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DongreMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DuZYILLZ22, author = {Guangjian Du and Guidong Zhang and Samson S. Yu and Herbert H. C. Iu and Weiqun Lin and Weiping Le and Yun Zhang}, title = {An any-unit-to-any-unit method for hybrid-structured voltage equalizer in series-connected battery/super-capacitor strings}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2016--2034}, year = {2022}, url = {https://doi.org/10.1002/cta.3236}, doi = {10.1002/CTA.3236}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DuZYILLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EbrahimiRR22, author = {Emad Ebrahimi and Amin Roozbakhsh and Mohammadreza Rasekhi}, title = {Cover Image}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {i}, year = {2022}, url = {https://doi.org/10.1002/cta.3266}, doi = {10.1002/CTA.3266}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/EbrahimiRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EbrahimiRR22a, author = {Emad Ebrahimi and Amin Roozbakhsh and Mohammadreza Rasekhi}, title = {A new slew rate enhancement technique for operational transconductance amplifiers}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {997--1014}, year = {2022}, url = {https://doi.org/10.1002/cta.3180}, doi = {10.1002/CTA.3180}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/EbrahimiRR22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Elserougi22, author = {Ahmed A. Elserougi}, title = {Input-voltage and output-current equalization of series-in parallel-out three-phase multi-module voltage source converter-based high voltage direct current shunt tap}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3212--3230}, year = {2022}, url = {https://doi.org/10.1002/cta.3315}, doi = {10.1002/CTA.3315}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Elserougi22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Elwakil22, author = {Ahmed S. Elwakil}, title = {Editorial and call for best paper award nominations}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {1}, year = {2022}, url = {https://doi.org/10.1002/cta.3217}, doi = {10.1002/CTA.3217}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Elwakil22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Elwakil22a, author = {Ahmed S. Elwakil}, title = {2021 best paper award winners}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1387}, year = {2022}, url = {https://doi.org/10.1002/cta.3296}, doi = {10.1002/CTA.3296}, timestamp = {Fri, 20 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Elwakil22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ErtayS22, author = {Agah Oktay Ertay and Serkan Simsek}, title = {Detection of band edge frequencies in symmetric/asymmetric dielectric loaded helix slow-wave structures}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {507--524}, year = {2022}, url = {https://doi.org/10.1002/cta.3138}, doi = {10.1002/CTA.3138}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ErtayS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ErtekinBTM22, author = {Davut Ertekin and K{\"{u}}bra Bulut and Hakan Tekin and Gerry Moschopoulos}, title = {A design for switched capacitor and single-switch {DC-DC} boost converter by a small signal-based {PI} controller}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1620--1651}, year = {2022}, url = {https://doi.org/10.1002/cta.3213}, doi = {10.1002/CTA.3213}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ErtekinBTM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EshkevariMS22, author = {Alireza Lahooti Eshkevari and Ali Mosallanjed and Mohammadsadegh Sepasian}, title = {A high step-up dc-dc converter based on P-impedance source with reduced voltage stress}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2865--2886}, year = {2022}, url = {https://doi.org/10.1002/cta.3297}, doi = {10.1002/CTA.3297}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/EshkevariMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EshtiaghiEM22, author = {Payam Eshtiaghi and Alireza Lahooti Eshkevari and Ali Mosallanejad}, title = {A step-up dc-dc topology with high gain, continuous input current, and low-voltage stress on power switch}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {827--848}, year = {2022}, url = {https://doi.org/10.1002/cta.3193}, doi = {10.1002/CTA.3193}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/EshtiaghiEM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EskandariH22, author = {Atieh Eskandari and Ghosheh Abed Hodtani}, title = {Stability analysis and feedback design of a direct current-direct current power converter by vector Lyapunov function}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {574--587}, year = {2022}, url = {https://doi.org/10.1002/cta.3173}, doi = {10.1002/CTA.3173}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/EskandariH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FathiGK22, author = {Amir Fathi and Mir Majid Ghasemi and Abdollah Khoei}, title = {Design and performance analysis of an ultra-high-speed 5-2 compressor}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1576--1588}, year = {2022}, url = {https://doi.org/10.1002/cta.3247}, doi = {10.1002/CTA.3247}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FathiGK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FengZWCZ22, author = {Jing Feng and Jiantao Zhang and Guo Wei and Jian Cui and Chunbo Zhu}, title = {Analysis and design of wireless power and data synchronous transfer system based on 2FSK modulation using dual-resonant frequency}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3749--3762}, year = {2022}, url = {https://doi.org/10.1002/cta.3360}, doi = {10.1002/CTA.3360}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/FengZWCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FenniHJYSRGRR22, author = {Sofia Fenni and Fayrouz Haddad and Antonio Jaomiary and Samar S. Yazdani and Frank Elliot Sahoa and Lucius Ramifidisoa and Mathieu Guerin and Wenceslas Rahajandraibe and Blaise Ravelo}, title = {Investigation on four-port mono-capacitor circuit with high-pass negative group delay behavior}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {478--495}, year = {2022}, url = {https://doi.org/10.1002/cta.3183}, doi = {10.1002/CTA.3183}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FenniHJYSRGRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FirouzNJ22, author = {Samira Firouz and Esmaeil Najafi Aghdam and Roya Jafarnejad}, title = {A low power {CMOS} programmable gain amplifier employing positive feedback technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {2982--2996}, year = {2022}, url = {https://doi.org/10.1002/cta.3288}, doi = {10.1002/CTA.3288}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/FirouzNJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FreitasAASTSA22, author = {Alisson Alencar Freitas and Francilino Carneiro de Ara{\'{u}}jo and Francisco Aldinei Pereira Arag{\~{a}}o and Kleber C. A. de Souza and Fernando Lessa Tofoli and Edilson Mineiro S{\'{a}} and Fernando Luiz Marcelo Antunes}, title = {Non-isolated high step-up {DC-DC} converter based on coupled inductors, diode-capacitor networks, and voltage multiplier cells}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {944--963}, year = {2022}, url = {https://doi.org/10.1002/cta.3182}, doi = {10.1002/CTA.3182}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FreitasAASTSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GaniS22, author = {Ahmet Gani and Mustafa Sekkeli}, title = {Experimental evaluation of type-2 fuzzy logic controller adapted to real environmental conditions for maximum power point tracking of solar energy systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {4131--4145}, year = {2022}, url = {https://doi.org/10.1002/cta.3374}, doi = {10.1002/CTA.3374}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GaniS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GaoYLGSG22, author = {Fengyang Gao and Kaiwen Yang and Yinhang Luo and Jianning Gao and Zhixiang Song and Xuanyu Gao}, title = {Model predictive direct power control strategy for leakage current suppression on a grid-connected three-level inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2471--2489}, year = {2022}, url = {https://doi.org/10.1002/cta.3258}, doi = {10.1002/CTA.3258}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GaoYLGSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GarciaA22, author = {Lucas Ricken Garcia and Paulo Jos{\'{e}} Abatti}, title = {A simple method to control automatically the performance of three-coil wireless power transfer systems without direct output measurement}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {2969--2981}, year = {2022}, url = {https://doi.org/10.1002/cta.3305}, doi = {10.1002/CTA.3305}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GarciaA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GeethaVPU22, author = {Anu Aswakumar Geetha and Annie Bernonse Vasantha and Hari Kumar Raveendran Pillai and Somarajan Ushakumari}, title = {Battery and supercapacitor assisted controller for stability enhancement of {DC} microgrid}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4357--4375}, year = {2022}, url = {https://doi.org/10.1002/cta.3398}, doi = {10.1002/CTA.3398}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GeethaVPU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhalebaniTM22, author = {Pedram Ghalebani and Vahid Teymoori and Fredrick Mukundi Mwaniki}, title = {Digital peak current mode control of isolated current-fed push-pull {DC-DC} converter with slope compensation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {779--793}, year = {2022}, url = {https://doi.org/10.1002/cta.3202}, doi = {10.1002/CTA.3202}, timestamp = {Sun, 31 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GhalebaniTM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhamkhariG22, author = {Seyedeh Fatemeh Ghamkhari and Mohammad Bagher Ghaznavi Ghoushchi}, title = {A power-performance partitioning approach for low-power DA-based {FIR} filter design with emphasis on datapath and controller}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {427--447}, year = {2022}, url = {https://doi.org/10.1002/cta.3190}, doi = {10.1002/CTA.3190}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GhamkhariG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhasemiK22, author = {Razieh Ghasemi and Mohammad Azim Karami}, title = {A 10-bit 1GSample/s hybrid digital-to-analog converter with a modified thermometer decoder in 65-nm {CMOS} technology}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {83--107}, year = {2022}, url = {https://doi.org/10.1002/cta.3170}, doi = {10.1002/CTA.3170}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GhasemiK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhasemzadehH22, author = {Mehdi Ghasemzadeh and Khayrollah Hadidi}, title = {A new background continuous-time offset cancelation and gain calibration strategy for open-loop residue amplifiers in high-speed and high-resolution ADC's}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4202--4218}, year = {2022}, url = {https://doi.org/10.1002/cta.3416}, doi = {10.1002/CTA.3416}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GhasemzadehH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GiampiccoloBGMS22, author = {Riccardo Giampiccolo and Alberto Bernardini and Giambattista Gruosso and Paolo Maffezzoni and Augusto Sarti}, title = {Multidomain modeling of nonlinear electromagnetic circuits using wave digital filters}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {539--561}, year = {2022}, url = {https://doi.org/10.1002/cta.3146}, doi = {10.1002/CTA.3146}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GiampiccoloBGMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GobburiBM22, author = {Hari Babu Gobburi and Vijay B. Borghate and Prafullachandra M. Meshram}, title = {Sensorless voltage balancing method for modular multilevel converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1965--1997}, year = {2022}, url = {https://doi.org/10.1002/cta.3241}, doi = {10.1002/CTA.3241}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GobburiBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GovindaswamyP22, author = {Prema Kumar Govindaswamy and Vijaya Sankara Rao Pasupureddi}, title = {A power-efficient current-integrating hybrid for full-duplex communication over chip-to-chip interconnects}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4219--4233}, year = {2022}, url = {https://doi.org/10.1002/cta.3392}, doi = {10.1002/CTA.3392}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GovindaswamyP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GrassoMPP22, author = {Alfio Dario Grasso and Davide Marano and Gaetano Palumbo and Salvatore Pennisi}, title = {Single miller capacitor frequency compensation techniques: Theoretical comparison and critical review}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1462--1486}, year = {2022}, url = {https://doi.org/10.1002/cta.3244}, doi = {10.1002/CTA.3244}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GrassoMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GulbudakGK22, author = {Ozan Gulbudak and Mustafa Gokdag and Hasan Komurcugil}, title = {Model predictive sliding mode control of six-phase induction motor using nine-switch converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3443--3461}, year = {2022}, url = {https://doi.org/10.1002/cta.3354}, doi = {10.1002/CTA.3354}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GulbudakGK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HajiabadiEMS22, author = {Mostafa Karimi Hajiabadi and Alireza Lahooti Eshkevari and Ali Mosallanejad and Ahmad Salemnia}, title = {Non-isolated high step-up {DC/DC} converter for low-voltage distributed power systems based on the quadratic boost converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1946--1964}, year = {2022}, url = {https://doi.org/10.1002/cta.3234}, doi = {10.1002/CTA.3234}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HajiabadiEMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HaoW22, author = {Chunling Hao and Faqiang Wang}, title = {Design method and implementation of the fractional-order inductor and its application in series-resonance circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1400--1419}, year = {2022}, url = {https://doi.org/10.1002/cta.3228}, doi = {10.1002/CTA.3228}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HaoW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HeH22, author = {Xue He and Zhizhong Hu}, title = {Optimization design of fractional-order Chebyshev lowpass filters based on genetic algorithm}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1420--1441}, year = {2022}, url = {https://doi.org/10.1002/cta.3224}, doi = {10.1002/CTA.3224}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HeH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HedayatpourKS22, author = {Mohammad Ali Hedayatpour and Mohammad Azim Karami and Jafar Shamsi}, title = {Implementation of Izhikevich neuron based on stochastic computing using a novel inspired Omega-Flip stochastic number generator}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3104--3118}, year = {2022}, url = {https://doi.org/10.1002/cta.3322}, doi = {10.1002/CTA.3322}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HedayatpourKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HertzJVEB22, author = {Pancha Yannick Hertz and J{\'{e}}r{\^{o}}me Folla Kamdem and Noumbissi Sidze Laure Vanessa and Wembe Tafo Evariste and Essimbi Zobo Bernard}, title = {A {PVT} power immune compact 65 nm {CMOS} {CSP} design with a leakage current compensation feedback for CdZnTe/CdTe sensors dedicated to {PET} applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1817--1833}, year = {2022}, url = {https://doi.org/10.1002/cta.3261}, doi = {10.1002/CTA.3261}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HertzJVEB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HosseinpourASM22, author = {Majid Hosseinpour and Mahsa Ahmadi and Ali Seifi and Seyed Reza Mousavi{-}Aghdam}, title = {A new transformerless semi-quadratic buck-boost converter based on combination of Cuk and traditional buck-boost converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3926--3948}, year = {2022}, url = {https://doi.org/10.1002/cta.3375}, doi = {10.1002/CTA.3375}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HosseinpourASM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JapeJB22, author = {Siddhant Jape and Vinod Kumar Joshi and Prashanth Barla}, title = {Design of a novel non-volatile hybrid spintronic true random number generator}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1487--1501}, year = {2022}, url = {https://doi.org/10.1002/cta.3243}, doi = {10.1002/CTA.3243}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JapeJB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JaveedSG22, author = {Khalid Javeed and Kamran Saeed and David Gregg}, title = {High-speed parallel reconfigurable Fp multipliers for elliptic curve cryptography applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1160--1173}, year = {2022}, url = {https://doi.org/10.1002/cta.3206}, doi = {10.1002/CTA.3206}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JaveedSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JenaPG22, author = {Kasinath Jena and Chinmoy Kumar Panigrahi and Krishna Kumar Gupta}, title = {A new design self-balanced 13-level switched-capacitor inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1216--1234}, year = {2022}, url = {https://doi.org/10.1002/cta.3200}, doi = {10.1002/CTA.3200}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JenaPG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JhumaMMARA22, author = {Umme Kulsum Jhuma and Saad Mekhilef and Marizan Mubin and Shameem Ahmad and Muhyaddin Jamal H. Rawa and Yusuf Al{-}Turki}, title = {Hybrid islanding detection technique for distribution network considering the dynamic behavior of power and load}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1317--1341}, year = {2022}, url = {https://doi.org/10.1002/cta.3181}, doi = {10.1002/CTA.3181}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JhumaMMARA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JiXSYZ22, author = {Huayv Ji and Fan Xie and Li Shen and Ru Yang and Bo Zhang}, title = {Unstable behavior analysis and stabilization of double-loop proportional-integral control H-bridge inverter with inductive impedance load}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {904--925}, year = {2022}, url = {https://doi.org/10.1002/cta.3188}, doi = {10.1002/CTA.3188}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JiXSYZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JiangCZQX22, author = {Xinyi Jiang and Yanfeng Chen and Bo Zhang and Dongyuan Qiu and Fan Xie}, title = {Harmonic analysis of {VHF} resonant converter based on equivalent nonlinear controlled model}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2615--2630}, year = {2022}, url = {https://doi.org/10.1002/cta.3281}, doi = {10.1002/CTA.3281}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JiangCZQX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Jovanovic-Dolecek22, author = {Gordana Jovanovic{-}Dolecek}, title = {Comb decimator design based on symmetric polynomials with roots on the unit circle: Two-stage multiplierless design and improved magnitude characteristic}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2210--2227}, year = {2022}, url = {https://doi.org/10.1002/cta.3254}, doi = {10.1002/CTA.3254}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Jovanovic-Dolecek22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KakodiaD22, author = {Sanjay Kumar Kakodia and Giribabu Dyanamina}, title = {Type-2 Fuzzy Logic controller-based stator current Model reference adaptive system speed observer for a hybrid electric vehicle to improve transient response during limp home mode}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3426--3442}, year = {2022}, url = {https://doi.org/10.1002/cta.3345}, doi = {10.1002/CTA.3345}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KakodiaD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KalahasthiRSK22, author = {Rajesh Babu Kalahasthi and Manojkumar R. Ramteke and Hiralal Murlidhar Suryawanshi and Koteswara Rao Kothapalli}, title = {A single-switch high-gain {DC-DC} converter for photovoltaic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1194--1215}, year = {2022}, url = {https://doi.org/10.1002/cta.3205}, doi = {10.1002/CTA.3205}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KalahasthiRSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KamalapathiPT22, author = {Kuditi Kamalapathi and Srinivasa rao nayak Panugothu and Vipul Kumar Tyagi}, title = {Development and analysis of three-coil wireless charging system for electric vehicles}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {249--271}, year = {2022}, url = {https://doi.org/10.1002/cta.3158}, doi = {10.1002/CTA.3158}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KamalapathiPT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KandemirCB22, author = {Ekrem Kandemir and Numan Sabit Cetin and Selim Borekci}, title = {Single-stage photovoltaic system design based on energy recovery and fuzzy logic control for partial shading condition}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1770--1792}, year = {2022}, url = {https://doi.org/10.1002/cta.3223}, doi = {10.1002/CTA.3223}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KandemirCB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KarimiKV22, author = {Mahdi Karimi and Paria Kargar and Kazem Varesi}, title = {An extendable asymmetric boost multi-level inverter with self-balanced capacitors}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1297--1316}, year = {2022}, url = {https://doi.org/10.1002/cta.3191}, doi = {10.1002/CTA.3191}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KarimiKV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KattelLMF22, author = {Menaouar Berrehil El Kattel and Gideon Igor Carvalho Lobato and Thales Alexandre Carvalho Maia and Braz de Jesus Cardoso Filho}, title = {99{\%} - Efficient, interleaved bidirectional {DC-DC} converter with new interleaved {PWM} method of parallel-connected Mosfets}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2439--2470}, year = {2022}, url = {https://doi.org/10.1002/cta.3265}, doi = {10.1002/CTA.3265}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KattelLMF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KhanehbeygiJKS22, author = {Mehran Khanehbeygi and Roya Jafarnejad and Ziaaddin Daie Koozehkanani and Jafar Sobhi}, title = {A low-power wideband {LNA} exploiting current-reuse and noise cancelation techniques}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3594--3613}, year = {2022}, url = {https://doi.org/10.1002/cta.3323}, doi = {10.1002/CTA.3323}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KhanehbeygiJKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KhizB22, author = {Ali Kholgh Khiz and Mohamad Reza Banaei}, title = {Marx-based pulse generator with high-voltage gain}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1101--1118}, year = {2022}, url = {https://doi.org/10.1002/cta.3197}, doi = {10.1002/CTA.3197}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KhizB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KhooRM22, author = {I{-}Hung Khoo and Haranatha (Hari) C. Reddy and George S. Moschytz}, title = {Structure-induced low-sensitivity design of sampled data and digital ladder filters using delta discrete-time operator}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2228--2251}, year = {2022}, url = {https://doi.org/10.1002/cta.3248}, doi = {10.1002/CTA.3248}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KhooRM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KilincEYOSN22, author = {Sedat Kilinc and Malik Ehsan Ejaz and Binboga Siddik Yarman and Serdar {\"{O}}zoguz and Saket Srivastava and Edmond Nurellari}, title = {Broadband performance assessment of a microwave power transistor employing the real frequency technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3725--3748}, year = {2022}, url = {https://doi.org/10.1002/cta.3357}, doi = {10.1002/CTA.3357}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KilincEYOSN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KirstenFCOCC22, author = {Andr{\'{e}} Lu{\'{\i}}s Kirsten and Jeferson Fraytag and Lucas Mondardo C{\'{u}}nico and Theyllor Hentschke de Oliveira and Filipe Gabriel Carloto and Marco A. Dalla Costa}, title = {Series inductance design of dual-active-bridge converter: {ZVS} range with practical considerations}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2423--2438}, year = {2022}, url = {https://doi.org/10.1002/cta.3249}, doi = {10.1002/CTA.3249}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KirstenFCOCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KishorP22, author = {Yugal Kishor and Ramnarayan Patel}, title = {A modified Z-source switched-capacitor based non-isolated high gain {DC-DC} converter for photovoltaic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3387--3408}, year = {2022}, url = {https://doi.org/10.1002/cta.3341}, doi = {10.1002/CTA.3341}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KishorP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KokkondaK22, author = {Karun Kokkonda and Prakash S. Kulkarni}, title = {A non-isolated high gain {DC-DC} converter with coupled-inductor and built-in transformer for grid-connected photovoltaic systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2709--2734}, year = {2022}, url = {https://doi.org/10.1002/cta.3277}, doi = {10.1002/CTA.3277}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KokkondaK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KoozehkananiMT22, author = {Reza Daie Koozehkanani and Somaye Makouei and Siroos Toofan}, title = {Improved loop stability approach in fully differential operational transconductance amplifier with common-mode feedback circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1502--1510}, year = {2022}, url = {https://doi.org/10.1002/cta.3218}, doi = {10.1002/CTA.3218}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KoozehkananiMT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KrishnaLK22, author = {Bekkam Krishna and Gottapu Lithesh and V. Karthikeyan}, title = {A novel high-gain bidirectional {DC-DC} converter for {EV} onboard charger applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2531--2547}, year = {2022}, url = {https://doi.org/10.1002/cta.3268}, doi = {10.1002/CTA.3268}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KrishnaLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KrishnaRK22, author = {Bekkam Krishna and P. Uma Maheswar Rao and V. Karthikeyan}, title = {High-gain single-switch single-input dual-output {DC-DC} converter with reduced switching stress}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1998--2015}, year = {2022}, url = {https://doi.org/10.1002/cta.3240}, doi = {10.1002/CTA.3240}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KrishnaRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarGB22, author = {Abhishek Kumar and Santosh Kumar Gupta and Vijaya Bhadauria}, title = {A 12-bit {SC3} partially segmented current steering {DAC} with improved {SFDR} and bandwidth}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2941--2959}, year = {2022}, url = {https://doi.org/10.1002/cta.3312}, doi = {10.1002/CTA.3312}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumarGB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LarijaniJ22, author = {Mohammad M. Larijani and Mohsen Jalali}, title = {Investigation of injection-locked ring oscillators for process, voltage, and temperature-aware low phase-noise reference clock generation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3030--3041}, year = {2022}, url = {https://doi.org/10.1002/cta.3316}, doi = {10.1002/CTA.3316}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LarijaniJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiLDW22, author = {Mingyu Li and Qing Li and Zhijiang Dai and Peng Wang}, title = {Design of ultra wideband power amplifier based on a refining of exact harmonic impedance space}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3614--3625}, year = {2022}, url = {https://doi.org/10.1002/cta.3351}, doi = {10.1002/CTA.3351}, timestamp = {Mon, 12 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiLDW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiLWQWZ22, author = {Zhen Li and Zhenrong Li and Xudong Wang and Xing Quan and Zeyuan Wang and Yiqi Zhuang}, title = {A 22.7- to 44.2-GHz Darlington dual-injection injection-locked frequency tripler with {\textgreater}35dBc harmonic rejection for multiband 5G communication systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1064--1081}, year = {2022}, url = {https://doi.org/10.1002/cta.3214}, doi = {10.1002/CTA.3214}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiLWQWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiLXCD22, author = {Qingwen Li and Xiuping Li and Yuqiang Xie and Zheyan Cao and Jiarui Dong}, title = {Synthesis of wideband bulk acoustic wave coupled resonator filter combined with lumped components}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1907--1921}, year = {2022}, url = {https://doi.org/10.1002/cta.3267}, doi = {10.1002/CTA.3267}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiLXCD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiLY22, author = {Xiao Li and Yushan Liu and Haiwen Yuan}, title = {Seamless transfer control for dual-mode grid-connected inverter with automatic {PCC} voltage regulation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {4070--4085}, year = {2022}, url = {https://doi.org/10.1002/cta.3373}, doi = {10.1002/CTA.3373}, timestamp = {Sat, 28 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiYYIF22, author = {Zhaokun Li and Dongsheng Yu and Zongbin Ye and Herbert H. C. Iu and Tyrone Fernando}, title = {Memristor-based logic gate and its application in pulse train controlled Buck converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2190--2209}, year = {2022}, url = {https://doi.org/10.1002/cta.3260}, doi = {10.1002/CTA.3260}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiYYIF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiZWZL22, author = {Zhizhong Li and Yuandong Zhang and Minghao Wang and Guidong Zhang and Jiaqing Lin}, title = {A mode-reduction space vector pulse width modulation control method to {VIENNA} rectifier yet eliminating input current distortions}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4307--4324}, year = {2022}, url = {https://doi.org/10.1002/cta.3412}, doi = {10.1002/CTA.3412}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiZWZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LinCL22, author = {Wei{-}Cheng Lin and Ming{-}Chiu Chang and Chien{-}Hung Liao}, title = {Design of 150-{\(\mu\)}V input-referred voltage 1-GHz comparison frequency dual offset cancelation comparator for pH biomarker system-on-chip}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {108--121}, year = {2022}, url = {https://doi.org/10.1002/cta.3163}, doi = {10.1002/CTA.3163}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LinCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LinCLH22, author = {Wei{-}Cheng Lin and Ming{-}Chiu Chang and Chien{-}Hung Liao and Chun{-}Ting Hsieh}, title = {Design of 0.1-mV zero-g and 200-{\(\mu\)}g/{\(\surd\)}Hz capacitance accelerometer with high sensitivity readout circuit with time-to-digital convertor applied to finger tremor of neurodegenerative disease}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2289--2304}, year = {2022}, url = {https://doi.org/10.1002/cta.3275}, doi = {10.1002/CTA.3275}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LinCLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LinHLWCL22, author = {Mi Lin and Qi Han and Wenyao Luo and Xuliang Wang and Junjie Chen and Weifeng Lyu}, title = {A ternary memristor full adder based on literal operation and module operation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2932--2940}, year = {2022}, url = {https://doi.org/10.1002/cta.3287}, doi = {10.1002/CTA.3287}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LinHLWCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuWFXQ22, author = {Donglei Liu and Shunli Wang and Yongcun Fan and Lili Xia and Jingsong Qiu}, title = {A novel fuzzy-extended Kalman filter-ampere-hour (F-EKF-Ah) algorithm based on improved second-order {PNGV} model to estimate state of charge of lithium-ion batteries}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3811--3826}, year = {2022}, url = {https://doi.org/10.1002/cta.3386}, doi = {10.1002/CTA.3386}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiuWFXQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuWXFQZ22, author = {Yuyang Liu and Shunli Wang and Yanxin Xie and Carlos Fernandez and Jingsong Qiu and Yixing Zhang}, title = {A novel adaptive H-infinity filtering method for the accurate {SOC} estimation of lithium-ion batteries based on optimal forgetting factor selection}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3372--3386}, year = {2022}, url = {https://doi.org/10.1002/cta.3339}, doi = {10.1002/CTA.3339}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiuWXFQZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuZLY22, author = {Shuo Liu and Xu Zhou and Jianlin Li and Liyong Yang}, title = {Parameter optimization design and performance analysis of filter-integrated switched boost grid-connected inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {727--752}, year = {2022}, url = {https://doi.org/10.1002/cta.3172}, doi = {10.1002/CTA.3172}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiuZLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LongWCRHF22, author = {Tao Long and Shunli Wang and Wen Cao and Pu Ren and Mingfang He and Carlos Fernandez}, title = {Collaborative state estimation of lithium-ion battery based on multi-time scale low-pass filter forgetting factor recursive least squares - double extended Kalman filtering algorithm}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2108--2127}, year = {2022}, url = {https://doi.org/10.1002/cta.3250}, doi = {10.1002/CTA.3250}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LongWCRHF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LorenzoP22, author = {Rohit Lorenzo and Roy Paily}, title = {Half-selection disturbance free 8T low leakage {SRAM} cell}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1557--1575}, year = {2022}, url = {https://doi.org/10.1002/cta.3232}, doi = {10.1002/CTA.3232}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LorenzoP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LuHAMH22, author = {Ruikuan Lu and Md. Kamal Hossain and J. Iwan D. Alexander and Yehia Massoud and Mohammad Rafiqul Haider}, title = {An efficient {DC-DC} converter for inductive power transfer in low-power sensor applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2887--2899}, year = {2022}, url = {https://doi.org/10.1002/cta.3285}, doi = {10.1002/CTA.3285}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LuHAMH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LuoL22, author = {LiJin Luo and FengHua Luo}, title = {Research on the equivalent complex impedance of multiparameter 2 {\texttimes} n {LC} network}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {135--152}, year = {2022}, url = {https://doi.org/10.1002/cta.3167}, doi = {10.1002/CTA.3167}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LuoL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LuoYMDP22, author = {Xiao Luo and Feng Yu and Chencheng Ma and Leiqing Ding and Yong Peng}, title = {A novel compensation power-decoupling strategy for single-phase three-level flying capacitor {PV} micro-inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1667--1685}, year = {2022}, url = {https://doi.org/10.1002/cta.3233}, doi = {10.1002/CTA.3233}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LuoYMDP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaXZQX22, author = {Li Ma and Fan Xie and Bo Zhang and Dongyuan Qiu and Wenxun Xiao}, title = {Research on aging state detection of reactor turn-to-turn insulation under high-frequency square wave voltage excitation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3191--3211}, year = {2022}, url = {https://doi.org/10.1002/cta.3311}, doi = {10.1002/CTA.3311}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MaXZQX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaamarHTKM22, author = {Alla Eddine Toubal Maamar and M'hamed Helaimi and Rachid Taleb and Mostefa Kermadi and Saad Mekhilef}, title = {A neural network-based selective harmonic elimination scheme for five-level inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {298--316}, year = {2022}, url = {https://doi.org/10.1002/cta.3130}, doi = {10.1002/CTA.3130}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MaamarHTKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MadniGB22, author = {Zineb Madni and Kamel Guesmi and Atallah Benalia}, title = {Backstepping global and structural stabilization of direct current/direct current boost converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1604--1619}, year = {2022}, url = {https://doi.org/10.1002/cta.3237}, doi = {10.1002/CTA.3237}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MadniGB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MahmoodZKSIS22, author = {Arshad Mahmood and Mohammad Zaid and Shahrukh Khan and Marif Daula Siddique and Atif Iqbal and Zeeshan Sarwer}, title = {A non-isolated quasi-Z-source-based high-gain {DC-DC} converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {653--682}, year = {2022}, url = {https://doi.org/10.1002/cta.3162}, doi = {10.1002/CTA.3162}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MahmoodZKSIS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MahmoudiABS22, author = {Mohsen Mahmoudi and Ali Ajami and Ebrahim Babaei and Javad Soleimanifard}, title = {Theoretical and experimental evaluation of SEPIC-based {DC-DC} converters with two-winding and three-winding coupled inductors}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3891--3910}, year = {2022}, url = {https://doi.org/10.1002/cta.3359}, doi = {10.1002/CTA.3359}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MahmoudiABS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaiaKCRF22, author = {Thales Alexandre Carvalho Maia and Menaouar Berrehil El Kattel and Tom{\'{a}}s Perpetuo Corr{\^{e}}a and Anderson Vagner Rocha and Braz de Jesus Cardoso Filho}, title = {Battery energy management proposition for energy storage using active dc-dc converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4325--4341}, year = {2022}, url = {https://doi.org/10.1002/cta.3397}, doi = {10.1002/CTA.3397}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MaiaKCRF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MajjiMD22, author = {Ravi Kumar Majji and Jyoti Prakash Mishra and Ashish A Dongre}, title = {Model predictive control of solar photovoltaic-based microgrid with composite energy storage}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2490--2509}, year = {2022}, url = {https://doi.org/10.1002/cta.3274}, doi = {10.1002/CTA.3274}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MajjiMD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MansourM22, author = {Marwa Mansour and Islam Mansour}, title = {Three-stage transformer-coupled {CMOS} power amplifier for millimeter-wave applications using 130 nm {CMOS} technology}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3567--3583}, year = {2022}, url = {https://doi.org/10.1002/cta.3363}, doi = {10.1002/CTA.3363}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MansourM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MatthusE22, author = {Christian D. Matthus and Frank Ellinger}, title = {Chopping for over 50 MHz gain-bandwidth product current sense amplifiers achieving input noise level of 8.5 nV/{\(\surd\)}Hz}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4179--4190}, year = {2022}, url = {https://doi.org/10.1002/cta.3402}, doi = {10.1002/CTA.3402}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MatthusE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MengSK22, author = {Fei Meng and Xuyu Shen and Hamid Reza Karimi}, title = {Emerging methodologies in stability and optimization problems of learning-based nonlinear model predictive control: {A} survey}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {4146--4170}, year = {2022}, url = {https://doi.org/10.1002/cta.3370}, doi = {10.1002/CTA.3370}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MengSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MeshkatDF22, author = {Amin Meshkat and Rasoul Dehghani and Hosein Farzanehfard}, title = {Fully integrated switched-inductor switched-capacitor dual-path {DC-DC} converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2035--2054}, year = {2022}, url = {https://doi.org/10.1002/cta.3245}, doi = {10.1002/CTA.3245}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MeshkatDF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MeshkatDF22a, author = {Amin Meshkat and Rasoul Dehghani and Hosein Farzanehfard}, title = {A fully integrated soft switched high frequency resonant {DC-DC} voltage regulator}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3503--3522}, year = {2022}, url = {https://doi.org/10.1002/cta.3349}, doi = {10.1002/CTA.3349}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MeshkatDF22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MiaoLD22, author = {Qing Miao and Bingo Wing{-}Kuen Ling and Hai Huyen Heidi Dam}, title = {Optimal design of both multi-input multi-output loop filter and multi-input single-output reconstruction filter of sigma delta modulator}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4265--4276}, year = {2022}, url = {https://doi.org/10.1002/cta.3384}, doi = {10.1002/CTA.3384}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MiaoLD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohammadiAT22, author = {Kourosh Mohammadi and Vahid Abbasi and Kambiz Mohammadi Tanha}, title = {Three-port {DC-DC} converter for stand-alone PV/battery systems with four operation modes}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2584--2614}, year = {2022}, url = {https://doi.org/10.1002/cta.3273}, doi = {10.1002/CTA.3273}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MohammadiAT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohammadiRP22, author = {Farid Mohammadi and Hasan Rastegar and Mohammad Pichan}, title = {Circuit design and implementation of fault-tolerant step-up converter with variable voltage gain}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4395--4418}, year = {2022}, url = {https://doi.org/10.1002/cta.3405}, doi = {10.1002/CTA.3405}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MohammadiRP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohammedFASR22, author = {Rawan Mohammed and Mohammed E. Fouda and Ihsen Alouani and Lobna A. Said and Ahmed G. Radwan}, title = {CNTFET-based ternary address decoder design}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3682--3691}, year = {2022}, url = {https://doi.org/10.1002/cta.3340}, doi = {10.1002/CTA.3340}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MohammedFASR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NaghibiSS22, author = {Zohreh Naghibi and Sayed Alireza Sadrossadat and Saeed Safari}, title = {Adjoint recurrent neural network technique for nonlinear electronic component modeling}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1119--1129}, year = {2022}, url = {https://doi.org/10.1002/cta.3184}, doi = {10.1002/CTA.3184}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NaghibiSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NanthagopalA22, author = {Sowrirajan Nanthagopal and Srinivasan Andy}, title = {Analysis of single input dual output buck converter with reduced cross regulation using decoupled sliding mode control strategy}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1922--1945}, year = {2022}, url = {https://doi.org/10.1002/cta.3238}, doi = {10.1002/CTA.3238}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NanthagopalA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Narahara22, author = {Koichi Narahara}, title = {Broadband reduction of phase noise in a spatially extended tunnel-diode oscillator through multiple self-injection locking}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1342--1352}, year = {2022}, url = {https://doi.org/10.1002/cta.3215}, doi = {10.1002/CTA.3215}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Narahara22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Narahara22a, author = {Koichi Narahara}, title = {A frequency divider using interacting self-sustained pulses in a tunnel-diode-oscillator lattice}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3266--3278}, year = {2022}, url = {https://doi.org/10.1002/cta.3310}, doi = {10.1002/CTA.3310}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Narahara22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NazirAH22, author = {Masood Ibni Nazir and Aijaz Ahmad and Ikhlaq Hussain}, title = {Filtered {X} least mean fourth-driven intelligent control for power quality augmentation and dynamic stability reinforcement of grid intertie wind-photovoltaic systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4492--4516}, year = {2022}, url = {https://doi.org/10.1002/cta.3387}, doi = {10.1002/CTA.3387}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/NazirAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NimittiA22, author = {Fabiano Gonzales Nimitti and Ant{\'{o}}nio Manuel Santos Spencer Andrade}, title = {Bidirectional converter based on boost/buck {DC-DC} converter for microgrids energy storage systems interface}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4376--4394}, year = {2022}, url = {https://doi.org/10.1002/cta.3403}, doi = {10.1002/CTA.3403}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/NimittiA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NorooziHJZ22, author = {Meraj Noroozi and Farhad Haghjoo and Hamid Javadi and Mohammad Reza Zolghadri}, title = {A simplified implementation of {NLSPWM} control strategy for SqZS inverter via model-driven processor programming method}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2687--2708}, year = {2022}, url = {https://doi.org/10.1002/cta.3282}, doi = {10.1002/CTA.3282}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NorooziHJZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NuruddeenGAA22, author = {Rahmatullah Ibrahim Nuruddeen and Jos{\'{e}} Francisco G{\'{o}}mez{-}Aguilar and Abdulaziz Garba Ahmad and Khalid K. Ali}, title = {Investigating the dynamics of Hilfer fractional operator associated with certain electric circuit models}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2320--2341}, year = {2022}, url = {https://doi.org/10.1002/cta.3293}, doi = {10.1002/CTA.3293}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NuruddeenGAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OliveriLS22, author = {Alberto Oliveri and Matteo Lodi and Marco Storace}, title = {Nonlinear models of power inductors: {A} survey}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {2--34}, year = {2022}, url = {https://doi.org/10.1002/cta.3147}, doi = {10.1002/CTA.3147}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/OliveriLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OmranpourH22, author = {Shadi Omranpour and Seyed Amir Hashemi}, title = {Increasing fan-in and fan-out of the quantum phase slip junction-based logic gates}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3086--3103}, year = {2022}, url = {https://doi.org/10.1002/cta.3324}, doi = {10.1002/CTA.3324}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/OmranpourH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Ortatepe22, author = {Zafer Ortatepe}, title = {Isolated seven-level inverter for grid-connected power systems with predictive control method}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3119--3135}, year = {2022}, url = {https://doi.org/10.1002/cta.3306}, doi = {10.1002/CTA.3306}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Ortatepe22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OthmanBMAM22, author = {Ali Othman and Rim Barrak and Mohamed Mabrouk and Ghalid Idir Abib and Muriel Muller}, title = {A computer-aided design tool for {RF} filters design automation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3042--3052}, year = {2022}, url = {https://doi.org/10.1002/cta.3309}, doi = {10.1002/CTA.3309}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/OthmanBMAM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Ozer22, author = {Emre {\"{O}}zer}, title = {A new electronically tunable mutually coupled circuit using current conveyor transconductance amplifiers (CCTAs)}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3013--3029}, year = {2022}, url = {https://doi.org/10.1002/cta.3308}, doi = {10.1002/CTA.3308}, timestamp = {Wed, 11 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Ozer22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Pachauri22, author = {Rupendra Kumar Pachauri}, title = {Imperative role of optimization techniques to reconfigure solar photovoltaic array systems to diminish shading effects}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {317--353}, year = {2022}, url = {https://doi.org/10.1002/cta.3152}, doi = {10.1002/CTA.3152}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Pachauri22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PadalaY22, author = {Lakshmi Santosh Kumar Reddy Padala and Pedda Obulesu Yeddula}, title = {A non-isolated switched inductor-capacitor cell based multiple high voltage gain {DC-DC} boost converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2150--2174}, year = {2022}, url = {https://doi.org/10.1002/cta.3239}, doi = {10.1002/CTA.3239}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PadalaY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Pai22, author = {Kai{-}Jun Pai}, title = {Employing simplified resistance-inductance-capacitance equivalent circuits to analyze and confirm a gallium nitride-based current linear regulator for laser diode controls}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3788--3810}, year = {2022}, url = {https://doi.org/10.1002/cta.3371}, doi = {10.1002/CTA.3371}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Pai22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PaiL22, author = {Kai{-}Jun Pai and Chang{-}Hua Lin}, title = {Simulation and implementation of a two-mode-operation transconductance regulator with a Gallium Nitride High-Electron-Mobility Transistor}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {197--213}, year = {2022}, url = {https://doi.org/10.1002/cta.3141}, doi = {10.1002/CTA.3141}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PaiL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PaivaGHYM22, author = {Henrique Mohallem Paiva and Roberto Kawakami Harrop Galv{\~{a}}o and Sillas Hadjiloucas and Takashi Yoneyama and Matheus Henrique Marcolino}, title = {A two-dimensional {RC} network topology for fault-tolerant design of analog circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2653--2659}, year = {2022}, url = {https://doi.org/10.1002/cta.3299}, doi = {10.1002/CTA.3299}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PaivaGHYM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PanGZWJW22, author = {Guobing Pan and Fei Gong and Yinghao Zhou and Hao Wu and Libing Jin and Jianfeng Wang}, title = {A voltage fluctuation compensation control strategy at {DC} side for integrated power quality control device}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3171--3190}, year = {2022}, url = {https://doi.org/10.1002/cta.3317}, doi = {10.1002/CTA.3317}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PanGZWJW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PandeyT22, author = {Vimal Kant Pandey and Cher Ming Tan}, title = {Effect of resistor tolerance on the performance of resistor network - An application of the statistical design of experiment}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {175--182}, year = {2022}, url = {https://doi.org/10.1002/cta.3137}, doi = {10.1002/CTA.3137}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PandeyT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Petrovic22, author = {Predrag Petrovic}, title = {Mutually coupled circuits based on modified voltage differencing transconductance amplifier with tuneable characteristics}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4277--4293}, year = {2022}, url = {https://doi.org/10.1002/cta.3383}, doi = {10.1002/CTA.3383}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Petrovic22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PicardoSSG22, author = {Siona Menezes Picardo and Jani Babu Shaik and Sonal Singhal and Nilesh Goel}, title = {Enabling efficient rate and temporal coding using reliability-aware design of a neuromorphic circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4234--4250}, year = {2022}, url = {https://doi.org/10.1002/cta.3395}, doi = {10.1002/CTA.3395}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PicardoSSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PothurajuTP22, author = {Ramakrishna Pothuraju and Ramesh Tejavathu and Anup Kumar Panda}, title = {Multilevel Inverter fed Direct Torque and Flux Control-Space Vector Modulation of Speed Sensorless Permanent Magnet Synchronous Motor drive with Improved Steady State and Dynamic Characteristics}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1279--1296}, year = {2022}, url = {https://doi.org/10.1002/cta.3195}, doi = {10.1002/CTA.3195}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PothurajuTP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PourjabarC22, author = {Sina Pourjabar and Gwan S. Choi}, title = {A high-throughput multimode low-density parity-check decoder for 5G New Radio}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1365--1374}, year = {2022}, url = {https://doi.org/10.1002/cta.3208}, doi = {10.1002/CTA.3208}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PourjabarC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PrasadSMA22, author = {Govind Prasad and Deeksha Sahu and Bipin Chandra Mandi and Maifuz Ali}, title = {Novel low-power and stable memory cell design using hybrid {CMOS} and {MTJ}}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {465--477}, year = {2022}, url = {https://doi.org/10.1002/cta.3204}, doi = {10.1002/CTA.3204}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PrasadSMA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PsychalinosMY22, author = {Costas Psychalinos and Shahram Minaei and Abdullah Yesil}, title = {First-order inverse filters: Implementations using a single voltage conveyor and potential applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3704--3714}, year = {2022}, url = {https://doi.org/10.1002/cta.3346}, doi = {10.1002/CTA.3346}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PsychalinosMY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/QiWCYX22, author = {Chuangshi Qi and Shunli Wang and Wen Cao and Peng Yu and Yanxin Xie}, title = {An improved adaptive spherical unscented Kalman filtering method for the accurate state-of-charge estimation of lithium-ion batteries}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3487--3502}, year = {2022}, url = {https://doi.org/10.1002/cta.3356}, doi = {10.1002/CTA.3356}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/QiWCYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RahamanAHIJ22, author = {Abidur Rahaman and Apurba Adhikary and Mohammad Amzad Hossain and Md Mobaidul Islam and Jin Jang}, title = {Enhanced current mirror circuit by dual-gate coplanar amorphous InGaZnO TFTs}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {1015--1020}, year = {2022}, url = {https://doi.org/10.1002/cta.3198}, doi = {10.1002/CTA.3198}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RahamanAHIJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RahimiG22, author = {M. Rahimi and M. B. Ghaznavi{-}Ghoushchi}, title = {A novel generic modulo-2 graph with full set taxonomical conversion to parallel prefix adders}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1143--1159}, year = {2022}, url = {https://doi.org/10.1002/cta.3148}, doi = {10.1002/CTA.3148}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RahimiG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RajeshNP22, author = {Jami Rajesh and Jayaram Nakka and Satya Venkata Kishore Pulavarthi}, title = {Grid integration of three phase solar powered fault-tolerant cascaded H-bridge inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2566--2583}, year = {2022}, url = {https://doi.org/10.1002/cta.3272}, doi = {10.1002/CTA.3272}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RajeshNP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RaoS22, author = {Pandry Narendra Rao and Lalit Mohan Saini}, title = {Photovoltaic-based grid-connected transformer-less three-phase cascaded diode-clamped multilevel inverter with reduced leakage current}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2398--2422}, year = {2022}, url = {https://doi.org/10.1002/cta.3257}, doi = {10.1002/CTA.3257}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RaoS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RaoSNVAP22, author = {Bhukya Nageswar Rao and Yellasiri Suresh and Banavath Shiva Naik and Jammala Venkataramanaiah and Kancharapu Aditya and Anup Kumar Panda}, title = {A novel single source multilevel inverter with hybrid switching technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {794--811}, year = {2022}, url = {https://doi.org/10.1002/cta.3196}, doi = {10.1002/CTA.3196}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/RaoSNVAP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RaveloRGATT22, author = {Blaise Ravelo and Wenceslas Rahajandraibe and Mathieu Guerin and Beno{\^{\i}}t Agnus and Preeti Thakur and Atul Thakur}, title = {130-nm BiCMOS design of low-pass negative group delay integrated RL-circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1876--1889}, year = {2022}, url = {https://doi.org/10.1002/cta.3263}, doi = {10.1002/CTA.3263}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RaveloRGATT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ReddyT22, author = {Duttaluri Venkata Sudarsan Reddy and Subbaiyan Thangavel}, title = {A non-isolated high step up interleaved boost converter with coupled inductors}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3153--3170}, year = {2022}, url = {https://doi.org/10.1002/cta.3302}, doi = {10.1002/CTA.3302}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ReddyT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ReddyT22a, author = {Duttaluri Venkata Sudarsan Reddy and Subbaiyan Thangavel}, title = {Zero voltage switching high step-up boost converter with coupled inductor for renewable energy applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {4086--4103}, year = {2022}, url = {https://doi.org/10.1002/cta.3382}, doi = {10.1002/CTA.3382}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ReddyT22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ReddyY22, author = {S. Sreekantha Reddy and Chandrasekhar Yammani}, title = {Parameter extraction of single-diode photovoltaic module using experimental current-voltage data}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {753--771}, year = {2022}, url = {https://doi.org/10.1002/cta.3133}, doi = {10.1002/CTA.3133}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ReddyY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ReddyY22a, author = {S. Sreekantha Reddy and Chandrasekhar Yammani}, title = {Response to "comments on the paper titled: Parameter extraction of single-diode photovoltaic module using experimental current-voltage data"}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {774--778}, year = {2022}, url = {https://doi.org/10.1002/cta.3212}, doi = {10.1002/CTA.3212}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ReddyY22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SaadatiG22, author = {Masoud Saadati and Abbas Ghayebloo}, title = {A new detailed loss model and design approach for {LLC} resonant converter with phase shift control to overall optimization of converter loss at whole battery charging process}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3763--3787}, year = {2022}, url = {https://doi.org/10.1002/cta.3367}, doi = {10.1002/CTA.3367}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SaadatiG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SahaniSA22, author = {Jagdeep Kaur Sahani and Anil Singh and Alpana Agarwal}, title = {A low jitter and fast locking all digital phase locked loop with flash based time to digital converter and gain calibrated voltage controlled oscillator}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2900--2912}, year = {2022}, url = {https://doi.org/10.1002/cta.3292}, doi = {10.1002/CTA.3292}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SahaniSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SaketiCYA22, author = {Sai Krishna Saketi and Pradyumn Chaturvedi and Dharmendra Yadeo and Dipesh Atkar}, title = {A hybrid five-level inverter configuration with improved reliability and reduced number of components}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {4104--4130}, year = {2022}, url = {https://doi.org/10.1002/cta.3376}, doi = {10.1002/CTA.3376}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SaketiCYA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SalvadorTJR22, author = {Tha{\'{\i}}s Carvalho Salvador and Fernando Lessa Tofoli and Demercil de Souza Oliveira Junior and Enio Roberto Ribeiro}, title = {Nonisolated high step-up {DC-DC} interleaved {SEPIC} converter based on voltage multiplier cells}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2735--2758}, year = {2022}, url = {https://doi.org/10.1002/cta.3307}, doi = {10.1002/CTA.3307}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SalvadorTJR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SaniBH22, author = {Sajad Ghabeli Sani and Mohamad Reza Banaei and Seyed Hossein Hosseini}, title = {Analysis and implementation of an isolated high step-down converter with interleaved output for low voltage applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4459--4477}, year = {2022}, url = {https://doi.org/10.1002/cta.3389}, doi = {10.1002/CTA.3389}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SaniBH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SanyE22, author = {Bahareh Seyedzadeh Sany and Behzad Ebrahimi}, title = {A 1-GHz GC-eDRAM in 7-nm FinFET with static retention time at 700 mV for ultra-low power on-chip memory applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {417--426}, year = {2022}, url = {https://doi.org/10.1002/cta.3171}, doi = {10.1002/CTA.3171}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SanyE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SarwerAS22, author = {Zeeshan Sarwer and Md Nishat Anwar and Adil Sarwar}, title = {Design and investigation of a triple boost multilevel inverter with self-balanced switched capacitors and reduced voltage stress}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1652--1666}, year = {2022}, url = {https://doi.org/10.1002/cta.3216}, doi = {10.1002/CTA.3216}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SarwerAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SarwerSZS22, author = {Zeeshan Sarwer and Ankush Kumar Sharma and Mohammad Zaid and Adil Sarwar}, title = {Operation, analysis, and implementation of a reduced device count asymmetrical multilevel inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {849--863}, year = {2022}, url = {https://doi.org/10.1002/cta.3189}, doi = {10.1002/CTA.3189}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SarwerSZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SatueAOR22, author = {Manuel G. Satu{\'{e}} and Manuel R. Arahal and Manuel G. Ortega and Daniel R. Ram{\'{\i}}rez}, title = {A simple rotor current estimation method for predictive control of multi-phase drives}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4478--4491}, year = {2022}, url = {https://doi.org/10.1002/cta.3394}, doi = {10.1002/CTA.3394}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SatueAOR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SaxenaGKMG22, author = {Nitin Kumar Saxena and David Wenzhong Gao and Ashwani Kumar and Saad Mekhilef and Varun Gupta}, title = {Frequency regulation for microgrid using genetic algorithm and particle swarm optimization tuned {STATCOM}}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3231--3250}, year = {2022}, url = {https://doi.org/10.1002/cta.3319}, doi = {10.1002/CTA.3319}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SaxenaGKMG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShaikVSG22, author = {Jani Babu Shaik and Aadhitiya VS and Sonal Singhal and Nilesh Goel}, title = {Reliability-aware design of temporal neuromorphic encoder for image recognition}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1130--1142}, year = {2022}, url = {https://doi.org/10.1002/cta.3209}, doi = {10.1002/CTA.3209}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ShaikVSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SharmaC22, author = {Samriti Sharma and Rishu Chaujar}, title = {Impact of tunnel gate process variations on analog/radio frequency (microwave) and small signal parameters of hetero-material tunneling interfaced charge plasma junctionless tunnel field effect transistor}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3626--3641}, year = {2022}, url = {https://doi.org/10.1002/cta.3347}, doi = {10.1002/CTA.3347}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SharmaC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SharmaDNGT22, author = {Anand Sharma and Ajay Kumar Dwivedi and Nagesh Kallollu Narayaswamy and Ashish Gupta and Devendra Kumar Tripathi}, title = {Broadband dielectric resonator antenna with dual-frequency circularly polarized response for {WLAN} and WiMAX applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {525--538}, year = {2022}, url = {https://doi.org/10.1002/cta.3151}, doi = {10.1002/CTA.3151}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SharmaDNGT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShashkovGE22, author = {Vsevolod Shashkov and Idoia Cortes Garcia and Herbert Egger}, title = {{MONA} - {A} magnetic oriented nodal analysis for electric circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {2997--3012}, year = {2022}, url = {https://doi.org/10.1002/cta.3301}, doi = {10.1002/CTA.3301}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ShashkovGE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShiriSRB22, author = {Nabiollah Shiri and Ayoub Sadeghi and Mahmood Rafiee and Maryam Bigonah}, title = {{SR-GDI} CNTFET-based magnitude comparator for new generation of programmable integrated circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1511--1536}, year = {2022}, url = {https://doi.org/10.1002/cta.3251}, doi = {10.1002/CTA.3251}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ShiriSRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SiddiqueHWBM22, author = {Marif Daula Siddique and Mohammed Aslam Husain and Mohammad Wasiq and Thanikanti Sudhakar Babu and Saad Mekhilef}, title = {A new seven-level {ANPC} inverter structure with semiconductor device reduction}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2660--2670}, year = {2022}, url = {https://doi.org/10.1002/cta.3279}, doi = {10.1002/CTA.3279}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SiddiqueHWBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SiddiqueKSMN22, author = {Shadab Azam Siddique and H. Venkatesh Kumar and Chethana Gosal S and Brijesh Mishra and Nagesh Kallollu Narayaswamy}, title = {Remote-controlled reconfigurable hexa-band antenna for radio frequency energy harvesting systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {496--506}, year = {2022}, url = {https://doi.org/10.1002/cta.3144}, doi = {10.1002/CTA.3144}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SiddiqueKSMN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SiddiqueRMI22, author = {Marif Daula Siddique and Bhimireddy Prathap Reddy and Mohammad Meraj and Atif Iqbal}, title = {A new high-level boost inverter topology with reduced device count}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2777--2792}, year = {2022}, url = {https://doi.org/10.1002/cta.3280}, doi = {10.1002/CTA.3280}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SiddiqueRMI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SubramaniamMP22, author = {Kalpanadevi Subramaniam and Suganthi Muthusamy and Rajendran Periyasamy}, title = {A high-gain multi-input single-output switched quasi-Z-source converter for the integration of multiple renewable energy sources}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {4045--4069}, year = {2022}, url = {https://doi.org/10.1002/cta.3379}, doi = {10.1002/CTA.3379}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SubramaniamMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SwethaRR22, author = {K. T. Swetha and Barry Venugopal Reddy and Abin Robinson}, title = {An effective maximum power point tracking technique for partially shaded photovoltaic system under rapidly changing atmospheric conditions}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3986--4008}, year = {2022}, url = {https://doi.org/10.1002/cta.3368}, doi = {10.1002/CTA.3368}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SwethaRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TPS22, author = {Manikandan T and Srinivasa rao nayak Panugothu and Kinattingal Sundareswaran}, title = {A new technique for power transmission and full duplex communication employing {SN} {IPT} system}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {562--573}, year = {2022}, url = {https://doi.org/10.1002/cta.3165}, doi = {10.1002/CTA.3165}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/TPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TakayamaOH22, author = {Hajime Takayama and Takafumi Okuda and Takashi Hikihara}, title = {Digital active gate drive of SiC MOSFETs for controlling switching behavior - Preparation toward universal digitization of power switching}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {183--196}, year = {2022}, url = {https://doi.org/10.1002/cta.3136}, doi = {10.1002/CTA.3136}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TakayamaOH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TanOCI22, author = {Chong Yeam Tan and Chia Yee Ooi and Hau Sim Choo and Nordinah Ismail}, title = {Efficient hardware-accelerated pseudoinverse computation through algorithm restructuring for parallelization in high-level synthesis}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {394--416}, year = {2022}, url = {https://doi.org/10.1002/cta.3155}, doi = {10.1002/CTA.3155}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/TanOCI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TangJG22, author = {Yu Tang and Xingzhen Jiang and Yahu Gao}, title = {Coordinate control strategy for two-stage wide gain {DC/DC} converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3136--3152}, year = {2022}, url = {https://doi.org/10.1002/cta.3304}, doi = {10.1002/CTA.3304}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TangJG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TangWSGS22, author = {Yu Tang and Hongchang Wang and Zhe Shi and Yingjun Guo and Hexu Sun}, title = {Research on dual-frequency modulation strategy of wireless power transfer system}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4342--4356}, year = {2022}, url = {https://doi.org/10.1002/cta.3411}, doi = {10.1002/CTA.3411}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TangWSGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TangZSGS22, author = {Yu Tang and Zixiang Zhao and Zhe Shi and Yingjun Guo and Hexu Sun}, title = {Input-series output-parallel {DC-DC} converter based on adaptive coefficient voltage equalization control}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3539--3550}, year = {2022}, url = {https://doi.org/10.1002/cta.3352}, doi = {10.1002/CTA.3352}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TangZSGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TayyabSHMTA22, author = {Mohammad Tayyab and Adil Sarwar and Md. Reyaz Hussan and Shadab Murshid and Mohd Tariq and Basem Alamri}, title = {A novel voltage boosting switched-capacitor 19-level inverter with reduced component count}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2128--2149}, year = {2022}, url = {https://doi.org/10.1002/cta.3235}, doi = {10.1002/CTA.3235}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/TayyabSHMTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TingAS22, author = {Naim Suleyman Ting and Fulya Aslay and Yakup Sahin}, title = {A novel zero voltage transition boost converter and artificial neural network-based estimation of converter efficiency}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3251--3265}, year = {2022}, url = {https://doi.org/10.1002/cta.3337}, doi = {10.1002/CTA.3337}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TingAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TiwaryNPLN22, author = {Nishit Tiwary and N. Venkata Ramana Naik and Anup Kumar Panda and Rajesh Kumar Lenka and Ankireddy Narendra}, title = {Integral sliding mode based direct power control of isolated {DC-DC} converter for improved voltage regulation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3307--3324}, year = {2022}, url = {https://doi.org/10.1002/cta.3342}, doi = {10.1002/CTA.3342}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/TiwaryNPLN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ToebeFA22, author = {Ademir Toebe and Tiago Miguel Klein Faistel and Ant{\'{o}}nio Manuel Santos Spencer Andrade}, title = {High step-up buck-boost {DC-DC} converter with coupled inductor and low component count for distributed {PV} generation systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1730--1749}, year = {2022}, url = {https://doi.org/10.1002/cta.3229}, doi = {10.1002/CTA.3229}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ToebeFA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ToledoBI22, author = {F. Javier Toledo and Jos{\'{e}} M. Blanes and Vicente Galiano Ibarra}, title = {Comment on "Parameter extraction of single-diode photovoltaic module using experimental current-voltage data"}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {772--773}, year = {2022}, url = {https://doi.org/10.1002/cta.3175}, doi = {10.1002/CTA.3175}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ToledoBI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Turk22, author = {{\"{O}}mer T{\"{u}}rk}, title = {{FPGA} simulation of chaotic tent map-based S-Box design}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1589--1603}, year = {2022}, url = {https://doi.org/10.1002/cta.3242}, doi = {10.1002/CTA.3242}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Turk22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VeerasamyM22, author = {Thenmozhi Veerasamy and Bhaskar Manickam}, title = {A 60-GHz low-noise amplifier with +7.258-dBm third-order input intercept point using current reuse feedforward distortion cancellation for 5G emerging communication}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1855--1875}, year = {2022}, url = {https://doi.org/10.1002/cta.3262}, doi = {10.1002/CTA.3262}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/VeerasamyM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangK22, author = {Xu Wang and Michael Peter Kennedy}, title = {A random pulse modulation approach to modeling the flicker and white noise of the charge pump of a fractional-N frequency synthesizer}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1049--1063}, year = {2022}, url = {https://doi.org/10.1002/cta.3211}, doi = {10.1002/CTA.3211}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangLZZZLYT22, author = {Zhenmin Wang and Bende Luo and Jinhua Zhan and Hao Zhou and Xubiao Zhan and Tao Li and Chunxian Ye and Jiyu Tian}, title = {A 200 kW high-efficiency and high-power density xEV motor controller based on discrete SiC {MOSFET} devices}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3053--3070}, year = {2022}, url = {https://doi.org/10.1002/cta.3313}, doi = {10.1002/CTA.3313}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangLZZZLYT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangQCL22, author = {Dashan Wang and Libo Qian and Kexue Cui and Yongyuan Li}, title = {Flexible design method for multiple loads inductive power transfer system with equal power distribution}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3715--3724}, year = {2022}, url = {https://doi.org/10.1002/cta.3388}, doi = {10.1002/CTA.3388}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WangQCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangSSY22, author = {Meng Wang and Guangcheng Song and Yanyan Shi and Renliang Yin}, title = {Enhancement of robustness to frequency detuning with wireless power transfer based on Van der Pol resonance}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4294--4306}, year = {2022}, url = {https://doi.org/10.1002/cta.3406}, doi = {10.1002/CTA.3406}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WangSSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangWD22, author = {Ziling Wang and Lidan Wang and Shukai Duan}, title = {Memristor ratioed logic crossbar-based delay and jump-key flip-flops design}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1353--1364}, year = {2022}, url = {https://doi.org/10.1002/cta.3194}, doi = {10.1002/CTA.3194}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangWD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangXHZ22, author = {Shaowei Wang and Guangjun Xie and Jie Han and Yongqiang Zhang}, title = {Highly accurate division and square root circuits by exploiting signal correlation in stochastic computing}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1375--1385}, year = {2022}, url = {https://doi.org/10.1002/cta.3219}, doi = {10.1002/CTA.3219}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangXHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangXXCZ22, author = {Shaowei Wang and Guangjun Xie and Wenbing Xu and Xin Cheng and Yongqiang Zhang}, title = {High-accuracy mean circuits design by manipulating correlation for stochastic computing}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3692--3703}, year = {2022}, url = {https://doi.org/10.1002/cta.3344}, doi = {10.1002/CTA.3344}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WangXXCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WasiqSSIM22, author = {Mohammad Wasiq and Marif Daula Siddique and Adil Sarwar and Atif Iqbal and Saad Mekhilef}, title = {A triple boost 13-level switched-capacitor based multi-level inverter topology for solar {PV} applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4434--4458}, year = {2022}, url = {https://doi.org/10.1002/cta.3391}, doi = {10.1002/CTA.3391}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WasiqSSIM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WeiZW22, author = {JiaHao Wei and Tian Zhao and Jing Wan}, title = {An enhanced operational amplifier macro model based on artificial neural network}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4191--4201}, year = {2022}, url = {https://doi.org/10.1002/cta.3381}, doi = {10.1002/CTA.3381}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WeiZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WuPLC22, author = {Zhenyu Wu and Chaoqun Peng and Jiao Liao and Quanxiu Chen}, title = {Study on circuit modeling of stretchable serpentine interconnects}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {988--996}, year = {2022}, url = {https://doi.org/10.1002/cta.3174}, doi = {10.1002/CTA.3174}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WuPLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WuWCRM22, author = {Tianxiang Wu and Xi Wang and Yong Chen and Junyan Ren and Shunli Ma}, title = {A 10-MHz to 50-GHz low-jitter multiphase clock generator for high-speed oscilloscope in 0.15-{\(\mu\)}m GaAs technology}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {367--381}, year = {2022}, url = {https://doi.org/10.1002/cta.3179}, doi = {10.1002/CTA.3179}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WuWCRM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/X22, title = {Corrigendum}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2287}, year = {2022}, url = {https://doi.org/10.1002/cta.3318}, doi = {10.1002/CTA.3318}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/X22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/XuXWLHZ22, author = {Wenbing Xu and Guangjun Xie and Shaowei Wang and Zhendong Lin and Jie Han and Yongqiang Zhang}, title = {A stochastic computing architecture for local contrast and mean image thresholding algorithm}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3279--3291}, year = {2022}, url = {https://doi.org/10.1002/cta.3320}, doi = {10.1002/CTA.3320}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/XuXWLHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/XuY22, author = {Pingfan Xu and Samson S. Yu}, title = {Zero-input-current ripple high voltage-gain {DC-DC} converters - {A} new design approach}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {8}, pages = {2671--2686}, year = {2022}, url = {https://doi.org/10.1002/cta.3291}, doi = {10.1002/CTA.3291}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/XuY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangJWNZS22, author = {Xiaoguang Yang and Zhe Jia and Dexin Wang and Baoxin Nie and Xiaobing Zhao and Yukui Su}, title = {An integrated equalization charger for series-connected energy storage cells}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2548--2565}, year = {2022}, url = {https://doi.org/10.1002/cta.3276}, doi = {10.1002/CTA.3276}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YangJWNZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangJWZSN22, author = {Xiaoguang Yang and Zhe Jia and Dexin Wang and Xiaobing Zhao and Yukui Su and Baoxin Nie}, title = {A bidirectional converter with integrated voltage equalizer for series-connected supercapacitors}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {4}, pages = {1174--1193}, year = {2022}, url = {https://doi.org/10.1002/cta.3221}, doi = {10.1002/CTA.3221}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YangJWZSN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangLXCH22, author = {Wanghong Yang and Yonglun Luo and Danlei Xuan and Rong Chang and Guoping Hong}, title = {A new harmonic tuned class {E} power amplifier based on waveform analysis}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1890--1906}, year = {2022}, url = {https://doi.org/10.1002/cta.3259}, doi = {10.1002/CTA.3259}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YangLXCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangWJSZN22, author = {Xiaoguang Yang and Dexin Wang and Zhe Jia and Yukui Su and Xiaobing Zhao and Baoxin Nie}, title = {An equalization charger based on phase-shift full-bridge converter with an n-stage current rectifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1793--1805}, year = {2022}, url = {https://doi.org/10.1002/cta.3220}, doi = {10.1002/CTA.3220}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YangWJSZN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangWXQYF22, author = {Xiao Yang and Shunli Wang and Wenhua Xu and Jialu Qiao and Chunmei Yu and Carlos Fernandez}, title = {Fuzzy adaptive singular value decomposition cubature Kalman filtering algorithm for lithium-ion battery state-of-charge estimation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {2}, pages = {614--632}, year = {2022}, url = {https://doi.org/10.1002/cta.3166}, doi = {10.1002/CTA.3166}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/YangWXQYF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YasirLC22, author = {Umair Yasir and Xiuping Li and Cheng Cao}, title = {Low power {ASK} modulator based on direct injection-locked current reuse {VCO} in 130-nm {CMOS} technology for high data rate {RFID} applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {56--71}, year = {2022}, url = {https://doi.org/10.1002/cta.3135}, doi = {10.1002/CTA.3135}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/YasirLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YasirLCT22, author = {Umair Yasir and Xiuping Li and Cheng Cao and Tao Tan}, title = {A low-power amplitude shift keying modulator based on adaptive body-biased injection-locked current-reuse voltage controlled oscillator for high data rate radio frequency identification applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3584--3593}, year = {2022}, url = {https://doi.org/10.1002/cta.3343}, doi = {10.1002/CTA.3343}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/YasirLCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Yesilyurt22, author = {H{\"{u}}seyin Yesilyurt}, title = {Hybrid soft switching {DC-DC} boost converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {2175--2189}, year = {2022}, url = {https://doi.org/10.1002/cta.3269}, doi = {10.1002/CTA.3269}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Yesilyurt22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YuZ22, author = {Wenxin Yu and Zuanbo Zhou}, title = {A compressible image encryption method based on nondegenerate hyperchaotic system and its implementation on {ZYNQ}}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3661--3681}, year = {2022}, url = {https://doi.org/10.1002/cta.3350}, doi = {10.1002/CTA.3350}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YuZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YuZW22, author = {Feng Yu and Chenhui Zhou and Zinuo Wang}, title = {Evaluation of model-free predictive current control in three-phase permanent magnet synchronous motor drives fed by three-level neutral-point-clamped inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3968--3985}, year = {2022}, url = {https://doi.org/10.1002/cta.3358}, doi = {10.1002/CTA.3358}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/YuZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZafarAAYNKO22, author = {Salahuddin Zafar and Busra Cankaya Akoglu and Erdem Aras and Dogan Yilmaz and Muhammad Imran Nawaz and Ahsanullah Kashif and Ekmel {\"{O}}zbay}, title = {Design and robustness improvement of high-performance {LNA} using 0.15 {\(\mu\)}m GaN technology for X-band applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2305--2319}, year = {2022}, url = {https://doi.org/10.1002/cta.3286}, doi = {10.1002/CTA.3286}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZafarAAYNKO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Zenteno-FrancoG22, author = {Samuel Zenteno{-}Franco and Victor R. Gonzalez{-}Diaz and Roberto C. Ambrosio{-}Lazaro and Jos{\'{e}}{-}Fermi Guerrero{-}Castellanos and Gerardo Mino Aguilar}, title = {{SPICE} synthesis of a solar cell model with irradiance and temperature evaluation}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {9}, pages = {3071--3085}, year = {2022}, url = {https://doi.org/10.1002/cta.3314}, doi = {10.1002/CTA.3314}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Zenteno-FrancoG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangCHX22, author = {Yongqiang Zhang and Xiaoyue Chen and Cong He and Guangjun Xie}, title = {Energy-efficient multipliers using imprecise compressors for image multiplication}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3875--3890}, year = {2022}, url = {https://doi.org/10.1002/cta.3385}, doi = {10.1002/CTA.3385}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangCHX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangCYKLSPLZZ22, author = {Zhao Zhang and Yanming Chen and Daliang Yang and Fannie Kong and Jinbao Liu and Dashuai Shao and Zuwen Peng and Zheng Li and Sencai Zeng and Mingkai Zhao}, title = {Control strategy without phase-locked loop based on power estimation and natural frame for single-phase cascaded H-bridge converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4517--4538}, year = {2022}, url = {https://doi.org/10.1002/cta.3399}, doi = {10.1002/CTA.3399}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangCYKLSPLZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangKBS22, author = {Li Zhang and Aslihan Kartci and Hakan Bagci and Khaled N. Salama}, title = {A variable fractional-order inductor design}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {5}, pages = {1388--1399}, year = {2022}, url = {https://doi.org/10.1002/cta.3230}, doi = {10.1002/CTA.3230}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangKBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangNZWCRM22, author = {Zhiyang Zhang and Lihe Nie and Jincheng Zhang and Tianxiang Wu and Yong Chen and Junyan Ren and Shunli Ma}, title = {A 23- to 28-GHz 5-bit switch-type phase shifter with 1-bit calibration based on optimized {ABCD} matrix design methods for 5G {MIMO} system in 0.15-{\(\mu\)}m GaAs}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {6}, pages = {1834--1854}, year = {2022}, url = {https://doi.org/10.1002/cta.3256}, doi = {10.1002/CTA.3256}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangNZWCRM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangXRLC22, author = {Zhang Zhang and Ao Xu and Hongtao Ren and Gang Liu and Xin Cheng}, title = {Reconfigurable multivalued memristor {FPGA} model for digital recognition}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {11}, pages = {3846--3860}, year = {2022}, url = {https://doi.org/10.1002/cta.3377}, doi = {10.1002/CTA.3377}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangXRLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoSLW22, author = {Qinglin Zhao and Lu Song and Zhuangqi Luo and Deyu Wang}, title = {A study on combined multi-resonance converter for on-board charging of electric vehicles}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {864--885}, year = {2022}, url = {https://doi.org/10.1002/cta.3176}, doi = {10.1002/CTA.3176}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoSLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoY22, author = {Yu Zhao and Shiyou Yang}, title = {Voltage control to maximize the transmission efficiency of a multi-input and multi-output wireless power transfer system}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3293--3306}, year = {2022}, url = {https://doi.org/10.1002/cta.3321}, doi = {10.1002/CTA.3321}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhongH22, author = {Liping Zhong and Song Hu}, title = {60{\textdegree} phase difference current control-based open-phase fault-tolerant operation strategy for open-end winding permanent synchronous motor}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {10}, pages = {3409--3425}, year = {2022}, url = {https://doi.org/10.1002/cta.3355}, doi = {10.1002/CTA.3355}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhongH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZolfagharianDM22, author = {Omid Zolfagharian and Ali Dastfan and Mohammad Hoseintabar Marzebali}, title = {Symmetrical switching strategy based on reduced switching state in a three-phase multilevel converter with a single faulty cell}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {3}, pages = {964--980}, year = {2022}, url = {https://doi.org/10.1002/cta.3178}, doi = {10.1002/CTA.3178}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZolfagharianDM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AalamiHB21, author = {Mohammadamin Aalami and Hassan Hadiizadeh and Ebrahim Babaei}, title = {Cascaded multilevel inverter based on ladder bridges: Analysis, optimization, and implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4027--4045}, year = {2021}, url = {https://doi.org/10.1002/cta.3055}, doi = {10.1002/CTA.3055}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AalamiHB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbasianG21, author = {Erfan Abbasian and Morteza Gholipour}, title = {Single-ended half-select disturb-free 11T static random access memory cell for reliable and low power applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {970--989}, year = {2021}, url = {https://doi.org/10.1002/cta.2954}, doi = {10.1002/CTA.2954}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbbasianG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbbasianGI21, author = {Erfan Abbasian and Morteza Gholipour and Farzaneh Izadinasab}, title = {Performance evaluation of {GNRFET} and {TMDFET} devices in static random access memory cells design}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3630--3652}, year = {2021}, url = {https://doi.org/10.1002/cta.3108}, doi = {10.1002/CTA.3108}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbbasianGI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Abdel-HafeezG21, author = {Saleh Abdel{-}Hafeez and Ann Gordon{-}Ross}, title = {Reconfigurable {FIFO} memory circuit for synchronous and asynchronous communication}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {938--952}, year = {2021}, url = {https://doi.org/10.1002/cta.2921}, doi = {10.1002/CTA.2921}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Abdel-HafeezG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbdollahiB21, author = {Rohollah Abdollahi and Ehsan Badfar}, title = {Cover Image}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {i}, year = {2021}, url = {https://doi.org/10.1002/cta.3121}, doi = {10.1002/CTA.3121}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbdollahiB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbdollahiB21a, author = {Rohollah Abdollahi and Ehsan Badfar}, title = {Harmonics mitigation approach by using pulse-doubling circuit in the {AC-DC} rectifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2436--2452}, year = {2021}, url = {https://doi.org/10.1002/cta.2991}, doi = {10.1002/CTA.2991}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbdollahiB21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbedMHA21, author = {Sa'ed Abed and Bassam Jamil Mohd and Thaier Hayajneh and Mohammad H. Alshayeji}, title = {Hardware Trojan detection for lightweight ciphers implemented on field-programmable gate arrays using the replay algorithm}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3607--3629}, year = {2021}, url = {https://doi.org/10.1002/cta.3098}, doi = {10.1002/CTA.3098}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AbedMHA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbuelhaijaSBS21, author = {Ashraf Abuelhaija and Gameel Saleh and Tarik Baldawi and Sanaa Salama}, title = {Symmetrical and asymmetrical microstripline-based transmit/receive switches for 7-Tesla magnetic resonance imaging}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2082--2093}, year = {2021}, url = {https://doi.org/10.1002/cta.3013}, doi = {10.1002/CTA.3013}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbuelhaijaSBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AfjehB21, author = {Mohsen Ghorbanali Afjeh and Mojtaba Babaei}, title = {Model predictive control of a modified five-level inverter with decreased device counts}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {1987--2006}, year = {2021}, url = {https://doi.org/10.1002/cta.2989}, doi = {10.1002/CTA.2989}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AfjehB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AggarwalS21, author = {Surbhi Aggarwal and Amit Kumar Singh}, title = {Impact analysis of electric vehicle charging station integration with distributed generators on power systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1811--1827}, year = {2021}, url = {https://doi.org/10.1002/cta.2974}, doi = {10.1002/CTA.2974}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AggarwalS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AhmadSSLI21, author = {Javed Ahmad and Marif Daula Siddique and Adil Sarwar and Chang{-}Hua Lin and Atif Iqbal}, title = {A high gain noninverting {DC-DC} converter with low voltage stress for industrial applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4212--4230}, year = {2021}, url = {https://doi.org/10.1002/cta.3129}, doi = {10.1002/CTA.3129}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AhmadSSLI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AishwaryaS21, author = {Venkittaraman Aishwarya and Kesari Gnana Sheela}, title = {Review of reduced-switch multilevel inverters for electric vehicle applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {3053--3110}, year = {2021}, url = {https://doi.org/10.1002/cta.3087}, doi = {10.1002/CTA.3087}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AishwaryaS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AlaguPSA21, author = {Matheswaran Alagu and Prem Ponnusamy and Pandarinathan Sivaraman and Jagabar Sathik Mohamed Ali}, title = {Performance improvement of solar {PV} power conversion system through low duty cycle {DC-DC} converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {267--282}, year = {2021}, url = {https://doi.org/10.1002/cta.2918}, doi = {10.1002/CTA.2918}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AlaguPSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AlamdarAG21, author = {Hani Alamdar and Gholamreza Ardeshir and Mohammad Gholami}, title = {Novel quantum-dot cellular automata implementation of flip-flop and phase-frequency detector based on nand-nor-inverter gates}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {196--212}, year = {2021}, url = {https://doi.org/10.1002/cta.2825}, doi = {10.1002/CTA.2825}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AlamdarAG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AliSMYSB21, author = {Jagabar Sathik Mohamed Ali and Marif Daula Siddique and Saad Mekhilef and Yongheng Yang and Yam Prasad Siwakoti and Frede Blaabjerg}, title = {Experimental validation of nine-level switched-capacitor inverter topology with high voltage gain}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2479--2493}, year = {2021}, url = {https://doi.org/10.1002/cta.3004}, doi = {10.1002/CTA.3004}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AliSMYSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AliWC21, author = {Muhammad Saqib Ali and Lei Wang and Guozhu Chen}, title = {Design and control aspect of segmented proportional integral-repetitive controller parameter optimization of the three-phase boost power factor correction rectifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {554--575}, year = {2021}, url = {https://doi.org/10.1002/cta.2896}, doi = {10.1002/CTA.2896}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AliWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AlishahBHBAAG21, author = {Rasoul Shalchi Alishah and Kent Bertilsson and Seyyed Hossein Hosseini and Ebrahim Babaei and Mohammadamin Aalami and Jagabar Sathik Mohamed Ali and Gevork B. Gharehpetian}, title = {A new generalized cascade multilevel converter topology and its improved modulation technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1103--1120}, year = {2021}, url = {https://doi.org/10.1002/cta.2880}, doi = {10.1002/CTA.2880}, timestamp = {Tue, 26 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AlishahBHBAAG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AlvarezAGM21, author = {Emilio Alvarez and Alfredo Arnaud and Joel Gak and Mat{\'{\i}}as R. Miguez}, title = {Nano-power-integrated precision rectifiers for implantable medical devices}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {878--889}, year = {2021}, url = {https://doi.org/10.1002/cta.2812}, doi = {10.1002/CTA.2812}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AlvarezAGM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AnandS21, author = {Vishal Anand and Varsha Singh}, title = {Implementation of cascaded asymmetrical multilevel inverter for renewable energy integration}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1776--1794}, year = {2021}, url = {https://doi.org/10.1002/cta.2944}, doi = {10.1002/CTA.2944}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AnandS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AnandS21a, author = {Vishal Anand and Varsha Singh}, title = {Performance analysis of novel fault-tolerant multilevel inverter with a pristine methodology for fast and exhaustive real-time failure of switches}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4046--4069}, year = {2021}, url = {https://doi.org/10.1002/cta.3073}, doi = {10.1002/CTA.3073}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AnandS21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AndradeCL21, author = {Jessika Melo de Andrade and Roberto Francisco Coelho and Telles B. Lazzarin}, title = {High step-up dc-dc converter based on the differential connection of basic converters and switched-capacitor cells}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2555--2577}, year = {2021}, url = {https://doi.org/10.1002/cta.3003}, doi = {10.1002/CTA.3003}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AndradeCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ArifSSAIM21, author = {M. Saad Bin Arif and Zeeshan Sarwer and Marif Daula Siddique and Shahrin Bin Md. Ayob and Atif Iqbal and Saad Mekhilef}, title = {Asymmetrical multilevel inverter topology with low total standing voltage and reduced switches count}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1757--1775}, year = {2021}, url = {https://doi.org/10.1002/cta.2971}, doi = {10.1002/CTA.2971}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ArifSSAIM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AroudiZA21, author = {Abdelali El Aroudi and Guidong Zhang and Mohammed S. Al{-}Numay}, title = {Performance evaluation for an hourglass-shaped impedance-network-based high step-up converter in a photovoltaic system using PSIM{\textcopyright} simulation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2670--2685}, year = {2021}, url = {https://doi.org/10.1002/cta.3026}, doi = {10.1002/CTA.3026}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AroudiZA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AryaPASGB21, author = {Sabha Raj Arya and Mittal M. Patel and Sayed Javed Alam and Jayadeep Srikakolapu and Ashutosh K. Giri and Baladhandautham Chitti Babu}, title = {Classical control algorithms for permanent magnet synchronous generator driven by diesel engine for power quality}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {576--601}, year = {2021}, url = {https://doi.org/10.1002/cta.2916}, doi = {10.1002/CTA.2916}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AryaPASGB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AshrafianMNKG21, author = {Ahmadali Ashrafian and Mahmoud Mohammad{-}Taheri and Mohammad Naser{-}Moghaddasi and Mehdi Khatir and Behbod Ghalamkari}, title = {Planar circuit analysis of ultra-wideband millimeter-wave inductor using transmission line sections}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3378--3393}, year = {2021}, url = {https://doi.org/10.1002/cta.3070}, doi = {10.1002/CTA.3070}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AshrafianMNKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AtitallahABL21, author = {Ahmed Ben Atitallah and Imen Abid and Anis Boudabous and Hassen Loukil}, title = {A new hardware architecture of the adaptive vector median filter and validation in a hardware/software environment}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2329--2347}, year = {2021}, url = {https://doi.org/10.1002/cta.3000}, doi = {10.1002/CTA.3000}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AtitallahABL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AtmaneMKDB21, author = {Ilias Atmane and Noureddine El Moussaoui and Khalil Kassmi and Olivier Deblecker and Najib Bachiri}, title = {Alternating multi-stage maximum power point tracking controlled parallelled photovoltaic systems for "solar cooker"}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3908--3921}, year = {2021}, url = {https://doi.org/10.1002/cta.3051}, doi = {10.1002/CTA.3051}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AtmaneMKDB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Avignon-Meseldzija21, author = {Emilie Avignon{-}Meseldzija and Jelena A. Anastasov and Dejan N. Milic}, title = {A linear group delay filter with tunable positive slope for analog signal processing}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1307--1326}, year = {2021}, url = {https://doi.org/10.1002/cta.2995}, doi = {10.1002/CTA.2995}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Avignon-Meseldzija21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BabaeiH21, author = {Bardia Babaei and Omid Hashemipour}, title = {Intersegment mismatch mitigation with multidimensional dynamic element matching digital to analog converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {80--93}, year = {2021}, url = {https://doi.org/10.1002/cta.2910}, doi = {10.1002/CTA.2910}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BabaeiH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BagheriL21, author = {Mohammad Bagheri and Xun Li}, title = {Phase noise suppression in {LC} oscillators: Tutorial}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3131--3156}, year = {2021}, url = {https://doi.org/10.1002/cta.3097}, doi = {10.1002/CTA.3097}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BagheriL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BahloulFBM21, author = {Mohamed A. Bahloul and Mohammed E. Fouda and Imen Barraj and Mohamed Masmoudi}, title = {Variability analysis of resistive ternary content addressable memories}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {453--475}, year = {2021}, url = {https://doi.org/10.1002/cta.2919}, doi = {10.1002/CTA.2919}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BahloulFBM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BakshiR21, author = {Biswadeep Gupta Bakshi and Biswanath Roy}, title = {Electrical model formulation for multicolor light-emitting diode modules and its application to design dimmable driver}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1559--1582}, year = {2021}, url = {https://doi.org/10.1002/cta.2977}, doi = {10.1002/CTA.2977}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BakshiR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BansalS21, author = {Praveen Bansal and Alka Singh}, title = {Nonlinear adaptive normalized least mean absolute third algorithm for the control of five-level distribution static compensator}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2840--2864}, year = {2021}, url = {https://doi.org/10.1002/cta.3045}, doi = {10.1002/CTA.3045}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BansalS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BaxevanakisAS21, author = {Dimitrios Baxevanakis and Vassilis Alimisis and Paul P. Sotiriadis}, title = {An intermodulation distortion estimation method for linear {CMOS} circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1244--1260}, year = {2021}, url = {https://doi.org/10.1002/cta.2961}, doi = {10.1002/CTA.2961}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BaxevanakisAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BeiraghdarRST21, author = {Farhad Beiraghdar and Alireza Ghobadi Rad and Samad Sheikhaei and Massoud Tohidian}, title = {A fast settling frequency synthesizer with switched-bandwidth loop filter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2021--2031}, year = {2021}, url = {https://doi.org/10.1002/cta.2993}, doi = {10.1002/CTA.2993}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BeiraghdarRST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BhattacharjeeSP21, author = {Abhishek Bhattacharjee and Dheeraj Kumar Sahu and Sambhu Nath Pradhan}, title = {Lookup table-based negative-bias temperature instability effect and leakage power co-optimization using genetic algorithm approach}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {1902--1915}, year = {2021}, url = {https://doi.org/10.1002/cta.3038}, doi = {10.1002/CTA.3038}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BhattacharjeeSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BoZGW21, author = {Qiang Bo and Yuwang Zhang and Yanjie Guo and Lifang Wang}, title = {Characteristic analysis and suppression of SiC MOSFET-based crosstalk for inductive power transfer systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3845--3863}, year = {2021}, url = {https://doi.org/10.1002/cta.3105}, doi = {10.1002/CTA.3105}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BoZGW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BodurYTS21, author = {Haci Bodur and H{\"{u}}seyin Yesilyurt and Naim Suleyman Ting and Yakup Sahin}, title = {Zero-voltage switching half-bridge pulse width modulation {DC-DC} converter with switched capacitor active snubber cell for renewable energy applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2686--2698}, year = {2021}, url = {https://doi.org/10.1002/cta.3015}, doi = {10.1002/CTA.3015}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BodurYTS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BorjkhaniSS21, author = {Hadi Borjkhani and Seyed Kamaledin Setarehdan and Samad Sheikhaei}, title = {Optimal sub-harmonic injection-locked {MICS} band transmitter for wireless CW-fNIRS systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3186--3208}, year = {2021}, url = {https://doi.org/10.1002/cta.3047}, doi = {10.1002/CTA.3047}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BorjkhaniSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CaoGJ21, author = {Mingwei Cao and Hao Gao and Wei Jia}, title = {Parallel surface reconstruction for large-scale scenes in the wild}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1420--1434}, year = {2021}, url = {https://doi.org/10.1002/cta.2953}, doi = {10.1002/CTA.2953}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CaoGJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CaoGJ21a, author = {Mingwei Cao and Hao Gao and Wei Jia}, title = {Stable image matching for 3D reconstruction in outdoor}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2274--2289}, year = {2021}, url = {https://doi.org/10.1002/cta.2997}, doi = {10.1002/CTA.2997}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CaoGJ21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CaselliLBS21, author = {Michele Caselli and Chris van Liempd and Andrea Boni and Stefano Stanzione}, title = {A low-power native NMOS-based bandgap reference operating from -55{\textdegree}C to 125{\textdegree}C with Li-Ion battery compatibility}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1327--1346}, year = {2021}, url = {https://doi.org/10.1002/cta.2986}, doi = {10.1002/CTA.2986}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CaselliLBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Chen21, author = {Chong Chen}, title = {Acceleration of vector bilateral filtering for hyperspectral imaging with {GPU}}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1502--1514}, year = {2021}, url = {https://doi.org/10.1002/cta.2973}, doi = {10.1002/CTA.2973}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Chen21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChengLZZZ21, author = {Xin Cheng and Bin Li and Haowen Zhu and Yongqiang Zhang and Zhang Zhang}, title = {A high-resolution hybrid digital pulse width modulator with dual-edge-triggered flip-flops and hardware compensation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {159--168}, year = {2021}, url = {https://doi.org/10.1002/cta.2885}, doi = {10.1002/CTA.2885}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChengLZZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChungI21, author = {Jooik Chung and Agis A. Iliadis}, title = {Design and optimization of a {CMOS} {IC} novel {RF} tracking sensor}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {801--819}, year = {2021}, url = {https://doi.org/10.1002/cta.2959}, doi = {10.1002/CTA.2959}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChungI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CorreaAMVT21, author = {Douglas Rosa Corr{\^{e}}a and Juliano de Faria Andrade and Aniel Silva de Morais and Leandro Sousa Vilefort and Fernando Lessa Tofoli}, title = {Non-isolated single-phase inverter based on an autotransformer for low-power applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2593--2611}, year = {2021}, url = {https://doi.org/10.1002/cta.3008}, doi = {10.1002/CTA.3008}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CorreaAMVT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CorreaMT21, author = {Douglas Rosa Corr{\^{e}}a and Aniel Silva de Morais and Fernando Lessa Tofoli}, title = {Non-isolated high step-up/step-down quadratic converter for light-emitting diode driving}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2699--2718}, year = {2021}, url = {https://doi.org/10.1002/cta.3035}, doi = {10.1002/CTA.3035}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/CorreaMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DP21, author = {Baba Fayaz D and Sreehari Rao Patri}, title = {Power-efficient voltage up level shifter with low power-delay product}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2158--2169}, year = {2021}, url = {https://doi.org/10.1002/cta.2980}, doi = {10.1002/CTA.2980}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DasP21, author = {Kaushik Das and Sambhu Nath Pradhan}, title = {Field-programmable gate array-based design for real-time computation of ensemble empirical mode decomposition}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2312--2328}, year = {2021}, url = {https://doi.org/10.1002/cta.3028}, doi = {10.1002/CTA.3028}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DasP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DineshK21, author = {Dinesh Ganesan and Binsu J. Kailath}, title = {Tree/link method for transfer function and stability analysis of switched-capacitor circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3559--3582}, year = {2021}, url = {https://doi.org/10.1002/cta.3102}, doi = {10.1002/CTA.3102}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DineshK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DjurhuusK21, author = {Torsten Djurhuus and Viktor Krozer}, title = {A study of amplitude-to-phase noise conversion in planar oscillators}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {1--17}, year = {2021}, url = {https://doi.org/10.1002/cta.2893}, doi = {10.1002/CTA.2893}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DjurhuusK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DubeyMK21, author = {Alok Kumar Dubey and Jyoti Prakash Mishra and Amritesh Kumar}, title = {Performance improvement of shunt active power filter under variable grid frequency condition using complex coefficient filter-frequency locked loop}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1164--1181}, year = {2021}, url = {https://doi.org/10.1002/cta.2920}, doi = {10.1002/CTA.2920}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DubeyMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DwivediSR21, author = {Ajay Kumar Dwivedi and Anand Sharma and Pinku Ranjan}, title = {Dual-band modified rectangular shaped dielectric resonator antenna with diversified polarization feature}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3434--3442}, year = {2021}, url = {https://doi.org/10.1002/cta.3095}, doi = {10.1002/CTA.3095}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/DwivediSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Elwakil21, author = {Ahmed S. Elwakil}, title = {Announcement of the 2020 Best Paper Award}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {535}, year = {2021}, url = {https://doi.org/10.1002/cta.2996}, doi = {10.1002/CTA.2996}, timestamp = {Fri, 28 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Elwakil21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EshkevariSSM21, author = {Alireza Lahooti Eshkevari and Hossein Ghaffarpour Sadighi and Ahmad Salemnia and Ali Mosallanejad}, title = {A new high-efficiency interleaved step-up converter with zero-voltage switching, zero-current switching, and common-ground features for stand-alone electric vehicle charging stations}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1613--1632}, year = {2021}, url = {https://doi.org/10.1002/cta.2979}, doi = {10.1002/CTA.2979}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/EshkevariSSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Fathabadi21, author = {Hassan Fathabadi}, title = {Novel resonator providing class-F oscillation with better performance compared with available alternatives}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3466--3471}, year = {2021}, url = {https://doi.org/10.1002/cta.3120}, doi = {10.1002/CTA.3120}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Fathabadi21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FejerNBSRD21, author = {Attila Fej{\'{e}}r and Zolt{\'{a}}n Nagy and Jenny Benois{-}Pineau and P{\'{e}}ter Szolgay and Aymar de Rugy and Jean{-}Philippe Domenger}, title = {Implementation of Scale Invariant Feature Transform detector on {FPGA} for low-power wearable devices for prostheses control}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2255--2273}, year = {2021}, url = {https://doi.org/10.1002/cta.3025}, doi = {10.1002/CTA.3025}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FejerNBSRD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Fekih-Ahmed21, author = {Lazhar Fekih{-}Ahmed}, title = {Nonlinear analysis of radio-frequency quadrature two-stage ring oscillators}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3328--3353}, year = {2021}, url = {https://doi.org/10.1002/cta.3094}, doi = {10.1002/CTA.3094}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Fekih-Ahmed21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FirouzkouhiA21, author = {Hossein Firouzkouhi and Mohammadreza Ashraf}, title = {A low-power 10-bit CCP-based pipelined {ADC} using a multi-level variable current source {MDAC} and an ultra-low-power double-tail dynamic latch}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {830--852}, year = {2021}, url = {https://doi.org/10.1002/cta.2938}, doi = {10.1002/CTA.2938}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FirouzkouhiA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FuGZCZ21, author = {Qiang Fu and Qing Guo and Wei Zhang and Weimin Cui and Ling Zhao}, title = {An analytical model for squirrel cage induction machine with broken rotor bars derived based on the multiple coupled circuit theory and the winding function approach}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1633--1658}, year = {2021}, url = {https://doi.org/10.1002/cta.2984}, doi = {10.1002/CTA.2984}, timestamp = {Tue, 09 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/FuGZCZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GaafarIO21, author = {Mahmoud A. Gaafar and Eltaib Abdeen Ibrahim and Mohamed Orabi}, title = {Multi-input transformer-less four-wire microinverter with distributed {MPPT} for {PV} systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1704--1725}, year = {2021}, url = {https://doi.org/10.1002/cta.2942}, doi = {10.1002/CTA.2942}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GaafarIO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GanapathyMK21, author = {Then Mozhi Ganapathy and Banu Sundareswari Murugesan and Dhanalakshmi Kaliaperumal}, title = {Fine resolution smart force sensor based on lever arm mechanism using shape memory alloy spring}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4330--4342}, year = {2021}, url = {https://doi.org/10.1002/cta.3080}, doi = {10.1002/CTA.3080}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GanapathyMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Gani21, author = {Ahmet Gani}, title = {Improving dynamic efficiency of photovoltaic generation systems using adaptive type 2 fuzzy-neural network via {EN} 50530 test procedure}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3922--3940}, year = {2021}, url = {https://doi.org/10.1002/cta.3126}, doi = {10.1002/CTA.3126}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Gani21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhasemiFMK21, author = {Mir Majid Ghasemi and Amir Fathi and Morteza Mousazadeh and Abdollah Khoei}, title = {A new high speed and low power decoder/encoder for Radix-4 Booth multiplier}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2199--2213}, year = {2021}, url = {https://doi.org/10.1002/cta.2985}, doi = {10.1002/CTA.2985}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GhasemiFMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GholamiFK21, author = {Morteza Gholami and Edris Zaman Farsa and Gholamreza Karimi}, title = {Reconfigurable field-programmable gate array-based on-chip learning neuromorphic digital implementation for nonlinear function approximation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2425--2435}, year = {2021}, url = {https://doi.org/10.1002/cta.3075}, doi = {10.1002/CTA.3075}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GholamiFK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhotbiS21, author = {Iman Ghotbi and Hossein Sarfaraz}, title = {Multiple-load wireless power transmission system through time-division multiplexed resonators}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1225--1243}, year = {2021}, url = {https://doi.org/10.1002/cta.2939}, doi = {10.1002/CTA.2939}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GhotbiS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Gluskin21, author = {Emanuel Gluskin}, title = {A comment on the breathing in the corona virus environment}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2651--2654}, year = {2021}, url = {https://doi.org/10.1002/cta.2934}, doi = {10.1002/CTA.2934}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Gluskin21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GolestanifarK21, author = {Alireza Golestanifar and Gholamreza Karimi}, title = {{RF} power amplifier design using microstrip ring resonator based on image parameter method}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2074--2081}, year = {2021}, url = {https://doi.org/10.1002/cta.2990}, doi = {10.1002/CTA.2990}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GolestanifarK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GuntherBJR21, author = {Michael G{\"{u}}nther and Andreas Bartel and Birgit Jacob and Timo Reis}, title = {Dynamic iteration schemes and port-Hamiltonian formulation in coupled differential-algebraic equation circuit simulation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {430--452}, year = {2021}, url = {https://doi.org/10.1002/cta.2870}, doi = {10.1002/CTA.2870}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GuntherBJR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GuptaGP21, author = {Monica Gupta and Kirti Gupta and Neeta Pandey}, title = {A data-independent 9T {SRAM} cell with enhanced {ION/IOFF} ratio and {RBL} voltage swing in near threshold and sub-threshold region}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {953--969}, year = {2021}, url = {https://doi.org/10.1002/cta.2951}, doi = {10.1002/CTA.2951}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GuptaGP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GuptaGP21a, author = {Monica Gupta and Kirti Gupta and Neeta Pandey}, title = {A novel PVT-variation-tolerant Schmitt-trigger-based 12T {SRAM} cell with improved write ability and high {ION/IOFF} ratio in sub-threshold region}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3789--3810}, year = {2021}, url = {https://doi.org/10.1002/cta.3134}, doi = {10.1002/CTA.3134}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GuptaGP21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HariprasadDYM21, author = {Simhadri Hariprasad and Surya Shankar Dan and Ramakant Yadav and Ashutosh Mishra}, title = {Double-gate line-tunneling field-effect transistor devices for superior analog performance}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2094--2111}, year = {2021}, url = {https://doi.org/10.1002/cta.3002}, doi = {10.1002/CTA.3002}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HariprasadDYM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HarshaJSVS21, author = {L. Guna Sekhar Sai Harsha and Bhaskara Rao Jammu and Visweswara Rao Samoju and Sreehari Veeramachaneni and Noor Mohammad S.}, title = {A low-error, memory-based fast binary antilogarithmic converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2214--2226}, year = {2021}, url = {https://doi.org/10.1002/cta.2981}, doi = {10.1002/CTA.2981}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HarshaJSVS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HasanIHZ21, author = {Mehedi Hasan and Sharnali Islam and Mainul Hossain and Hasan U. Zaman}, title = {A scalable high-speed hybrid 1-bit full adder design using {XOR-XNOR} module}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3597--3606}, year = {2021}, url = {https://doi.org/10.1002/cta.3109}, doi = {10.1002/CTA.3109}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HasanIHZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HassantaghiR21, author = {Hassan Hassantaghi and Mohsen Rahimi}, title = {Control and stability analysis of {DC} microgrid system including wind and solar generation sources and grid-connected voltage source converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {616--640}, year = {2021}, url = {https://doi.org/10.1002/cta.2948}, doi = {10.1002/CTA.2948}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HassantaghiR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HazratiJM21, author = {Amin Hazrati and Ali Jalali and Masoud Meghdadi}, title = {A reconfigurable high ultimate rejection inductorless band-pass filter by the use of N-path passive mixers}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {31--43}, year = {2021}, url = {https://doi.org/10.1002/cta.2895}, doi = {10.1002/CTA.2895}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HazratiJM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HazratiSFB21, author = {Sara Hazrati and Mohammad Bagher Bannae Sharifian and Mohammad Reza Feyzi and Ebrahim Babaei}, title = {Developed configuration of stacked multicell topology with reduced {DC} voltage sources}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {3941--3965}, year = {2021}, url = {https://doi.org/10.1002/cta.3040}, doi = {10.1002/CTA.3040}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HazratiSFB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HemmatiD21, author = {Mohammad Jafar Hemmati and Rasoul Dehghani}, title = {Analysis and review of main characteristics of Colpitts oscillators}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1285--1306}, year = {2021}, url = {https://doi.org/10.1002/cta.3006}, doi = {10.1002/CTA.3006}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HemmatiD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HerisSSL21, author = {Pedram Chavoshipour Heris and Zahra Saadatizadeh and Mehran Sabahi and Xiaodong Liang}, title = {High-voltage conversion ratio dual-input {DC-DC} converter operating in a wide duty cycle range and canceling input current ripple}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4162--4187}, year = {2021}, url = {https://doi.org/10.1002/cta.3104}, doi = {10.1002/CTA.3104}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HerisSSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Herrera-Jaramillo21, author = {Diego Alejandro Herrera{-}Jaramillo and Daniel Gonzalez Montoya and Elkin Edilberto Henao{-}Bravo and Carlos Andr{\'{e}}s Ramos{-}Paja and Andr{\'{e}}s Juli{\'{a}}n Saavedra Montes}, title = {Systematic analysis of control techniques for the dual active bridge converter in photovoltaic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {3031--3052}, year = {2021}, url = {https://doi.org/10.1002/cta.3031}, doi = {10.1002/CTA.3031}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Herrera-Jaramillo21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HochFTA21, author = {Henrique J. Hoch and Tiago Miguel Klein Faistel and Ademir Toebe and Ant{\'{o}}nio Manuel Santos Spencer Andrade}, title = {Voltage multiplier applied to boost {DC-DC} converter: Analysis, design, and performance evaluations}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4188--4211}, year = {2021}, url = {https://doi.org/10.1002/cta.3123}, doi = {10.1002/CTA.3123}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HochFTA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HosseinpourSB21, author = {Majid Hosseinpour and Ali Seifi and Ebrahim Babaei}, title = {An improved switched-ladder bidirectional multilevel inverter: Topology, operating principle, and implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4274--4293}, year = {2021}, url = {https://doi.org/10.1002/cta.3140}, doi = {10.1002/CTA.3140}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HosseinpourSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HouHPWCHZ21, author = {Haomin Hou and Jin He and Junren Pan and Hao Wang and Sheng Chang and Qijun Huang and Yinxia Zhu}, title = {A K-band high-gain power amplifier with slow-wave transmission-line transformer in 130-nm {RF} {CMOS}}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1347--1357}, year = {2021}, url = {https://doi.org/10.1002/cta.2943}, doi = {10.1002/CTA.2943}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HouHPWCHZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HsiehWYL21, author = {Chun{-}Ting Hsieh and Shang{-}Hsien Wang and Chun{-}Wei Yeh and Wei{-}Cheng Lin}, title = {Reliability evaluation and redesign methodology for {RFCMOS} transceiver frontend circuits in sub-6-GHz band of fifth-generation new radio communication based on the reliability model}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3443--3454}, year = {2021}, url = {https://doi.org/10.1002/cta.3027}, doi = {10.1002/CTA.3027}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HsiehWYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HsiehYY21, author = {Yao{-}Ching Hsieh and Li{-}Ren Yu and Meng{-}Feng Yang}, title = {A charge equalization scheme for battery string with charging current allocation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2935--2945}, year = {2021}, url = {https://doi.org/10.1002/cta.3052}, doi = {10.1002/CTA.3052}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HsiehYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HuangLTMJ21, author = {Hai Huang and Chang Liu and Lei Tian and Junsheng Mu and Xiaojun Jing}, title = {A novel FCNs-ConvLSTM network for video salient object detection}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1050--1060}, year = {2021}, url = {https://doi.org/10.1002/cta.2924}, doi = {10.1002/CTA.2924}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HuangLTMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JalanBSG21, author = {Subham Kumar Jalan and Baladhandautham Chitti Babu and K. Sridharan and Nitin Gupta}, title = {An improved control strategy of grid-tied solar photovoltaic system using active current detection method}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {602--615}, year = {2021}, url = {https://doi.org/10.1002/cta.2962}, doi = {10.1002/CTA.2962}, timestamp = {Mon, 02 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JalanBSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JapaMSV21, author = {Aditya Japa and Manoj Kumar Majumder and Subhendu Kumar Sahoo and Ramesh Vaddi}, title = {Tunnel FET-based ultra-lightweight reconfigurable {TRNG} and {PUF} design for resource-constrained internet of things}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2299--2311}, year = {2021}, url = {https://doi.org/10.1002/cta.3030}, doi = {10.1002/CTA.3030}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JapaMSV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JarihaniST21, author = {Arash Ebrahimi Jarihani and Johannes Sturm and Andrea M. Tonello}, title = {A full-duplex transceiver for 20-Gbps high-speed simultaneous bidirectional signaling across global on-chip interconnections}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3455--3465}, year = {2021}, url = {https://doi.org/10.1002/cta.3116}, doi = {10.1002/CTA.3116}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JarihaniST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JinQWYCZ21, author = {Renyun Jin and Haifeng Qiu and Liguo Weng and Bin Yu and Jie Chen and Yanghui Zhang}, title = {Circuit system based on cloud edge fusion and outdoor intelligent light networking}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1202--1211}, year = {2021}, url = {https://doi.org/10.1002/cta.2897}, doi = {10.1002/CTA.2897}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JinQWYCZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JindalP21, author = {Caffey Jindal and Rishikesh Pandey}, title = {A high output resistance, wide bandwidth, and low input resistance current mirror using flipped voltage follower cell}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3286--3301}, year = {2021}, url = {https://doi.org/10.1002/cta.3085}, doi = {10.1002/CTA.3085}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/JindalP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JooqAM21, author = {Mohammad Khaleqi Qaleh Jooq and Mohammad Ahmadinejad and Mohammad Hossein Moaiyeri}, title = {Ultraefficient imprecise multipliers based on innovative 4: 2 approximate compressors}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {169--184}, year = {2021}, url = {https://doi.org/10.1002/cta.2876}, doi = {10.1002/CTA.2876}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/JooqAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JooqBM21, author = {Mohammad Khaleqi Qaleh Jooq and Ali Bozorgmehr and Sattar Mirzakuchaki}, title = {A low-power delay stage ring {VCO} based on wrap-gate {CNTFET} technology for X-band satellite communication applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {142--158}, year = {2021}, url = {https://doi.org/10.1002/cta.2864}, doi = {10.1002/CTA.2864}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/JooqBM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JothimaniPNR21, author = {Gnanavadivel Jothimani and Yogalakshmi Palanichamy and Senthil Kumar Natarajan and Thangasankaran Rameshkumar}, title = {Single-phase front-end modified interleaved Luo power factor correction converter for on-board electric vehicle charger}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2655--2669}, year = {2021}, url = {https://doi.org/10.1002/cta.3017}, doi = {10.1002/CTA.3017}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/JothimaniPNR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JulianAVR21, author = {Pedro Juli{\'{a}}n and Athanasios Andreou and Martin Villemur and Nicol{\'{a}}s Rodr{\'{\i}}guez}, title = {Simplicial computation: {A} methodology to compute vector-vector multiplications with reduced complexity}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3766--3788}, year = {2021}, url = {https://doi.org/10.1002/cta.3128}, doi = {10.1002/CTA.3128}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JulianAVR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KalantariSS21, author = {Navid Taghizadegan Kalantari and Sajad Ghabeli Sani and Yaser Sarsabahi}, title = {Implementation and design of an interleaved Cuk converter with selective input current ripple elimination capability}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1743--1756}, year = {2021}, url = {https://doi.org/10.1002/cta.2940}, doi = {10.1002/CTA.2940}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KalantariSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KannanS21, author = {Rathika Kannan and Subbaraman Srinath}, title = {Solar powered Modified Coupled Inductor, Capacitor Multiplier boost converter operated three-phase small power inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {683--703}, year = {2021}, url = {https://doi.org/10.1002/cta.2950}, doi = {10.1002/CTA.2950}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KannanS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KapouleaPE21, author = {Stavroula Kapoulea and Costas Psychalinos and Ahmed S. Elwakil}, title = {Passive approximations of double-exponent fractional-order impedance functions}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1274--1284}, year = {2021}, url = {https://doi.org/10.1002/cta.2946}, doi = {10.1002/CTA.2946}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KapouleaPE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KatnapallyMRP21, author = {Akhil Katnapally and Udaya Bhasker Manthati and Arunkumar Chirayarukil Raveendran and Srinivas Punna}, title = {A predictive power management scheme for hybrid energy storage system in electric vehicle}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3864--3878}, year = {2021}, url = {https://doi.org/10.1002/cta.3119}, doi = {10.1002/CTA.3119}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KatnapallyMRP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KazemiH21, author = {Amir Hossein Kazemi and Mohsen Hayati}, title = {Analysis and design of ultra-wideband low noise amplifier using complementary structure with series inductive peaking technique and shunt feedback}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3209--3229}, year = {2021}, url = {https://doi.org/10.1002/cta.3039}, doi = {10.1002/CTA.3039}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KazemiH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KebriaG21, author = {Saeed Soleymani Kebria and Hojat Ghonoodi}, title = {Increasing power efficiency in the design of a low power and low phase noise {CMOS} {LC} oscillator}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {18--30}, year = {2021}, url = {https://doi.org/10.1002/cta.2905}, doi = {10.1002/CTA.2905}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KebriaG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KengneNPTF21, author = {L{\'{e}}andre Kamdjeu Kengne and Yannick Pascal Kamdeu Nkandeu and Justin Roger Mboupda Pone and Alain Tiedeu and Hilaire Bertrand Fotsin}, title = {Image encryption using a novel quintic jerk circuit with adjustable symmetry}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1470--1501}, year = {2021}, url = {https://doi.org/10.1002/cta.2968}, doi = {10.1002/CTA.2968}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KengneNPTF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KhaderAA21, author = {Mohamed M. Khader and Jos{\'{e}} Francisco G{\'{o}}mez{-}Aguilar and Mohamed Adel}, title = {Numerical study for the fractional RL, RC, and {RLC} electrical circuits using Legendre pseudo-spectral method}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3266--3285}, year = {2021}, url = {https://doi.org/10.1002/cta.3103}, doi = {10.1002/CTA.3103}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KhaderAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KhatrothP21, author = {Srinivas Khatroth and Shunmugam Porpandiselvi}, title = {Single-stage pulse frequency controlled {AC-AC} resonant converter for different material vessel induction cooking applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2865--2884}, year = {2021}, url = {https://doi.org/10.1002/cta.3042}, doi = {10.1002/CTA.3042}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KhatrothP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KishoreCA21, author = {Kaushal Kishore and Nidhi Chaturvedi and SA Akbar}, title = {Auto-clamping interface circuit for high electron mobility transistor-based heavy metal detection sensor}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {864--877}, year = {2021}, url = {https://doi.org/10.1002/cta.2932}, doi = {10.1002/CTA.2932}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KishoreCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Krstic21, author = {Ivan Krstic}, title = {The least-square design of minimum-order allpass-based infinite impulse response multi-notch filters}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2643--2650}, year = {2021}, url = {https://doi.org/10.1002/cta.3100}, doi = {10.1002/CTA.3100}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Krstic21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KruglyakF21, author = {Natan Kruglyak and Robert Forchheimer}, title = {Design of classifiers based on {ANN} approximations of traditional methods}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {1916--1931}, year = {2021}, url = {https://doi.org/10.1002/cta.2998}, doi = {10.1002/CTA.2998}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KruglyakF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarAMG21, author = {Prashant Kumar and Sabha Raj Arya and Khyati D. Mistry and Ashutosh K. Giri}, title = {Hybrid self-learning controller for restoration of voltage power quality using optimized multilayer neural network}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4248--4273}, year = {2021}, url = {https://doi.org/10.1002/cta.3084}, doi = {10.1002/CTA.3084}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumarAMG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarS21, author = {Deepak Kumar and Anmol Ratna Saxena}, title = {A battery integrated three-port bidirectional charger/discharger for light electric vehicles with {G2V} and {V2G} power flow capability}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2909--2934}, year = {2021}, url = {https://doi.org/10.1002/cta.3044}, doi = {10.1002/CTA.3044}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KumarS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarTA21, author = {Nitish Kumar and Venkata Madhava Ram Tatabhatla and Anshul Agarwal}, title = {Design of novel universal converter for integration of solar and wind energy for {AC} and {DC} load}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4088--4119}, year = {2021}, url = {https://doi.org/10.1002/cta.3090}, doi = {10.1002/CTA.3090}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KumarTA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumariKL21, author = {Niteesha Kumari and S. Shiva Kumar and V. Laxmi}, title = {Design of an efficient bipolar converter with fast {MPPT} algorithm for {DC} nanogrid application}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2812--2839}, year = {2021}, url = {https://doi.org/10.1002/cta.3020}, doi = {10.1002/CTA.3020}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumariKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumariSSMT21, author = {Manita Kumari and Marif Daula Siddique and Adil Sarwar and Saad Mekhilef and Mohd Tariq}, title = {A twice boost nine-level switched-capacitor multilevel {(2B-9L-SCMLI)} inverter with self-voltage balancing capability}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2578--2592}, year = {2021}, url = {https://doi.org/10.1002/cta.3014}, doi = {10.1002/CTA.3014}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumariSSMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LallechereXNWR21, author = {S{\'{e}}bastien Lall{\'{e}}ch{\`{e}}re and Zhifei Xu and Jamel Nebhen and Fayu Wan and Blaise Ravelo}, title = {Ring oscillators yield analysis: Improving Monte Carlo models with optimized clustering methods}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2227--2237}, year = {2021}, url = {https://doi.org/10.1002/cta.3019}, doi = {10.1002/CTA.3019}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LallechereXNWR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LemberskiS21, author = {Igor Lemberski and Artjoms Suponenkovs}, title = {Resubstitution method for big size Boolean logic design targeting look-up-table implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2411--2424}, year = {2021}, url = {https://doi.org/10.1002/cta.3086}, doi = {10.1002/CTA.3086}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LemberskiS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LenkaP21, author = {Rajesh Kumar Lenka and Anup Kumar Panda}, title = {Grid power quality improvement using a vehicle-to-grid enabled bidirectional off-board electric vehicle battery charger}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2612--2629}, year = {2021}, url = {https://doi.org/10.1002/cta.3021}, doi = {10.1002/CTA.3021}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LenkaP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiCLYY21, author = {Chung{-}Yi Li and Yuan{-}Ho Chen and Lu{-}An Lai and Wen{-}Chi Ye and Jun Yang}, title = {Simple and hardware-efficient row-based direct-mapping estimators in fixed-width modified Booth multipliers}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {909--920}, year = {2021}, url = {https://doi.org/10.1002/cta.2937}, doi = {10.1002/CTA.2937}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiCLYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiLLW21, author = {Qingjian Li and Yan Liang and Zhenzhou Lu and Guangyi Wang}, title = {Threshold-type memristor-based memory circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1515--1531}, year = {2021}, url = {https://doi.org/10.1002/cta.2976}, doi = {10.1002/CTA.2976}, timestamp = {Tue, 23 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiLLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiLQWZ21, author = {Zhen Li and Zhenrong Li and Xing Quan and Zeyuan Wang and Yiqi Zhuang}, title = {A 21.6-30.3 GHz injection-locked frequency tripler with Darlington injection for 5G communication systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {476--486}, year = {2021}, url = {https://doi.org/10.1002/cta.2929}, doi = {10.1002/CTA.2929}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiLQWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiW21, author = {Jinghao Li and Aiguo Wu}, title = {Digital current-limited sliding-mode control for synchronous buck converter with curved switching surface}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {536--553}, year = {2021}, url = {https://doi.org/10.1002/cta.2875}, doi = {10.1002/CTA.2875}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuGCIS21, author = {Yue Liu and Zhang Guo and Tat Kei Chau and Herbert Ho{-}Ching Iu and Gangquan Si}, title = {Nonlinear circuits with parallel-/series-connected HP-type memory elements and their characteristic analysis}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {513--532}, year = {2021}, url = {https://doi.org/10.1002/cta.2915}, doi = {10.1002/CTA.2915}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiuGCIS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuIGL21, author = {Yue Liu and Herbert Ho{-}Ching Iu and Shuxu Guo and Hui Li}, title = {Chaotic dynamics in memristive circuits with different {\(\phi\)} - q characteristics}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3540--3558}, year = {2021}, url = {https://doi.org/10.1002/cta.3112}, doi = {10.1002/CTA.3112}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiuIGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuLG21, author = {Shan Liu and Chengang Lyu and Haotian Gong}, title = {Vehicle video surveillance system based on image fusion and parallel computing}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1532--1547}, year = {2021}, url = {https://doi.org/10.1002/cta.2907}, doi = {10.1002/CTA.2907}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuLG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuLLZ21, author = {Baojun Liu and Cheng Li and Chuang Li and Shuang Zhang}, title = {Effect of temperature and single event transient on crosstalk in coupled single-walled carbon nanotube {(SWCNT)} bundle interconnects}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3408--3420}, year = {2021}, url = {https://doi.org/10.1002/cta.3089}, doi = {10.1002/CTA.3089}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiuLLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuWTLGL21, author = {Zhimeng Liu and Lifang Wang and Chengxuan Tao and Shufan Li and Yanjie Guo and Fang Li}, title = {Analysis and design of wireless power transfer system based on inductor-capacitor-capacitor/none magnetic integration compensation circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3811--3825}, year = {2021}, url = {https://doi.org/10.1002/cta.3106}, doi = {10.1002/CTA.3106}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiuWTLGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuWZS21, author = {Longtao Liu and Jiabao Wen and Zexun Zheng and Hansong Su}, title = {An improved approach for mining association rules in parallel using Spark Streaming}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1028--1039}, year = {2021}, url = {https://doi.org/10.1002/cta.2935}, doi = {10.1002/CTA.2935}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuWZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuX21, author = {Min Liu and Hui Xia}, title = {Design and validation of the tubular linear vibration generator applied to energy harvester based on {VIV}}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4316--4329}, year = {2021}, url = {https://doi.org/10.1002/cta.3145}, doi = {10.1002/CTA.3145}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiuX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LuHLX21, author = {Weiguo Lu and Qiwei Huang and Shaoling Li and Huaiwen Xu}, title = {Multidimensional harmonic current feedforward compensation control of single-phase alternating current-direct current power factor correction converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2946--2958}, year = {2021}, url = {https://doi.org/10.1002/cta.3050}, doi = {10.1002/CTA.3050}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LuHLX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MSNCMC21, author = {Kamalesh MS and Senthilnathan Nattuthurai and Bharatiraja Chokkalingam and Sabarimuthu Muthusamy and Kumar Cherukupalli}, title = {Development of ripple reduced solar photovoltaic regulators using boomerang sliding mode control strategy}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2979--3006}, year = {2021}, url = {https://doi.org/10.1002/cta.3071}, doi = {10.1002/CTA.3071}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MSNCMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaLZYWX21, author = {Hongbo Ma and Xiaobin Li and Bin Zhang and Junhong Yi and Xiaoqiang Wang and Jianping Xu}, title = {Design and optimization of 3-kW inductive power transfer charging system with compact asymmetric loosely coupled transformer for special applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1061--1077}, year = {2021}, url = {https://doi.org/10.1002/cta.2909}, doi = {10.1002/CTA.2909}, timestamp = {Wed, 12 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MaLZYWX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MahataKM21, author = {Shibendu Mahata and Rajib Kar and Durbadal Mandal}, title = {Optimal analog-to-digital transformation of fractional-order Butterworth filter using binomial series expansion with Al-Alaoui operator}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {44--79}, year = {2021}, url = {https://doi.org/10.1002/cta.2908}, doi = {10.1002/CTA.2908}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MahataKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MahataKM21a, author = {Shibendu Mahata and Rajib Kar and Durbadal Mandal}, title = {Optimal design of lattice wave digital fractional-order Butterworth filter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {213--220}, year = {2021}, url = {https://doi.org/10.1002/cta.2902}, doi = {10.1002/CTA.2902}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MahataKM21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaityJSB21, author = {Subhanil Maity and Sanjay Kumar Jana and Indranil Som and Tarun Kanti Bhattacharyya}, title = {Power and area-efficient static current mode logic frequency divider in 180-nm complementary metal-oxide-semiconductor technology}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2396--2410}, year = {2021}, url = {https://doi.org/10.1002/cta.3081}, doi = {10.1002/CTA.3081}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MaityJSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MannariOH21, author = {Toko Mannari and Takafumi Okuda and Takashi Hikihara}, title = {Prediction of restriction in output current by reactant flow in redox flow battery for compensating load variations}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1121--1132}, year = {2021}, url = {https://doi.org/10.1002/cta.2881}, doi = {10.1002/CTA.2881}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MannariOH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Martincorena-Arraiza21, author = {Maite Martincorena{-}Arraiza and Alfonso Carlosena and Carlos Aristoteles De la Cruz{-}Blas and Javier Beloso{-}Legarra and Antonio Lopez{-}Martin}, title = {A family of {AC} amplifiers for ultra-low frequency operation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3317--3327}, year = {2021}, url = {https://doi.org/10.1002/cta.3122}, doi = {10.1002/CTA.3122}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Martincorena-Arraiza21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MartinezCGV21, author = {David Diaz Martinez and Rafael Trujillo Codorni{\'{u}} and Roberto Giral and Lu{\'{\i}}s V{\'{a}}zquez{-}Seisdedos}, title = {Evaluation of particle swarm optimization techniques applied to maximum power point tracking in photovoltaic systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {1849--1867}, year = {2021}, url = {https://doi.org/10.1002/cta.2978}, doi = {10.1002/CTA.2978}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MartinezCGV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MartinsMCY000LS21, author = {Rui Paulo Martins and Pui{-}In Mak and Chi{-}Hang Chan and Jun Yin and Yan Zhu and Yong Chen and Yan Lu and Man{-}Kay Law and Sai{-}Weng Sin}, title = {Bird's-eye view of analog and mixed-signal chips for the 21st century}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {746--761}, year = {2021}, url = {https://doi.org/10.1002/cta.2958}, doi = {10.1002/CTA.2958}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MartinsMCY000LS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaryanVA21, author = {Mohammad Moradinezhad Maryan and Majid Amini Valashani and Seyed Javad Azhari}, title = {An input controlled leakage restrainer transistor-based technique for leakage and short-circuit power reduction of 1-bit hybrid full adders}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2382--2395}, year = {2021}, url = {https://doi.org/10.1002/cta.3053}, doi = {10.1002/CTA.3053}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MaryanVA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MatsuokaIF21, author = {Shunsuke Matsuoka and Shuichi Ichikawa and Naoki Fujieda}, title = {A true random number generator that utilizes thermal noise in a programmable system-on-chip (PSoC)}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3354--3367}, year = {2021}, url = {https://doi.org/10.1002/cta.3046}, doi = {10.1002/CTA.3046}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MatsuokaIF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MattadaG21, author = {Mahantesh P. Mattada and Hansraj Guhilot}, title = {Time-to-digital converters - {A} comprehensive review}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {778--800}, year = {2021}, url = {https://doi.org/10.1002/cta.2936}, doi = {10.1002/CTA.2936}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MattadaG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MayerKJO21, author = {Robson Mayer and Menaouar Berrehil El Kattel and Edson Jeske and Sergio Vidal Garcia Oliveira}, title = {Efficiency evaluation of a bridgeless totem-pole power factor correction rectifier using GaN and insulated gate bipolar transistor devices for battery charger}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1133--1146}, year = {2021}, url = {https://doi.org/10.1002/cta.2894}, doi = {10.1002/CTA.2894}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MayerKJO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MishraBGGD21, author = {Pratikanta Mishra and Atanu Banerjee and Mousam Ghosh and Sushanta Gogoi and Rukmi Dutta}, title = {Development of a cost-effective circuit hardware architecture for brushless direct current motor driver}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2183--2198}, year = {2021}, url = {https://doi.org/10.1002/cta.3011}, doi = {10.1002/CTA.3011}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MishraBGGD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MishraDC21, author = {Alok Kumar Mishra and Vaithiyanathan Dhandapani and Urvashi Chopra}, title = {Design and analysis of ultra-low power 18T adaptive data track flip-flop for high-speed application}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3733--3747}, year = {2021}, url = {https://doi.org/10.1002/cta.3124}, doi = {10.1002/CTA.3124}, timestamp = {Sat, 07 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MishraDC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ModanlouAG21, author = {Shahram Modanlou and Gholamreza Ardeshir and Mohammad Gholami}, title = {Analysis and design of a low jitter delay-locked loop using lock state detector}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1410--1419}, year = {2021}, url = {https://doi.org/10.1002/cta.2923}, doi = {10.1002/CTA.2923}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ModanlouAG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohabbatianH21, author = {Neda Mohabbatian and Khayrollah Hadidi}, title = {A 12-Gb/s serial link transceiver using dual-mode pulse amplitude modulation scheme in a 0.18-{\(\mu\)}m {CMOS} process}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {487--504}, year = {2021}, url = {https://doi.org/10.1002/cta.2922}, doi = {10.1002/CTA.2922}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MohabbatianH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohammadiMMKAM21, author = {Mohammad Mohammadi and Javad Shokrollahi Moghani and Paolo Mattavelli and Alireza Khoshsaadat and Mohammad Mahdi Asghari and Jafar Milimonfared}, title = {An interharmonic dual switching frequency modulation strategy for impedance network inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1726--1742}, year = {2021}, url = {https://doi.org/10.1002/cta.2933}, doi = {10.1002/CTA.2933}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MohammadiMMKAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MontazerOHM21, author = {Babak Hosseini Montazer and Javad Olamaei and Majid Hosseinpour and Babak Mozafari}, title = {A generalized diode containing bidirectional topology for multilevel inverter with reduced switches and power loss}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2959--2978}, year = {2021}, url = {https://doi.org/10.1002/cta.3077}, doi = {10.1002/CTA.3077}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MontazerOHM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MontoyaBAA21, author = {Francisco G. Montoya and Ra{\'{u}}l Ba{\~{n}}os and Alfredo Alcayde and Francisco Manuel Arrabal{-}Campos}, title = {Geometric Algebra for teaching {AC} Circuit Theory}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3473--3487}, year = {2021}, url = {https://doi.org/10.1002/cta.3132}, doi = {10.1002/CTA.3132}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MontoyaBAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MotamarriBB21, author = {Rambabu Motamarri and Nagu Bhookya and B. Chitti Babu}, title = {Modified grey wolf optimization for global maximum power point tracking under partial shading conditions in photovoltaic system}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {1884--1901}, year = {2021}, url = {https://doi.org/10.1002/cta.3018}, doi = {10.1002/CTA.3018}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MotamarriBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MuiYLZZGL21, author = {Tin Wai Mui and Jianxin Yang and Yajun Lin and Yong Zhou and Yanqi Zheng and Jianping Guo and Ka Nang Leung}, title = {A dual-power-path charge pump for solar-energy harvesting}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3894--3907}, year = {2021}, url = {https://doi.org/10.1002/cta.3072}, doi = {10.1002/CTA.3072}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MuiYLZZGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NRJ21, author = {Gautham T. N. and Reddiprasad Reddivari and Debashisha Jena}, title = {A cost-effective single-phase semi flipped gamma type magnetically coupled impedance source inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1078--1102}, year = {2021}, url = {https://doi.org/10.1002/cta.2865}, doi = {10.1002/CTA.2865}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NRJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Nalecz21, author = {Marek Nalecz}, title = {A unified approach to autonomous and nonautonomous models of circuit elements}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {401--414}, year = {2021}, url = {https://doi.org/10.1002/cta.2846}, doi = {10.1002/CTA.2846}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Nalecz21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NannamBB21, author = {Hari Charan Nannam and Atanu Banerjee and Baladhandautham Chitti Babu}, title = {Experimental investigation on the control strategy of split source inverter for grid-connected wind power generation system}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2754--2790}, year = {2021}, url = {https://doi.org/10.1002/cta.3037}, doi = {10.1002/CTA.3037}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/NannamBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Narahara21, author = {Koichi Narahara}, title = {Self-sustained solitary waves in a tunnel diode oscillator lattice and their applications in frequency division}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {505--512}, year = {2021}, url = {https://doi.org/10.1002/cta.2914}, doi = {10.1002/CTA.2914}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Narahara21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NareshP21, author = {S. V. K. Naresh and Sankar Peddapati}, title = {Complementary switching enabled cascaded boost-buck-boost {(BS-BB)} and buck-boost-buck {(BB-BU)} converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2736--2753}, year = {2021}, url = {https://doi.org/10.1002/cta.3034}, doi = {10.1002/CTA.3034}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/NareshP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NazihHE21, author = {Yousef Nazih and Ahmed A. Hossam{-}Eldin and Ahmed A. Elserougi}, title = {A hybrid two-stage modular {DC-DC} converter with zero-voltage switching}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2533--2554}, year = {2021}, url = {https://doi.org/10.1002/cta.3005}, doi = {10.1002/CTA.3005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/NazihHE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NoohiMS21, author = {Mostafa Noohi and Ali Mirvakili and Sayed Alireza Sadrossadat}, title = {Cover Image}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {i}, year = {2021}, url = {https://doi.org/10.1002/cta.3207}, doi = {10.1002/CTA.3207}, timestamp = {Fri, 14 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/NoohiMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/NoohiMS21a, author = {Mostafa Noohi and Ali Mirvakili and Sayed Alireza Sadrossadat}, title = {Modeling and implementation of nonlinear boost converter using local feedback deep recurrent neural network for voltage balancing in energy harvesting applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4231--4247}, year = {2021}, url = {https://doi.org/10.1002/cta.3143}, doi = {10.1002/CTA.3143}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/NoohiMS21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OchsJ21, author = {Karlheinz Ochs and Sebastian Jenderny}, title = {An equivalent electrical circuit for the Hindmarsh-Rose model}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3526--3539}, year = {2021}, url = {https://doi.org/10.1002/cta.3113}, doi = {10.1002/CTA.3113}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/OchsJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OduguNP21, author = {Venkata Krishna Odugu and C. Venkata Narasimhulu and K. Satya Prasad}, title = {An efficient {VLSI} architecture of 2-D finite impulse response filter using enhanced approximate compressor circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3653--3668}, year = {2021}, url = {https://doi.org/10.1002/cta.3114}, doi = {10.1002/CTA.3114}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/OduguNP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OmidiS21, author = {Reza Omidi and Sepehr Sharifzadeh}, title = {Design of low power approximate floating-point adders}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {185--195}, year = {2021}, url = {https://doi.org/10.1002/cta.2831}, doi = {10.1002/CTA.2831}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/OmidiS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/OzenliYK21, author = {Deniz {\"{O}}zenli and Abdullah Yesil and H. Hakan Kuntman}, title = {A current-mode {MOSFET-C} analog filter for the high-frequency band applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {890--908}, year = {2021}, url = {https://doi.org/10.1002/cta.2970}, doi = {10.1002/CTA.2970}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/OzenliYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PalSKI21, author = {Soumitra Pal and Dodla Divya Sri and Wing{-}Hung Ki and Aminul Islam}, title = {Radiation-hardened read-decoupled low-power 12T {SRAM} for space applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3583--3596}, year = {2021}, url = {https://doi.org/10.1002/cta.3093}, doi = {10.1002/CTA.3093}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PalSKI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PalSS21, author = {Somnath Pal and Bhim Singh and Ashish Shrivastava}, title = {Quasi-constant bus voltage CrCM boost {PFC} fed {LLC} resonant converter in high power {LED} lighting systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1583--1598}, year = {2021}, url = {https://doi.org/10.1002/cta.2965}, doi = {10.1002/CTA.2965}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PalSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PalSS21a, author = {Somnath Pal and Bhim Singh and Ashish Shrivastava}, title = {Constant DC-bus critical conduction mode Zeta converter fed primary-side regulated {LLC} resonant converter in {LED} lighting applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4142--4161}, year = {2021}, url = {https://doi.org/10.1002/cta.3096}, doi = {10.1002/CTA.3096}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PalSS21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ParkKK21, author = {Hyunbin Park and Dohyun Kim and Shiho Kim}, title = {{TMA:} Tera-MACs/W neural hardware inference accelerator with a multiplier-less massive parallel processor}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1399--1409}, year = {2021}, url = {https://doi.org/10.1002/cta.2917}, doi = {10.1002/CTA.2917}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ParkKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PathakNJS21, author = {Vikas Pathak and Satyasai Jagannath Nanda and Amit Mahesh Joshi and Sitanshu Sekhar Sahu}, title = {{FPGA} implementation of high-speed tunable {IIR} band pass notch filter for identification of hot-spots in protein}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3748--3765}, year = {2021}, url = {https://doi.org/10.1002/cta.3131}, doi = {10.1002/CTA.3131}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PathakNJS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PatnanaS21, author = {Hema Kumar Patnana and Veeramraju Tirumala Somasekhar}, title = {A cost-effective and fault-tolerant brushless direct current drive with open-stator windings for low power electric vehicles}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2885--2908}, year = {2021}, url = {https://doi.org/10.1002/cta.3048}, doi = {10.1002/CTA.3048}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PatnanaS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PourjafarSSSB21, author = {Saeed Pourjafar and Hossein Shayeghi and Farzad Sedaghati and Seyed{-}Jalal Seyed{-}Shenava and Frede Blaabjerg}, title = {A bidirectional multiport {DC-DC} converter applied for energy storage system with hybrid energy sources}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2453--2478}, year = {2021}, url = {https://doi.org/10.1002/cta.2988}, doi = {10.1002/CTA.2988}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PourjafarSSSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PriyadharshniGK21, author = {M. Priyadharshni and Antra Raj Gupta and Venkatachalam Nithish Kumar and Sundaram Kumaravel}, title = {An error efficient and low complexity approximate multi-bit adder for image processing applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2373--2381}, year = {2021}, url = {https://doi.org/10.1002/cta.3074}, doi = {10.1002/CTA.3074}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/PriyadharshniGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/QiZW21, author = {Lei Qi and Ming Zong and Xuejie Wang}, title = {Eliminating the contact bounce of {AC} contactor based on speed feedback}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {731--745}, year = {2021}, url = {https://doi.org/10.1002/cta.2899}, doi = {10.1002/CTA.2899}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/QiZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/QiaoWYSF21, author = {Jialu Qiao and Shunli Wang and Chunmei Yu and Weihao Shi and Carlos Fernandez}, title = {A novel bias compensation recursive least square-multiple weighted dual extended Kalman filtering method for accurate state-of-charge and state-of-health co-estimation of lithium-ion batteries}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3879--3893}, year = {2021}, url = {https://doi.org/10.1002/cta.3115}, doi = {10.1002/CTA.3115}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/QiaoWYSF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/QureshiQNJ21, author = {Kashif Naseer Qureshi and Sundus Qayyum and Muhammad Najam{-}ul{-}Islam and Gwanggil Jeon}, title = {A secure data parallel processing based embedded system for internet of things computer vision using field programmable gate array devices}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1450--1469}, year = {2021}, url = {https://doi.org/10.1002/cta.2964}, doi = {10.1002/CTA.2964}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/QureshiQNJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RadmaneshSA21, author = {Hamid Radmanesh and Mohammad Reza Soltanpour and Mahmoodreza Eskandarpour Azizkandi}, title = {Cover Image}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {i}, year = {2021}, url = {https://doi.org/10.1002/cta.2982}, doi = {10.1002/CTA.2982}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/RadmaneshSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RadmaneshSA21a, author = {Hamid Radmanesh and Mohammad Reza Soltanpour and Mahmoodreza Eskandarpour Azizkandi}, title = {Design and implementation of an ultra-high voltage {DC-DC} converter based on coupled inductor with continuous input current for clean energy applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {348--379}, year = {2021}, url = {https://doi.org/10.1002/cta.2882}, doi = {10.1002/CTA.2882}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/RadmaneshSA21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RafieeG21, author = {Maliheh Rafiee and Mohammad Bagher Ghaznavi Ghoushchi}, title = {Low-voltage and high-speed stand-alone multiple-input complex gates for error correction coding applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {921--937}, year = {2021}, url = {https://doi.org/10.1002/cta.2927}, doi = {10.1002/CTA.2927}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RafieeG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RajKB21, author = {Ajishek Raj and Pragati Kumar and Data Ram Bhaskar}, title = {Systematic realization of low-frequency third-order sinusoidal oscillators}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3302--3316}, year = {2021}, url = {https://doi.org/10.1002/cta.3099}, doi = {10.1002/CTA.3099}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RajKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Rashidi21, author = {Bahram Rashidi}, title = {Lightweight 8-bit S-box and combined S-box/S-box-1 for cryptographic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2348--2362}, year = {2021}, url = {https://doi.org/10.1002/cta.3041}, doi = {10.1002/CTA.3041}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Rashidi21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RawatM21, author = {Bhawna Rawat and Poornima Mittal}, title = {Single bit line accessed high-performance ultra-low voltage operating 7T static random access memory cell with improved read stability}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1435--1449}, year = {2021}, url = {https://doi.org/10.1002/cta.2960}, doi = {10.1002/CTA.2960}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RawatM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RezaeiA21, author = {Mohammad Hossein Rezaei and Mahdi Akhbari}, title = {An active parallel power decoupling circuit with a dual loop control scheme for micro-inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {3994--4011}, year = {2021}, url = {https://doi.org/10.1002/cta.3088}, doi = {10.1002/CTA.3088}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/RezaeiA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/RiyazNS21, author = {Sadat Riyaz and Syed Farah Naz and Vijay Kumar Sharma}, title = {Multioperative reversible gate design with implementation of 1-bit full adder and subtractor along with energy dissipation analysis}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {990--1012}, year = {2021}, url = {https://doi.org/10.1002/cta.2886}, doi = {10.1002/CTA.2886}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/RiyazNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SK21, author = {Sujitha S and Binsu J. Kailath}, title = {High speed Power efficient Vedic arithmetic modules on Zedboard-Zynq-7000 {FPGA}}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3683--3718}, year = {2021}, url = {https://doi.org/10.1002/cta.3110}, doi = {10.1002/CTA.3110}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SaadatizadehHSL21, author = {Zahra Saadatizadeh and Pedram Chavoshipour Heris and Mehran Sabahi and Xiaodong Liang}, title = {Multi-input multi-phase transformerless large voltage conversion ratio {DC/DC} converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4294--4315}, year = {2021}, url = {https://doi.org/10.1002/cta.3117}, doi = {10.1002/CTA.3117}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SaadatizadehHSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SabahiTK21, author = {Mehran Sabahi and Hadi Tarzamni and Pouya Kolahian}, title = {Operation and design analysis of an interleaved high step-up {DC-DC} converter with improved harnessing of magnetic energy}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {221--243}, year = {2021}, url = {https://doi.org/10.1002/cta.2913}, doi = {10.1002/CTA.2913}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SabahiTK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SadighiAS21, author = {Hossein Ghaffarpour Sadighi and Seyed Ebrahim Afjei and Ahmad Salemnia}, title = {A novel soft-switched interleaved high step-up {DC-DC} converter for high-efficiency conversion}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2515--2532}, year = {2021}, url = {https://doi.org/10.1002/cta.3007}, doi = {10.1002/CTA.3007}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SadighiAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SalvadorALC21, author = {Marcos Antonio Salvador and Jessika Melo de Andrade and Telles B. Lazzarin and Roberto Francisco Coelho}, title = {Methodology for synthesis of high-gain step-up {DC-DC} converters based on differential connections}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {306--326}, year = {2021}, url = {https://doi.org/10.1002/cta.2892}, doi = {10.1002/CTA.2892}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SalvadorALC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SanaeepurM21, author = {Majid Sanaeepur and Ali Mahmoudi}, title = {A comprehensive comparative study of the performance of carbon- and copper-based interconnects in ultra-large-scale integrated circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3394--3407}, year = {2021}, url = {https://doi.org/10.1002/cta.3049}, doi = {10.1002/CTA.3049}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SanaeepurM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SaxenaK21, author = {Anmol Ratna Saxena and Deepak Kumar}, title = {Design and control of a reconfigurable high-gain battery integrated dc-dc boost converter for time-varying loads}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {327--347}, year = {2021}, url = {https://doi.org/10.1002/cta.2888}, doi = {10.1002/CTA.2888}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SaxenaK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SaxenaK21a, author = {Anmol Ratna Saxena and Ashima Kulshreshtha}, title = {A fourth-order bidirectional {DC-DC} converter for interfacing battery in a solar -photovoltaic-fed low-voltage residential {DC} nano-grid: Design and analysis}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {1932--1958}, year = {2021}, url = {https://doi.org/10.1002/cta.3016}, doi = {10.1002/CTA.3016}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SaxenaK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SengulC21, author = {Metin Seng{\"{u}}l and G{\"{o}}khan {\c{C}}akmak}, title = {Component value calculations in a mixed element ladder network containing series capacitors separated by unit elements}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3368--3377}, year = {2021}, url = {https://doi.org/10.1002/cta.3076}, doi = {10.1002/CTA.3076}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SengulC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SerbKP21, author = {Alexander Serb and Ali Khiat and Themis Prodromakis}, title = {Practical demonstration of a {RRAM} memory fuse}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2363--2372}, year = {2021}, url = {https://doi.org/10.1002/cta.3010}, doi = {10.1002/CTA.3010}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SerbKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SerraSP21, author = {Hugo Serra and Rui Santos{-}Tavares and Nuno Paulino}, title = {Transistor-level optimization methodology for the complete design of switched-capacitor filter circuits}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {94--113}, year = {2021}, url = {https://doi.org/10.1002/cta.2891}, doi = {10.1002/CTA.2891}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SerraSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SharmaBBB21, author = {Ashima Sharma and Pydi Ganga Bahubalindruni and Manisha Bharti and Pedro Barquinha}, title = {On-chip power supply generation for self-contained electronics using oxide thin-film transistors}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2112--2121}, year = {2021}, url = {https://doi.org/10.1002/cta.3036}, doi = {10.1002/CTA.3036}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SharmaBBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShawHKS21, author = {Priyabrata Shaw and Saad Ul Hasan and Md. Noman Habib Khan and Yam Prasad Siwakoti}, title = {A new single-stage continuous input current-based high gain boost inverter: Analysis and implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1659--1677}, year = {2021}, url = {https://doi.org/10.1002/cta.2931}, doi = {10.1002/CTA.2931}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ShawHKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShayeghiPHB21, author = {Hossein Shayeghi and Saeed Pourjafar and Seyed Majid Hashemzadeh and Frede Blaabjerg}, title = {A high efficiency soft-switched {DC-DC} converter with high voltage conversion ratio}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {244--266}, year = {2021}, url = {https://doi.org/10.1002/cta.2930}, doi = {10.1002/CTA.2930}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ShayeghiPHB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ShrutiPVB21, author = {P. Shruti and Y. G. Praveen and C. P. Vipin and Baladhandautham Chitti Babu}, title = {Analytical tuning of 2-DOF smith predictor control scheme for {DC-DC} boost converter: {A} process control perspective}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {641--655}, year = {2021}, url = {https://doi.org/10.1002/cta.2966}, doi = {10.1002/CTA.2966}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ShrutiPVB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Shuai21, author = {Chun{-}jiang Shuai}, title = {Process: Failure mode and effect analysis of power module on network base station}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2630--2642}, year = {2021}, url = {https://doi.org/10.1002/cta.2884}, doi = {10.1002/CTA.2884}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Shuai21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SiddiqueISM21, author = {Marif Daula Siddique and Atif Iqbal and Adil Sarwar and Saad Mekhilef}, title = {Analysis and implementation of a new asymmetric double H-bridge multilevel inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4012--4026}, year = {2021}, url = {https://doi.org/10.1002/cta.3091}, doi = {10.1002/CTA.3091}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SiddiqueISM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SilvaMGS21, author = {Anselmo Luis da Silva and Luiz Alberto Pasini Melek and Carlos Galup{-}Montoro and M{\'{a}}rcio Cherem Schneider}, title = {Inadequacy of the classical formulation of the {CMOS} Schmitt trigger}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1261--1273}, year = {2021}, url = {https://doi.org/10.1002/cta.2992}, doi = {10.1002/CTA.2992}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SilvaMGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SimopoulosASK21, author = {Theodoros Simopoulos and George Ph. Alexiou and Lazaros Spyridopoulos and Nikos Konofaos}, title = {Simultaneous accessing of multiple {SRAM} subregions forming configurable and automatically generated memory fields}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2238--2254}, year = {2021}, url = {https://doi.org/10.1002/cta.3024}, doi = {10.1002/CTA.3024}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SimopoulosASK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SinghM21, author = {Sukwinder Singh and Jagannath Malik}, title = {Review of efficiency enhancement techniques and linearization techniques for power amplifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {762--777}, year = {2021}, url = {https://doi.org/10.1002/cta.2956}, doi = {10.1002/CTA.2956}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SinghM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SinghalH21, author = {Naina Singhal and S. M. Rezaul Hasan}, title = {Review and comparison of different limited scan phased array antenna architectures}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3111--3130}, year = {2021}, url = {https://doi.org/10.1002/cta.3118}, doi = {10.1002/CTA.3118}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SinghalH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SoleymaniAM21, author = {Farzaneh Soleymani and Parviz Amiri and Mohammad Hossein Maghami}, title = {A 0.3-5 GHz, low-power, area-efficient, high dynamic range variable gain low-noise amplifier based on tunable active floating inductor technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3230--3247}, year = {2021}, url = {https://doi.org/10.1002/cta.3056}, doi = {10.1002/CTA.3056}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SoleymaniAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SolisBGPHR21, author = {Fredy Solis and {\'{A}}lvaro Fernandez Bocco and Agustin C. Galetto and Leandro Passetti and Mario R. Hueda and Benjam{\'{\i}}n T. Reyes}, title = {A 4GS/s 8-bit time-interleaved {SAR} {ADC} with an energy-efficient architecture in 130 nm {CMOS}}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3171--3185}, year = {2021}, url = {https://doi.org/10.1002/cta.3029}, doi = {10.1002/CTA.3029}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SolisBGPHR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SrivastavaDS21, author = {Komal Srivastava and Ajay Kumar Dwivedi and Anand Sharma}, title = {Circularly polarized dielectric resonator-based multiple input multiple output antenna with pattern and polarization diversity for vehicular applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3421--3433}, year = {2021}, url = {https://doi.org/10.1002/cta.3078}, doi = {10.1002/CTA.3078}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SrivastavaDS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SubhasriJHBS21, author = {Chitlu Subhasri and Bhaskara Rao Jammu and L. Guna Sekhar Sai Harsha and Nalini Bodasingi and Visweswara Rao Samoju}, title = {Hardware-efficient approximate logarithmic division with improved accuracy}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {128--141}, year = {2021}, url = {https://doi.org/10.1002/cta.2900}, doi = {10.1002/CTA.2900}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SubhasriJHBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SuleimanEO21, author = {Mohammad Suleiman and Amr Elnady and Ahmed H. Osman}, title = {Experimental verification for improved phase-disposition {PWM} of three-phase five-level diode-clamped inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1828--1848}, year = {2021}, url = {https://doi.org/10.1002/cta.2963}, doi = {10.1002/CTA.2963}, timestamp = {Fri, 25 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/SuleimanEO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TahirADMBD21, author = {Khalfallah Tahir and Tayeb Allaoui and Mouloud Denai and Saad Mekhilef and Cheikh Belfedal and M'hamed Doumi}, title = {Second-order sliding mode control of wind turbines to enhance the fault-ride through capability under unbalanced grid faults}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {1959--1986}, year = {2021}, url = {https://doi.org/10.1002/cta.3023}, doi = {10.1002/CTA.3023}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TahirADMBD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TangQSYZ21, author = {Juntao Tang and Zhidong Qi and Liang Shan and Weiqin Ye and Caohui Zhao}, title = {An innovative interleaved high step-up direct current-direct current converter with switched-inductor/capacitor-diode units for hydrogen fuel cell power system}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {3007--3030}, year = {2021}, url = {https://doi.org/10.1002/cta.3082}, doi = {10.1002/CTA.3082}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TangQSYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TelliniBCM21, author = {Bernardo Tellini and Mauro Bologna and Kristopher J. Chandia and Massimo Macucci}, title = {Revisiting the memristor concept within basic circuit theory}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3488--3506}, year = {2021}, url = {https://doi.org/10.1002/cta.3111}, doi = {10.1002/CTA.3111}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/TelliniBCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TeymooriDA21, author = {Mir Majid Teymoori and Massoud Dousti and Saeid Afrang}, title = {Ka-band distributed microelectromechanical systems transmission line phase shifter using metal air metal switch}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1358--1377}, year = {2021}, url = {https://doi.org/10.1002/cta.2949}, doi = {10.1002/CTA.2949}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TeymooriDA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TianZLXFY21, author = {Qingxin Tian and Guohua Zhou and Minrui Leng and Guodong Xu and Xianyan Fan and Tiesheng Yan}, title = {Analysis, control, and modeling of the three-port converter without port voltage constraint for photovoltaic/battery system application}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2791--2811}, year = {2021}, url = {https://doi.org/10.1002/cta.3043}, doi = {10.1002/CTA.3043}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/TianZLXFY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TiwariNK21, author = {Richa Tiwari and Deepak Nagaria and Rajesh Kumar}, title = {Smart biological sensor network for efficient energy consumption}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2138--2146}, year = {2021}, url = {https://doi.org/10.1002/cta.2987}, doi = {10.1002/CTA.2987}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TiwariNK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TorresRG21, author = {Rolando Torres and Elkim Roa and Luis E. Rueda G.}, title = {On the design of a reliable current reference for systems-on-chip}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2032--2046}, year = {2021}, url = {https://doi.org/10.1002/cta.2955}, doi = {10.1002/CTA.2955}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TorresRG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TouzaniMEA21, author = {Hajar Touzani and Anass Mansouri and Fatima Errahimi and Ali Ahaitouf}, title = {Co-design implementation of High Efficiency Video Coding standard encoder on Zynq MPSoC}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1013--1027}, year = {2021}, url = {https://doi.org/10.1002/cta.2952}, doi = {10.1002/CTA.2952}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TouzaniMEA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/TripathiTLK21, author = {Prabhat Ranjan Tripathi and P. R. Thakura and Vijay Laxmi and Ritesh Kumar Keshri}, title = {Stand-alone {PV} water pumping system based on high-gain resonant inverter fed induction motor serving two-head for permanent water supply}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {8}, pages = {2494--2514}, year = {2021}, url = {https://doi.org/10.1002/cta.2983}, doi = {10.1002/CTA.2983}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/TripathiTLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Umaz21, author = {Ridvan Umaz}, title = {{SIDO:} {A} single-input double-output energy harvesting architecture operating in burst mode with 74.87{\%} peak end-to-end efficiency}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1599--1612}, year = {2021}, url = {https://doi.org/10.1002/cta.2969}, doi = {10.1002/CTA.2969}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Umaz21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VarshneyPP21, author = {Garima Varshney and Neeta Pandey and Rajeshwari Pandey}, title = {Generalization of shadow filters in fractional domain}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3248--3265}, year = {2021}, url = {https://doi.org/10.1002/cta.3054}, doi = {10.1002/CTA.3054}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/VarshneyPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VazT21, author = {Alexandre Rodrigues Vaz and Fernando Lessa Tofoli}, title = {In-depth analysis of an {RCD} snubber applied to a {DC-DC} boost converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {283--305}, year = {2021}, url = {https://doi.org/10.1002/cta.2911}, doi = {10.1002/CTA.2911}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/VazT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VeeramsettyCS21, author = {Venkataramana Veeramsetty and D. Rakesh Chandra and Surender Reddy Salkuti}, title = {Short-term electric power load forecasting using factor analysis and long short-term memory for smart cities}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1678--1703}, year = {2021}, url = {https://doi.org/10.1002/cta.2928}, doi = {10.1002/CTA.2928}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/VeeramsettyCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VelliangiriRPS21, author = {Suresh Velliangiri and Senthilkumar Ramasamy and Prem Ponnusamy and M. Jagabar Sathik}, title = {Design of nine step switched capacitor multilevel inverter and its cascaded extension}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1182--1201}, year = {2021}, url = {https://doi.org/10.1002/cta.2926}, doi = {10.1002/CTA.2926}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/VelliangiriRPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VermaS21, author = {Ramesh Kumar Verma and Dinesh Kumar Srivastava}, title = {Optimization and parametric analysis of slotted microstrip antenna using particle swarm optimization and curve fitting}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {1868--1883}, year = {2021}, url = {https://doi.org/10.1002/cta.2957}, doi = {10.1002/CTA.2957}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/VermaS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/VigneshGSK21, author = {R. Vignesh and Pradeep Gorre and Hanjung Song and Sandeep Kumar}, title = {Highly robust X-band quasi circulator-integrated low-noise amplifier for high survivability of radio frequency front-end systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2170--2182}, year = {2021}, url = {https://doi.org/10.1002/cta.3001}, doi = {10.1002/CTA.3001}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/VigneshGSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangLWLZ21, author = {Zeyuan Wang and Zhenrong Li and Yuxin Wang and Zhen Li and Yiqi Zhuang}, title = {Design of high-isolation and low-loss single pole double throw switch based on the triple-coupled transformer for ultra-wideband phased array systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {10}, pages = {3157--3170}, year = {2021}, url = {https://doi.org/10.1002/cta.3107}, doi = {10.1002/CTA.3107}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WangLWLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangSPMP21, author = {Jiaqi Wang and Alexantrou Serb and Christos Papavassiliou and Sachin Maheshwari and Themis Prodromakis}, title = {Analysing and measuring the performance of memristive integrating amplifiers}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3507--3525}, year = {2021}, url = {https://doi.org/10.1002/cta.3101}, doi = {10.1002/CTA.3101}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WangSPMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangWZSY21, author = {Meng Wang and Haoran Wang and Yiming Zhang and Yanyan Shi and Lan Yang}, title = {Operating characteristics of four-coil magnetic resonant coupling wireless power transfer under different resonant states}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {415--429}, year = {2021}, url = {https://doi.org/10.1002/cta.2850}, doi = {10.1002/CTA.2850}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/WangWZSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangZZWZZ21, author = {Honghui Wang and Tianxiang Zhuo and Pan Zhong and Chaoyu Wei and Dingkang Zou and Yunshun Zhong}, title = {A novel wireless underground transceiver for landslide internal parameter monitoring based on magnetic induction}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1549--1558}, year = {2021}, url = {https://doi.org/10.1002/cta.2975}, doi = {10.1002/CTA.2975}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangZZWZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WeiLWZCYH21, author = {Xianglin Wei and Yushan Liu and Han Wang and Wanhong Zhang and Wei Chen and Weiman Yang and Surong Huang}, title = {A 24-hour operational single-phase quasi-Z-source photovoltaic power system}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {704--730}, year = {2021}, url = {https://doi.org/10.1002/cta.2947}, doi = {10.1002/CTA.2947}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WeiLWZCYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WuMC21, author = {Jianpeng Wu and Yao Men and Desheng Chen}, title = {Lightweight network and parallel computing for fast pedestrian detection}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1040--1049}, year = {2021}, url = {https://doi.org/10.1002/cta.2903}, doi = {10.1002/CTA.2903}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WuMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/X21, title = {{CORRIGENDUM}}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {533}, year = {2021}, url = {https://doi.org/10.1002/cta.2912}, doi = {10.1002/CTA.2912}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/X21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/XieS21, author = {Qixu Xie and Guoyong Shi}, title = {An analytical gm/ID-based harmonic distortion prediction method for multistage operational amplifiers}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2047--2073}, year = {2021}, url = {https://doi.org/10.1002/cta.3012}, doi = {10.1002/CTA.3012}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/XieS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/XiongSJLC21, author = {Botao Xiong and Yuanfeng Sui and Zhi Jia and Sicun Li and Yuchun Chang}, title = {Utilize the shift-and-add architecture to approximate multiple nonlinear functions}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2290--2297}, year = {2021}, url = {https://doi.org/10.1002/cta.2994}, doi = {10.1002/CTA.2994}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/XiongSJLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Yalcin21, author = {Faruk Yalcin}, title = {A novel three-phase buck-boost inverter controlled by an open-loop assisted closed-loop hybrid control method}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {656--682}, year = {2021}, url = {https://doi.org/10.1002/cta.2925}, doi = {10.1002/CTA.2925}, timestamp = {Thu, 08 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Yalcin21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YanRWWWLXZ21, author = {Bo Yan and Tianhao Ren and Chenkai Wu and Haomiao Wei and Li Wang and Yu Li and Ruimin Xu and Yong Zhang}, title = {A 140 GHz high efficiency frequency doubler based on a physical-based {SDD} model of {SBD}}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1378--1389}, year = {2021}, url = {https://doi.org/10.1002/cta.2967}, doi = {10.1002/CTA.2967}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YanRWWWLXZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangJXC21, author = {Jingjing Yang and Yanwei Jiang and Zhezhuang Xu and Wei Chen}, title = {Charging scheduling strategy for linear wireless power transfer network with external power injection}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3826--3844}, year = {2021}, url = {https://doi.org/10.1002/cta.3069}, doi = {10.1002/CTA.3069}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YangJXC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YangLWCL21, author = {Siyuan Yang and Songyi Li and Jiayan Wu and Yong Chen and Zhenyu Liu}, title = {An accelerated architecture of change-point detection for {FMCW} radar mutual interference based on {FPGA}}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3719--3732}, year = {2021}, url = {https://doi.org/10.1002/cta.3127}, doi = {10.1002/CTA.3127}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/YangLWCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Yao21, author = {Zhilei Yao}, title = {Grid-connected buck-boost inverter without shoot-through issue and with reduced voltage stress}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {6}, pages = {1795--1810}, year = {2021}, url = {https://doi.org/10.1002/cta.2999}, doi = {10.1002/CTA.2999}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Yao21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YildirimBSKG21, author = {{\"{O}}mer Yildirim and Ibrahim Bozyel and Kubra Saka and Temel Kayik{\c{c}}ioglu and Din{\c{c}}er G{\"{o}}kcen}, title = {Polydimethylsiloxane-based capacitive motion sensor and its read-out circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2147--2157}, year = {2021}, url = {https://doi.org/10.1002/cta.3009}, doi = {10.1002/CTA.3009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YildirimBSKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YousufA21, author = {Viqar Yousuf and Aijaz Ahmad}, title = {Optimal design and application of fuzzy logic equipped control in {STATCOM} to abate {SSR} oscillations}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4070--4087}, year = {2021}, url = {https://doi.org/10.1002/cta.3092}, doi = {10.1002/CTA.3092}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/YousufA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YuWSWLG21, author = {Zhiguo Yu and Tao Wang and Xinyu Song and Tian Wang and Kangsheng Liu and Xiaofeng Gu}, title = {An energy-efficient switching scheme based on distributing most significant bit capacitors for successive approximation register analog-to-digital converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {820--829}, year = {2021}, url = {https://doi.org/10.1002/cta.2941}, doi = {10.1002/CTA.2941}, timestamp = {Thu, 08 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/YuWSWLG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/YuXZSS21, author = {Limin Yu and Shen Xu and Huaxin Zhang and Longxing Shi and Weifeng Sun}, title = {Design and implementation of a hybrid {DPWM} under 50 ps resolution based on general-purpose {FPGA}}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {1}, pages = {114--127}, year = {2021}, url = {https://doi.org/10.1002/cta.2898}, doi = {10.1002/CTA.2898}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/YuXZSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangCYA21, author = {Guidong Zhang and Weichen Chen and Samson S. Yu and Abdelali El Aroudi}, title = {Hourglass-shaped impedance network based nonelectrolytic capacitors high step-up converter with low voltage stress}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1147--1163}, year = {2021}, url = {https://doi.org/10.1002/cta.2904}, doi = {10.1002/CTA.2904}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangCYA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangCYZ21, author = {Guidong Zhang and Weichen Chen and Samson S. Yu and Yun Zhang}, title = {Non-electrolytic-capacitor boost converter with non-pulsating ripple-free output current}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2719--2735}, year = {2021}, url = {https://doi.org/10.1002/cta.3033}, doi = {10.1002/CTA.3033}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangCYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangH21, author = {Yumeng Zhang and S. M. Rezaul Hasan}, title = {On the gm-boosted common source with source degeneration and its configuration as a transimpedance amplifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {5}, pages = {1390--1398}, year = {2021}, url = {https://doi.org/10.1002/cta.2972}, doi = {10.1002/CTA.2972}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangJLLL21, author = {Hao Zhang and Min Jing and Wei Liu and Wei Liu and Fang Liu}, title = {Design-oriented analysis of cross-regulation in single-inductor dual-output Buck-boost {DC-DC} converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {3966--3993}, year = {2021}, url = {https://doi.org/10.1002/cta.3083}, doi = {10.1002/CTA.3083}, timestamp = {Fri, 11 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangJLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangLDMC21, author = {Hao Zhang and Wei Liu and Honghui Ding and Yongpeng Meng and Donggang Cui}, title = {Modeling and stability analysis of dynamic oscillation behaviors in double-input Buck/Buck-Boost {DC-DC} converters using frequency selective approach}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {2}, pages = {380--400}, year = {2021}, url = {https://doi.org/10.1002/cta.2873}, doi = {10.1002/CTA.2873}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangLDMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangXWXC21, author = {Zhang Zhang and Shu Xu and Hongyuan Wu and Guangjun Xie and Xin Cheng}, title = {An output capacitor-less low-dropout regulator with wide load capacitance and current ranges}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {853--863}, year = {2021}, url = {https://doi.org/10.1002/cta.2945}, doi = {10.1002/CTA.2945}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangXWXC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangYW21, author = {Jipeng Zhang and Yan Yang and Dongqing Wang}, title = {Dynamic analysis and chaos control of the switched-inductor boost converter with the memristive load}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2007--2020}, year = {2021}, url = {https://doi.org/10.1002/cta.3022}, doi = {10.1002/CTA.3022}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangZS21, author = {Yuzhen Zhang and Wenshan Zhao and Yichuang Sun}, title = {Design of programmable Gaussian-derived wavelet filter for wearable biomedical sensor}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {7}, pages = {2122--2137}, year = {2021}, url = {https://doi.org/10.1002/cta.3032}, doi = {10.1002/CTA.3032}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhaoZWLSCXZLL21, author = {Jing Zhao and Kun Zhang and Zihao Wang and Fengkai Liu and Guanhua Sun and Jinling Chou and Min Xu and Xi Zhang and Xiangdong Liu and Zhen Li}, title = {Limited sliding network: Fine-grained target detection on electrical infrastructure for power transmission line surveillance}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {1212--1224}, year = {2021}, url = {https://doi.org/10.1002/cta.2906}, doi = {10.1002/CTA.2906}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ZhaoZWLSCXZLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhongH21, author = {Liping Zhong and Song Hu}, title = {A hybrid discontinuous {PWM} algorithm of balancing neutral point voltage for 3L-NPC inverter}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {12}, pages = {4120--4141}, year = {2021}, url = {https://doi.org/10.1002/cta.3079}, doi = {10.1002/CTA.3079}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhongH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhuXZ21, author = {Chunsong Zhu and Guangjun Xie and Yongqiang Zhang}, title = {Design and implementation of programmable logic array using crossbar structure in quantum-dot cellular automata}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {11}, pages = {3669--3682}, year = {2021}, url = {https://doi.org/10.1002/cta.3125}, doi = {10.1002/CTA.3125}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhuXZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Abdalla20, author = {Yasser S. Abdalla}, title = {A novel flash-like all-metal-oxide semiconductor analog-to-digital converter suitable for system on chips systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {11}, pages = {1960--1974}, year = {2020}, url = {https://doi.org/10.1002/cta.2866}, doi = {10.1002/CTA.2866}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Abdalla20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AbdoliS20, author = {Behrooz Abdoli and Saeed Safari}, title = {A reconfigurable real-time neuromorphic hardware for spiking winner-take-all network}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {12}, pages = {2141--2152}, year = {2020}, url = {https://doi.org/10.1002/cta.2877}, doi = {10.1002/CTA.2877}, timestamp = {Mon, 27 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AbdoliS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AdiyamanK20, author = {Muhammed Yasin Adiyaman and Tufan Coskun Karalar}, title = {Time-interleaved {SAR} {ADC} design with background calibration}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {3}, pages = {321--334}, year = {2020}, url = {https://doi.org/10.1002/cta.2734}, doi = {10.1002/CTA.2734}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AdiyamanK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AfzalianND20, author = {Amard Afzalian and Hossein Miar Naimi and Massoud Dousti}, title = {Analysis of power-frequency trade-offs in millimeter-wave {CMOS} oscillators}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {1}, pages = {84--102}, year = {2020}, url = {https://doi.org/10.1002/cta.2693}, doi = {10.1002/CTA.2693}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AfzalianND20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AminSJNHMKMKWJ20, author = {Syed Usman Amin and Muhammad Aaquib Shahbaz and Syed Arsalan Jawed and Muhammad Naveed and Ayesha Hassan and Asma Mahar and Fahd Khan and Noman Masood and Danish Kaleem and Zain Hussain Warsi and Muhammad Junaid}, title = {A wirelessly powered low-power digital temperature sensor}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {4}, pages = {485--501}, year = {2020}, url = {https://doi.org/10.1002/cta.2739}, doi = {10.1002/CTA.2739}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AminSJNHMKMKWJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AminzadehVG20, author = {Hamed Aminzadeh and Mohammad Mahdi Valinezhad and Alfio Dario Grasso}, title = {Global impedance attenuation network for multistage OTAs driving a broad range of load capacitor}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {2}, pages = {181--197}, year = {2020}, url = {https://doi.org/10.1002/cta.2723}, doi = {10.1002/CTA.2723}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AminzadehVG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AsgariMS20, author = {Hajar Asgari and Babak Mazloom{-}Nezhad Maybodi and Yulia Sandamirskaya}, title = {Digital multiplier-less implementation of high-precision {SDSP} and synaptic strength-based {STDP}}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {5}, pages = {724--738}, year = {2020}, url = {https://doi.org/10.1002/cta.2753}, doi = {10.1002/CTA.2753}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AsgariMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AtitallahKAA20, author = {Ahmed Ben Atitallah and Manel Kammoun and Karim M. A. Ali and Rabie Ben Atitallah}, title = {An {FPGA} comparative study of high-level and low-level combined designs for {HEVC} intra, inverse quantization, and {IDCT/IDST} 2D modules}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {8}, pages = {1274--1290}, year = {2020}, url = {https://doi.org/10.1002/cta.2790}, doi = {10.1002/CTA.2790}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/AtitallahKAA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AziziAN20, author = {Hengameh Azizi and Soolmaz Abbasalizadeh and Hossein Miar Naimi}, title = {Phase noise analysis of source injection coupled quadrature oscillator}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {5}, pages = {639--657}, year = {2020}, url = {https://doi.org/10.1002/cta.2763}, doi = {10.1002/CTA.2763}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AziziAN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BalloGP20, author = {Andrea Ballo and Alfio Dario Grasso and Gaetano Palumbo}, title = {A simple and effective design strategy to increase power conversion efficiency of linear charge pumps}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {2}, pages = {157--161}, year = {2020}, url = {https://doi.org/10.1002/cta.2704}, doi = {10.1002/CTA.2704}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BalloGP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BalloGP20a, author = {Andrea Ballo and Alfio Dario Grasso and Gaetano Palumbo}, title = {Current-mode body-biased switch to increase performance of linear charge pumps}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {11}, pages = {1864--1872}, year = {2020}, url = {https://doi.org/10.1002/cta.2851}, doi = {10.1002/CTA.2851}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/BalloGP20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BalloGPT20, author = {Andrea Ballo and Alfio Dario Grasso and Gaetano Palumbo and Toru Tanzawa}, title = {Linear distribution of capacitance in Dickson charge pumps to reduce rise time}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {4}, pages = {555--566}, year = {2020}, url = {https://doi.org/10.1002/cta.2761}, doi = {10.1002/CTA.2761}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BalloGPT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/BarrajBFM20, author = {Imen Barraj and Mohamed A. Bahloul and Mohamed E. Fouda and Mohamed Slim Masmoudi}, title = {Compact memristor-based ultra-wide band chirp pulse generator}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {2}, pages = {286--293}, year = {2020}, url = {https://doi.org/10.1002/cta.2717}, doi = {10.1002/CTA.2717}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/BarrajBFM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CellucciCSMPST20, author = {Danilo Cellucci and Francesco Centurelli and Valerio Di Stefano and Pietro Monsurr{\`{o}} and Salvatore Pennisi and Giuseppe Scotti and Alessandro Trifiletti}, title = {0.6-V {CMOS} cascode {OTA} with complementary gate-driven gain-boosting and forward body bias}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {1}, pages = {15--27}, year = {2020}, url = {https://doi.org/10.1002/cta.2703}, doi = {10.1002/CTA.2703}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CellucciCSMPST20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CenturelliMSBT20, author = {Francesco Centurelli and Pietro Monsurr{\`{o}} and Vincenzo Stornelli and Gianluca Barile and Alessandro Trifiletti}, title = {Low-power class-AB 4th-order low-pass filter based on current conveyors with dynamic mismatch compensation of biasing errors}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {4}, pages = {472--484}, year = {2020}, url = {https://doi.org/10.1002/cta.2762}, doi = {10.1002/CTA.2762}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CenturelliMSBT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CenturelliMSTT20, author = {Francesco Centurelli and Pietro Monsurr{\`{o}} and Giuseppe Scotti and Pasquale Tommasino and Alessandro Trifiletti}, title = {An improved reversed miller compensation technique for three-stage {CMOS} OTAs with double pole-zero cancellation and almost single-pole frequency response}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {11}, pages = {1990--2005}, year = {2020}, url = {https://doi.org/10.1002/cta.2827}, doi = {10.1002/CTA.2827}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/CenturelliMSTT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChangL20, author = {Ming{-}Chiu Chang and Wei{-}Cheng Lin}, title = {Flexible fingerprint sensor driver {IC} and bendable evaluation}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {12}, pages = {2190--2201}, year = {2020}, url = {https://doi.org/10.1002/cta.2874}, doi = {10.1002/CTA.2874}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChangL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChierchieMSSPHC20, author = {Fernando Chierchie and Guillermo Fernandez Moroni and Pedro Querejeta Simbeni and Leandro Stefanazzi and Eduardo E. Paolini and Miguel Sofo Haro and Gustavo Cancelo and Juan Estrada}, title = {Detailed modeling of the video signal and optimal readout of charge-coupled devices}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {7}, pages = {1001--1016}, year = {2020}, url = {https://doi.org/10.1002/cta.2784}, doi = {10.1002/CTA.2784}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ChierchieMSSPHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/CuevasGR20, author = {Nestor Cuevas and H{\'{e}}ctor G{\'{o}}mez and Elkim Roa}, title = {A single gate oxide level shifter for denser digital domain integration in multiple-supply-voltage applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {9}, pages = {1395--1410}, year = {2020}, url = {https://doi.org/10.1002/cta.2826}, doi = {10.1002/CTA.2826}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/CuevasGR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DasP20, author = {Kaushik Das and Sambhu Nath Pradhan}, title = {An efficient hardware realization of {EMD} for real-time signal processing applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {12}, pages = {2202--2218}, year = {2020}, url = {https://doi.org/10.1002/cta.2860}, doi = {10.1002/CTA.2860}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DasP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DeatonGYM20, author = {Russell J. Deaton and Max H. Garzon and Rojoba Yasmin and Tyler Moore}, title = {A model for self-assembling circuits with voltage-controlled growth}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {7}, pages = {1017--1031}, year = {2020}, url = {https://doi.org/10.1002/cta.2806}, doi = {10.1002/CTA.2806}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DeatonGYM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/DellahiMBAM20, author = {Mostafa Dellahi and Hattab Maker and Guillermo Botella and Enrique Alameda{-}Hernandez and Azeddine Mouhsen}, title = {Three-phase four-wire shunt active power filter based on the {SOGI} filter and Lyapunov function for {DC} bus control}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {6}, pages = {887--905}, year = {2020}, url = {https://doi.org/10.1002/cta.2778}, doi = {10.1002/CTA.2778}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/DellahiMBAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ElamienMBE20, author = {Mohamed B. Elamien and Brent J. Maundy and Leonid Belostotski and Ahmed S. Elwakil}, title = {Wideband third-order single-transistor all-pass filter}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {7}, pages = {1201--1208}, year = {2020}, url = {https://doi.org/10.1002/cta.2786}, doi = {10.1002/CTA.2786}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ElamienMBE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FanLF20, author = {Hua Fan and Peng Lei and Quanyuan Feng}, title = {Four innovative calibration algorithms for capacitive mismatch in 18-bit redundant split {SAR} {ADC}}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {11}, pages = {1942--1959}, year = {2020}, url = {https://doi.org/10.1002/cta.2862}, doi = {10.1002/CTA.2862}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FanLF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FangW20, author = {Shucheng Fang and Xiaogang Wang}, title = {Modeling and analysis method of fractional-order buck-boost converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {9}, pages = {1493--1510}, year = {2020}, url = {https://doi.org/10.1002/cta.2840}, doi = {10.1002/CTA.2840}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FangW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Fekih-Ahmed20, author = {Lazhar Fekih{-}Ahmed}, title = {Simplified treatment of the transconductance linearization problem employing any number of coupled differential pairs}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {7}, pages = {1124--1139}, year = {2020}, url = {https://doi.org/10.1002/cta.2783}, doi = {10.1002/CTA.2783}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Fekih-Ahmed20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FerreiraAFSB20, author = {Jo{\~{a}}o Alberto de Fran{\c{c}}a Ferreira and Emilie Avignon{-}Meseldzija and Pietro Maris Ferreira and Julien Sarrazin and Philippe B{\'{e}}nab{\`{e}}s}, title = {Design of integrated all-pass filters with linear group delay for analog signal processing applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {5}, pages = {658--673}, year = {2020}, url = {https://doi.org/10.1002/cta.2750}, doi = {10.1002/CTA.2750}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FerreiraAFSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/FilaretovG20, author = {Vladimir F. Filaretov and Konstantin Gorshkov}, title = {Efficient generation of compact symbolic network functions in a nested rational form}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {7}, pages = {1032--1056}, year = {2020}, url = {https://doi.org/10.1002/cta.2789}, doi = {10.1002/CTA.2789}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/FilaretovG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GR20, author = {G. Hanumanta Rao and S. Rekha}, title = {An area-efficient, large time-constant log-domain filter for low-frequency applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {2}, pages = {170--180}, year = {2020}, url = {https://doi.org/10.1002/cta.2726}, doi = {10.1002/CTA.2726}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GaodingB20, author = {Ningcheng Gaoding and Jean{-}Fran{\c{c}}ois Bousquet}, title = {Design of a {CMOS} 65-nm inductor-less {VCO} for {ISM} applications in the {VHF} band}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {3}, pages = {309--320}, year = {2020}, url = {https://doi.org/10.1002/cta.2730}, doi = {10.1002/CTA.2730}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GaodingB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GargGP20, author = {Sandeep Garg and Tarun Kumar Gupta and Amit Kumar Pandey}, title = {A 1-bit full adder using {CNFET} based dual chirality high speed domino logic}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {1}, pages = {115--133}, year = {2020}, url = {https://doi.org/10.1002/cta.2714}, doi = {10.1002/CTA.2714}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GargGP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Ghaderi20, author = {Davood Ghaderi}, title = {An FPGA-based switching photovoltaic-connected inverter topology for leakage current suppression in grid-connected utilizations}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {10}, pages = {1724--1743}, year = {2020}, url = {https://doi.org/10.1002/cta.2844}, doi = {10.1002/CTA.2844}, timestamp = {Sat, 07 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Ghaderi20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhimirayMD20, author = {Sapna Rani Ghimiray and Preetisudha Meher and Pranab Kishore Dutta}, title = {An improved charge-sharing elimination pseudo-domino logic}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {8}, pages = {1346--1362}, year = {2020}, url = {https://doi.org/10.1002/cta.2798}, doi = {10.1002/CTA.2798}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GhimirayMD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GhodsiB20, author = {Masoud Ghodsi and S. Masoud Barakati}, title = {New generalized topologies of asymmetric modular multilevel inverter based on six-switch H-bridge}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {5}, pages = {789--808}, year = {2020}, url = {https://doi.org/10.1002/cta.2752}, doi = {10.1002/CTA.2752}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GhodsiB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GoncuY20, author = {Emre Goncu and M{\"{u}}stak Erhan Yal{\c{c}}in}, title = {A design of cellular automata-based {PUF} and its implementation on {FPGA}}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {8}, pages = {1244--1255}, year = {2020}, url = {https://doi.org/10.1002/cta.2792}, doi = {10.1002/CTA.2792}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GoncuY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GoreckiG20, author = {Krzysztof G{\'{o}}recki and Malgorzata Godlewska}, title = {Modelling characteristics of the impulse transformer in a wide frequency range}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {5}, pages = {750--761}, year = {2020}, url = {https://doi.org/10.1002/cta.2764}, doi = {10.1002/CTA.2764}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GoreckiG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GunesY20, author = {Filiz G{\"{u}}nes and Oktay Yurttakal}, title = {Full flexible performance characterization of a feedback applied transistor with {LNA} applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {1}, pages = {56--71}, year = {2020}, url = {https://doi.org/10.1002/cta.2728}, doi = {10.1002/CTA.2728}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/GunesY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/GuoLGLLFA20, author = {Mingzhu Guo and Yushan Liu and Baoming Ge and Shuo Liu and Xiao Li and Fernando J. T. E. Ferreira and Anibal T. de Almeida}, title = {Modeling and analysis of {LC} filter integrated quasi-Z source indirect matrix converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {4}, pages = {567--586}, year = {2020}, url = {https://doi.org/10.1002/cta.2757}, doi = {10.1002/CTA.2757}, timestamp = {Sat, 28 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/GuoLGLLFA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HaghiriA20, author = {Saeed Haghiri and Arash Ahmadi}, title = {Digital {FPGA} implementation of spontaneous astrocyte signalling}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {5}, pages = {709--723}, year = {2020}, url = {https://doi.org/10.1002/cta.2745}, doi = {10.1002/CTA.2745}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HaghiriA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Hasan20, author = {S. M. Rezaul Hasan}, title = {The "nonideal" drain-loaded source-follower and accurate differential-amplifier analysis}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {7}, pages = {1194--1200}, year = {2020}, url = {https://doi.org/10.1002/cta.2796}, doi = {10.1002/CTA.2796}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Hasan20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HazratiJMB20, author = {Amin Hazrati and Ali Jalali and Masoud Meghdadi and Bardia Babaei}, title = {A method for rejecting 3k-th harmonics in bandpass 6N-path filters}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {3}, pages = {335--348}, year = {2020}, url = {https://doi.org/10.1002/cta.2738}, doi = {10.1002/CTA.2738}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HazratiJMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HeunischFW20, author = {Sebastian Heunisch and Lars Ohlsson Fhager and Lars{-}Erik Wernersson}, title = {A phase-correlated duo-binary waveform generation technique for millimeter-wave radar pulses}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {1}, pages = {103--114}, year = {2020}, url = {https://doi.org/10.1002/cta.2699}, doi = {10.1002/CTA.2699}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/HeunischFW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HoseiniLK20, author = {Zaniar Hoseini and Kye{-}Shin Lee and Chun Ki Kwon}, title = {Design of an 8-bit time-mode cyclic {ADC} based on macro modeling}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {11}, pages = {1839--1852}, year = {2020}, url = {https://doi.org/10.1002/cta.2842}, doi = {10.1002/CTA.2842}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HoseiniLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Hossam-EldinEAF20, author = {Ahmed A. Hossam{-}Eldin and Ahmed A. Elserougi and Ahmed Kadry Abdelsalam and Abdelrahman M. Farghly}, title = {Three-phase two-leg buck-boost {DC-AC} inverter with differential power processor unit}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {10}, pages = {1583--1613}, year = {2020}, url = {https://doi.org/10.1002/cta.2859}, doi = {10.1002/CTA.2859}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Hossam-EldinEAF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/HosseinpourSR20, author = {Majid Hosseinpour and Ali Seifi and Mohmad Mohsen Rahimian}, title = {A bidirectional diode containing multilevel inverter topology with reduced switch count and driver}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {10}, pages = {1766--1785}, year = {2020}, url = {https://doi.org/10.1002/cta.2810}, doi = {10.1002/CTA.2810}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/HosseinpourSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/InanlouST20, author = {Reza Inanlou and Omid Shoaei and Mohsen Tamaddon}, title = {An asynchronous pulse width modulator for {DC-DC} buck converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {2}, pages = {231--253}, year = {2020}, url = {https://doi.org/10.1002/cta.2711}, doi = {10.1002/CTA.2711}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/InanlouST20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JalilzadehRBH20, author = {Tohid Jalilzadeh and Naghi Rostami and Ebrahim Babaei and Seyed Hossein Hosseini}, title = {High voltage gain dual-input dual-output {DC-DC} converter with reduced voltage stress on semiconductors}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {6}, pages = {934--952}, year = {2020}, url = {https://doi.org/10.1002/cta.2765}, doi = {10.1002/CTA.2765}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JalilzadehRBH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JapaMSV20, author = {Aditya Japa and Manoj Kumar Majumder and Subhendu Kumar Sahoo and Ramesh Vaddi}, title = {Tunnel FET-based ultralow-power and hardware-secure circuit design considering p-i-n forward leakage}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {4}, pages = {524--538}, year = {2020}, url = {https://doi.org/10.1002/cta.2731}, doi = {10.1002/CTA.2731}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JapaMSV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Jeltsema20, author = {Dimitri Jeltsema}, title = {Load characterization and power conditioner synthesis using higher-order elements}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {2}, pages = {254--265}, year = {2020}, url = {https://doi.org/10.1002/cta.2725}, doi = {10.1002/CTA.2725}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Jeltsema20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JindalP20, author = {Caffey Jindal and Rishikesh Pandey}, title = {A class-AB flipped voltage follower cell with high symmetrical slew rate and high current sourcing/sinking capability}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {7}, pages = {1108--1123}, year = {2020}, url = {https://doi.org/10.1002/cta.2782}, doi = {10.1002/CTA.2782}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JindalP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JinnoKTA20, author = {Souma Jinno and Shuji Kitora and Hiroshi Toki and Masayuki Abe}, title = {Time-domain ringing noise analysis induced in transmission lines using the common and normal mode concepts}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {9}, pages = {1426--1435}, year = {2020}, url = {https://doi.org/10.1002/cta.2841}, doi = {10.1002/CTA.2841}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JinnoKTA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JinnoKTA20a, author = {Souma Jinno and Shuji Kitora and Hiroshi Toki and Masayuki Abe}, title = {Origin of common-mode noise in two-dimensional finite-size circuit and reduction of the noise using a symmetric three-line circuit}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {9}, pages = {1450--1458}, year = {2020}, url = {https://doi.org/10.1002/cta.2788}, doi = {10.1002/CTA.2788}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JinnoKTA20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JoginipellyC20, author = {Arjun Kumar Joginipelly and Dimitrios Charalampidis}, title = {An efficient circuit for error reduction in logarithmic multiplication for filtering applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {5}, pages = {809--815}, year = {2020}, url = {https://doi.org/10.1002/cta.2775}, doi = {10.1002/CTA.2775}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JoginipellyC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JomeheiSS20, author = {Maryam Gharaei Jomehei and Samad Sheikhaei and Mehdi Saberi}, title = {A low-power, low-data-rate efficient {ADC} with hybrid exponential-linear transfer curve for bio-potential recording systems}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {4}, pages = {449--471}, year = {2020}, url = {https://doi.org/10.1002/cta.2779}, doi = {10.1002/CTA.2779}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JomeheiSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KammariP20, author = {Raviteja Kammari and Vijaya Sankara Rao Pasupureddi}, title = {Charge controlled delay element enabled widely linear power efficient {MPCG-MDLL} in 1.2V, 65nm {CMOS}}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {2}, pages = {198--213}, year = {2020}, url = {https://doi.org/10.1002/cta.2719}, doi = {10.1002/CTA.2719}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KammariP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KattelMOF20, author = {Menaouar Berrehil El Kattel and Robson Mayer and Sergio Vidal Garcia Oliveira and Braz de Jesus Cardoso Filho}, title = {Four-phase interleaved {DC-DC} step-down converter using coupled inductor for high power application}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {10}, pages = {1696--1723}, year = {2020}, url = {https://doi.org/10.1002/cta.2843}, doi = {10.1002/CTA.2843}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KattelMOF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KattelMPO20, author = {Menaouar Berrehil El Kattel and Robson Mayer and Maicon Douglas Possamai and Sergio Vidal Garcia Oliveira}, title = {Bidirectional isolated three-phase dc-dc converter using coupled inductor for dc microgrid applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {6}, pages = {832--859}, year = {2020}, url = {https://doi.org/10.1002/cta.2795}, doi = {10.1002/CTA.2795}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KattelMPO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KimIK20, author = {Taejong Kim and Donggu Im and Kuduck Kwon}, title = {360-{\(\mu\)}W 4.1-dB {NF} {CMOS} MedRadio receiver {RF} front-end with current-reuse Q-boosted resistive feedback {LNA} for biomedical IoT applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {4}, pages = {502--511}, year = {2020}, url = {https://doi.org/10.1002/cta.2772}, doi = {10.1002/CTA.2772}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KimIK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Klosowski20, author = {Miron Klosowski}, title = {Hybrid-mode single-slope {ADC} with improved linearity and reduced conversion time for {CMOS} image sensors}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {1}, pages = {28--41}, year = {2020}, url = {https://doi.org/10.1002/cta.2713}, doi = {10.1002/CTA.2713}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/Klosowski20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KolantiR20, author = {Tulasi Naga Jyothi Kolanti and Vasundhara Patel Kerehalli Shankar Rao}, title = {Crosstalk noise analysis in ternary logic multilayer graphene nanoribbon interconnects using shielding techniques}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {12}, pages = {2041--2055}, year = {2020}, url = {https://doi.org/10.1002/cta.2889}, doi = {10.1002/CTA.2889}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/KolantiR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KudithiS20, author = {Thirumalesu Kudithi and R. Sakthivel}, title = {An efficient hardware implementation of the elliptic curve cryptographic processor over prime field,}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {8}, pages = {1256--1273}, year = {2020}, url = {https://doi.org/10.1002/cta.2759}, doi = {10.1002/CTA.2759}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KudithiS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/Kulej20, author = {Tomasz Kulej}, title = {Comment on "A 0.3-V, 2.4-nW and 100-Hz fourth-order {LPF} for {ECG} signal processing"}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {11}, pages = {2039}, year = {2020}, url = {https://doi.org/10.1002/cta.2868}, doi = {10.1002/CTA.2868}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/Kulej20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KumarG20, author = {Abhimanyu Kumar and Souvik Ganguli}, title = {A new method to realize nonrational driving-point functions}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {3}, pages = {385--393}, year = {2020}, url = {https://doi.org/10.1002/cta.2751}, doi = {10.1002/CTA.2751}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KumarG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LekicHJP20, author = {Aleksandra Lekic and Ben Hermans and Nenad S. Jovicic and Panagiotis Patrinos}, title = {Microsecond nonlinear model predictive control for {DC-DC} converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {3}, pages = {406--419}, year = {2020}, url = {https://doi.org/10.1002/cta.2737}, doi = {10.1002/CTA.2737}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LekicHJP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LeviBS20, author = {Itamar Levi and Davide Bellizia and Fran{\c{c}}ois{-}Xavier Standaert}, title = {Beyond algorithmic noise or how to shuffle parallel implementations?}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {5}, pages = {674--695}, year = {2020}, url = {https://doi.org/10.1002/cta.2756}, doi = {10.1002/CTA.2756}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LeviBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiS20, author = {Mu Li and Yichuang Sun}, title = {General rational approximation of Gaussian wavelet series and continuous-time gm-C filter implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {11}, pages = {2006--2022}, year = {2020}, url = {https://doi.org/10.1002/cta.2834}, doi = {10.1002/CTA.2834}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LinPC20, author = {Chang{-}Hua Lin and Kai{-}Jun Pai and Po{-}Hsun Chen}, title = {Development and implementation of a laser headlight system for electro-optic characteristic measurement and comparison}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {2}, pages = {294--307}, year = {2020}, url = {https://doi.org/10.1002/cta.2727}, doi = {10.1002/CTA.2727}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LinPC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuCC20, author = {Chih{-}Wei Liu and Hung{-}Yu Chen and Le{-}Ren Chang{-}Chien}, title = {Auto-tuning charge balance control for improving transient response on buck converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {6}, pages = {965--979}, year = {2020}, url = {https://doi.org/10.1002/cta.2767}, doi = {10.1002/CTA.2767}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuD20, author = {Yanbing Liu and Sanjev Dhakal}, title = {Internet of Things technology in mineral remote sensing monitoring}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {12}, pages = {2065--2077}, year = {2020}, url = {https://doi.org/10.1002/cta.2890}, doi = {10.1002/CTA.2890}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/LiuD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuZNH20, author = {Yu Liu and Xing Zou and Xiaowei Niu and Zhengquan Hu}, title = {Study on chaotic oscillator-based algorithm for weak {GLONASS} signal acquisition}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {12}, pages = {2056--2064}, year = {2020}, url = {https://doi.org/10.1002/cta.2901}, doi = {10.1002/CTA.2901}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuZNH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LuQM20, author = {Weiguo Lu and Xueji Qu and Junwen Ma}, title = {Auxiliary bridge arm-based switching control for optimal unloading transient performance of multiphase buck converters}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {6}, pages = {919--933}, year = {2020}, url = {https://doi.org/10.1002/cta.2780}, doi = {10.1002/CTA.2780}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LuQM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MadhuriS20, author = {Badugu Divya Madhuri and Subramani Sunithamani}, title = {Crosstalk reduction in copper on-chip interconnects with graphene barrier for ternary logic applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {12}, pages = {2097--2110}, year = {2020}, url = {https://doi.org/10.1002/cta.2809}, doi = {10.1002/CTA.2809}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MadhuriS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MahataKM20, author = {Shibendu Mahata and Rajib Kar and Durbadal Mandal}, title = {Optimal approximation of asymmetric type fractional-order bandpass Butterworth filter using decomposition technique}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {9}, pages = {1554--1560}, year = {2020}, url = {https://doi.org/10.1002/cta.2835}, doi = {10.1002/CTA.2835}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MahataKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ManoharH20, author = {Rutvika Manohar and Takashi Hikihara}, title = {Phase synchronization of autonomous {AC} grid system with passivity-based control}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {6}, pages = {906--918}, year = {2020}, url = {https://doi.org/10.1002/cta.2760}, doi = {10.1002/CTA.2760}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ManoharH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MathewsF20, author = {Robert Joseph Mathews and Todd J. Freeborn}, title = {Modeling and experimental validation of parasitic capacitance effects on emulated bioimpedance measurements with high-impedance residuals}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {7}, pages = {1057--1069}, year = {2020}, url = {https://doi.org/10.1002/cta.2773}, doi = {10.1002/CTA.2773}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MathewsF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MaundyEBH20, author = {Brent J. Maundy and Ahmed S. Elwakil and Leonid Belostotski and Norbert Herencsar}, title = {Single transistor RC-only second-order allpass filters}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {2}, pages = {162--169}, year = {2020}, url = {https://doi.org/10.1002/cta.2721}, doi = {10.1002/CTA.2721}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MaundyEBH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MeshkatD20, author = {Amin Meshkat and Rasoul Dehghani}, title = {A new discrete wavelet transform appropriate for hardware implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {3}, pages = {369--384}, year = {2020}, url = {https://doi.org/10.1002/cta.2736}, doi = {10.1002/CTA.2736}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MeshkatD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MeshkatD20a, author = {Amin Meshkat and Rasoul Dehghani}, title = {A new discrete wavelet transform appropriate for hardware implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {9}, pages = {1561}, year = {2020}, url = {https://doi.org/10.1002/cta.2836}, doi = {10.1002/CTA.2836}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MeshkatD20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MirebrahimiDA20, author = {S. Mehdi Mirebrahimi and Massoud Dousti and Saeid Afrang}, title = {High-quality coplanar waveguide tunable band-stop filter using defected ground structure and comb-line resonator with radio frequency microelectromechanical system varactors}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {9}, pages = {1436--1449}, year = {2020}, url = {https://doi.org/10.1002/cta.2828}, doi = {10.1002/CTA.2828}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MirebrahimiDA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MirlohiYAA20, author = {Sayed Hossein Mirlohi and Mohammad Rouhollah Yazdani and Ehsan Adib and Mohammad Reza Amini}, title = {Non-isolated high step-up dual-input {DC-DC} converter with zero-voltage transition}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {5}, pages = {762--776}, year = {2020}, url = {https://doi.org/10.1002/cta.2747}, doi = {10.1002/CTA.2747}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MirlohiYAA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MirzaeeAM20, author = {Afshin Mirzaee and Sajad Arab Ansari and Javad Shokrollahi Moghani}, title = {Single switch quadratic boost converter with continuous input current for high voltage applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {4}, pages = {587--602}, year = {2020}, url = {https://doi.org/10.1002/cta.2735}, doi = {10.1002/CTA.2735}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MirzaeeAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MisalV20, author = {Shrikant Misal and Mummadi Veerachary}, title = {Analysis and control of a single switch wider step-down gain {DC-DC} converter for low-voltage applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {10}, pages = {1614--1637}, year = {2020}, url = {https://doi.org/10.1002/cta.2855}, doi = {10.1002/CTA.2855}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MisalV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohammadiEM20, author = {Pejman Mohammadi and Roghaye Ebadzadeh and Maryam Mohammadifar}, title = {A sharp-selective micro strip triplexer with hairpin resonators for long-term evolution {(LTE)}}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {3}, pages = {349--355}, year = {2020}, url = {https://doi.org/10.1002/cta.2740}, doi = {10.1002/CTA.2740}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MohammadiEM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohammadiMMMM20, author = {Mohammad Mohammadi and Afshin Mirzaee and Paolo Magnone and Javad Shokrollahi Moghani and Paolo Mattavelli}, title = {Performance improvement of pulse width-amplitude modulation-based quasi-Z-source inverters: Analysis and implementation}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {10}, pages = {1786--1799}, year = {2020}, url = {https://doi.org/10.1002/cta.2808}, doi = {10.1002/CTA.2808}, timestamp = {Sat, 07 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MohammadiMMMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohammadifarMZ20, author = {Maryam Mohammadifar and Pejman Mohammadi and Yashar Zehforoosh}, title = {Printed dual-band base station antenna for {GSM/DCS/PCS/UMTS} and {LTE} applications with dual polarization}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {9}, pages = {1544--1553}, year = {2020}, url = {https://doi.org/10.1002/cta.2800}, doi = {10.1002/CTA.2800}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MohammadifarMZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MohammadpourN20, author = {Amin Mohammadpour and Abdolreza Nabavi}, title = {Analysis of nonidealities of N-path circuits using impulse response}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {4}, pages = {539--554}, year = {2020}, url = {https://doi.org/10.1002/cta.2769}, doi = {10.1002/CTA.2769}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MohammadpourN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MomeniY20, author = {Mahdi Momeni and Mohammad Yavari}, title = {Shifting the sampled input signal in successive approximation register analog-to-digital converters to reduce the digital-to-analog converter switching energy and area}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {11}, pages = {1873--1886}, year = {2020}, url = {https://doi.org/10.1002/cta.2852}, doi = {10.1002/CTA.2852}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/MomeniY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.