Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/apccas/apccas2008.bht:"
@inproceedings{DBLP:conf/apccas/0003YG08, author = {Li Li and Yong{-}Sheng Yin and Minglun Gao}, title = {Mapping algorithms of {MIMO} to a Multi-Pipeline Reconfigurable System}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {37--40}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745954}, doi = {10.1109/APCCAS.2008.4745954}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/0003YG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Abeysekera08, author = {Saman S. Abeysekera}, title = {Efficient time varying frequency tracking using recursive estimation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {992--995}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746191}, doi = {10.1109/APCCAS.2008.4746191}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Abeysekera08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/AbuelmaattiA08, author = {Muhammad Taher Abuelma'atti and Nawal Mansour Al{-}Yahia}, title = {An improved universal {CMOS} current-mode analog function synthesizer: Performance analysis}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1196--1199}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746240}, doi = {10.1109/APCCAS.2008.4746240}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/AbuelmaattiA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/AbuelmaattiTA08, author = {Ali Abuelmaatti and Iain Thayne and Muhammad Taher Abuelma'atti}, title = {Harmonic and {IMD} frequency components affecting nonlinear distortion with feed back in {MOSFET} amplifiers}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1600--1603}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746341}, doi = {10.1109/APCCAS.2008.4746341}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/AbuelmaattiTA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/AbuelmaattiTA08a, author = {Ali Abuelmaatti and Iain Thayne and Muhammad Taher Abuelma'atti}, title = {Linearization of table-based {MOSFET} Model parameters for nonlinear quantification}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1608--1611}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746343}, doi = {10.1109/APCCAS.2008.4746343}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/AbuelmaattiTA08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/AcharyyaMA08, author = {Amit Acharyya and Koushik Maharatna and Bashir M. Al{-}Hashimi}, title = {Hardware development for pervasive healthcare systems: Current status and future directions}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1304--1307}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746267}, doi = {10.1109/APCCAS.2008.4746267}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/AcharyyaMA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/AhmadiMS08, author = {Farzaneh Ahmadi and Ian Vince McLoughlin and Hamid R. Sharifzadeh}, title = {Analysis-by-synthesis method for whisper-speech reconstruction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1280--1283}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746261}, doi = {10.1109/APCCAS.2008.4746261}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/AhmadiMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/BaiCC08, author = {Jiun{-}Ying Bai and Han{-}Ru Chen and Shao{-}Yi Chien}, title = {An asynchronous fixed-coefficient {FIR} filter implemented with flexible a-Si {TFT} technology}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1790--1793}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746389}, doi = {10.1109/APCCAS.2008.4746389}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/BaiCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/BiQY08, author = {Tianshu Bi and Xiaohui Qin and Qixun Yang}, title = {{WAMS} based dynamic state estimator during power system transient process}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {777--780}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746138}, doi = {10.1109/APCCAS.2008.4746138}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/BiQY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/BianYG08, author = {Yushu Bian and Chao Yun and Zhihui Gao}, title = {Decreasing end-effector deformation of the flexible manipulator through local motion planning}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {750--753}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746132}, doi = {10.1109/APCCAS.2008.4746132}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/BianYG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/CaiWL08, author = {Kang Cai and Gang Wei and Huifang Li}, title = {Information accuracy versus jointly sensing nodes in wireless sensor networks}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1050--1053}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746204}, doi = {10.1109/APCCAS.2008.4746204}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/CaiWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/CaoHLTM08, author = {Wei Cao and Hui Hou and Jinmei Lai and Jiarong Tong and Hao Min}, title = {A novel dynamic reconfigurable {VLSI} architecture for {H.264} transforms}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1810--1813}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746394}, doi = {10.1109/APCCAS.2008.4746394}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/CaoHLTM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChaiC08, author = {Yu Chai and Pak Kwong Chan}, title = {A low-power bio-sensor interface with wide measurement range}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {117--120}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745974}, doi = {10.1109/APCCAS.2008.4745974}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChaiC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChaisricharoenM08, author = {Roungsan Chaisricharoen and Montira Moonngam}, title = {Genetical tuning of a capacitorless current-mode bandpass biquad based on single-stage {CMOS} {OTA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {940--943}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746178}, doi = {10.1109/APCCAS.2008.4746178}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChaisricharoenM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChakrabortyC08, author = {Tuhin Subhra Chakraborty and Saswat Chakrabarti}, title = {A reduced area 1 {GSPS} {FFT} design using {MRMDF} architecture for {UWB} communication}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1128--1131}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746223}, doi = {10.1109/APCCAS.2008.4746223}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChakrabortyC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChakrabortyC08a, author = {Tuhin Subhra Chakraborty and Saswat Chakrabarti}, title = {On output reorder buffer design of bit reversed pipelined continuous data {FFT} architecture}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1132--1135}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746224}, doi = {10.1109/APCCAS.2008.4746224}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChakrabortyC08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Chan08, author = {Terence Chan}, title = {RaceCheck: {A} race logic audit program for ESL-based soc designs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1268--1271}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746258}, doi = {10.1109/APCCAS.2008.4746258}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Chan08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChanCPCL08, author = {Misteltein Pak{-}Kee Chan and Oliver Chiu{-}sing Choy and Kong{-}Pang Pun and Cheong{-}Fat Chan and Alex Ka Nang Leung}, title = {Enhanced channel selection using digital low-IF in Weaver receiver architecture}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {33--36}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745953}, doi = {10.1109/APCCAS.2008.4745953}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChanCPCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChanZ08, author = {Shing{-}Chow Chan and Yi Zhou}, title = {On the convergence analysis of the transform domain normalized {LMS} and related M-estimate algorithms}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {205--208}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745996}, doi = {10.1109/APCCAS.2008.4745996}, timestamp = {Wed, 02 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ChanZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChanZ08a, author = {Shing{-}Chow Chan and Shaohua Zhao}, title = {Transmit/Receive beamformer design and resource allocation in multiuser {MIMO-OFDM} systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {590--593}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746092}, doi = {10.1109/APCCAS.2008.4746092}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChanZ08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChanZZH08, author = {Shing{-}Chow Chan and Zhiguo Zhang and Yi Zhou and Yong Hu}, title = {A new noise-constrained normalized least mean squares adaptive filtering algorithm}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {197--200}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745994}, doi = {10.1109/APCCAS.2008.4745994}, timestamp = {Wed, 02 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ChanZZH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Chang08, author = {Yuan{-}Teng Chang}, title = {A novel pipeline architecture for {H.264/AVC} {CABAC} decoder}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {308--311}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746021}, doi = {10.1109/APCCAS.2008.4746021}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Chang08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Chang08a, author = {Yuen{-}Haw Chang}, title = {Two-stage current-mode multiphase voltage doubler based on {PWM} control}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1324--1327}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746272}, doi = {10.1109/APCCAS.2008.4746272}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Chang08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChangH08, author = {Mu{-}Tien Chang and Wei Hwang}, title = {A fully-differential subthreshold {SRAM} cell with auto-compensation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1771--1774}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746384}, doi = {10.1109/APCCAS.2008.4746384}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChangH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChangHCCH08, author = {Chi{-}Jeng Chang and Chi{-}Wu Huang and Kuo{-}Huang Chang and Yi{-}Cheng Chen and Chung{-}Cheng Hsieh}, title = {High throughput 32-bit {AES} implementation in {FPGA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1806--1809}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746393}, doi = {10.1109/APCCAS.2008.4746393}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChangHCCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChangPL08, author = {Yin{-}Shao Chang and See{-}May Phoong and Yuan{-}Pei Lin}, title = {Subband adaptive filtering using approximately alias-free cosine modulated filterbanks}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1438--1441}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746301}, doi = {10.1109/APCCAS.2008.4746301}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChangPL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChangTC08, author = {Nelson Yen{-}Chung Chang and Yu{-}Cheng Tseng and Tian{-}Sheuan Chang}, title = {Analysis of color space and similarity measure impact on stereo block matching}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {926--929}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746175}, doi = {10.1109/APCCAS.2008.4746175}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChangTC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Chantngarm08, author = {Peerasak Chantngarm}, title = {Characterization of structures maskless-etched by low-energy {FIB}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1716--1719}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746370}, doi = {10.1109/APCCAS.2008.4746370}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Chantngarm08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Chen08, author = {Chiung{-}Hsing Chen}, title = {Power saving mobile monitoring system on architectures}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {246--249}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746006}, doi = {10.1109/APCCAS.2008.4746006}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Chen08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenC08, author = {Chang{-}Chu Chen and Chin{-}Chen Chang}, title = {Reversibly sharing and hiding secret using expansion strategy}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1588--1591}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746338}, doi = {10.1109/APCCAS.2008.4746338}, timestamp = {Tue, 23 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ChenC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenCTTW08, author = {Chang{-}Jiu Chen and Wei{-}Min Cheng and Ruei{-}Fu Tsai and Hung{-}Yue Tsai and Tuan{-}Chieh Wang}, title = {A pipelined asynchronous 8051 soft-core implemented with Balsa}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {976--979}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746187}, doi = {10.1109/APCCAS.2008.4746187}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenCTTW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenD08, author = {Huahua Chen and Minhui Dong}, title = {3D map building based on projection of virtual height line}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1822--1825}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746397}, doi = {10.1109/APCCAS.2008.4746397}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenHC08, author = {Chen{-}Feng Chen and Jiun{-}Jia Huang and Yawgeng A. Chau}, title = {A new modulo-N based digital clock synthesizer with an adjustable duty-cycle}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1798--1801}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746391}, doi = {10.1109/APCCAS.2008.4746391}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenJH08, author = {Chen Chen and Jin Jin and Lenian He}, title = {A new battery management system for li-ion battery packs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1312--1315}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746269}, doi = {10.1109/APCCAS.2008.4746269}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenJH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenJW08, author = {Lifei Chen and Qingshan Jiang and Shengrui Wang}, title = {Cluster validation for subspace clustering on high dimensional data}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {225--228}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746001}, doi = {10.1109/APCCAS.2008.4746001}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenJW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenKHJL08, author = {Wen{-}Yi Chen and Ming{-}Dou Ker and Yeh{-}Jen Huang and Yeh{-}Ning Jou and Geeng{-}Lih Lin}, title = {Measurement on snapback holding voltage of high-voltage {LDMOS} for latch-up consideration}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {61--64}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745960}, doi = {10.1109/APCCAS.2008.4745960}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenKHJL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenLKH08, author = {Jiann{-}Jong Chen and Ming{-}Shian Lin and Che{-}Min Kung and Yuh{-}Shyan Hwang}, title = {Low-quiescent-current fast-response current-feedback shunt regulator}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {530--533}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746077}, doi = {10.1109/APCCAS.2008.4746077}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenLKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenLL08, author = {Chun{-}Chieh Chen and Kai{-}Yao Lin and Nan{-}Ku Lu}, title = {Low voltage, high performance first and third generation current conveyor in 0.18{\(\mu\)}m {CMOS}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1498--1501}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746316}, doi = {10.1109/APCCAS.2008.4746316}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenLLH08, author = {Jiann{-}Jong Chen and Ming{-}Shian Lin and Ho{-}Cheng Lin and Yuh{-}Shyan Hwang}, title = {Sub-1V capacitor-free low-power-consumption {LDO} with digital controlled loop}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {526--529}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746076}, doi = {10.1109/APCCAS.2008.4746076}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenLLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenLWLH08, author = {Shen{-}Whan Chen and Iung{-}Chih Lin and Shuming Wang and Shih{-}Chiang Lin and Zhao{-}Wei Han}, title = {A switch {IC} implemented by four depletion-mode field effect transistors for dual-band {GSM} switch diplexer module}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1066--1069}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746208}, doi = {10.1109/APCCAS.2008.4746208}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenLWLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenS08, author = {Shuming Chen and Shuwei Sun}, title = {Efficient bit-rate estimation technique for {CABAC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {514--517}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746073}, doi = {10.1109/APCCAS.2008.4746073}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenS08a, author = {Huawei Chen and Wee Ser}, title = {Design of broadband beamformers for microphone arrays using variably-weighted least squares}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {996--999}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746192}, doi = {10.1109/APCCAS.2008.4746192}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenS08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenVRL08, author = {Pei{-}Yu Chen and Lan{-}Da Van and Hari C. Reddy and Chin{-}Teng Lin}, title = {A new {VLSI} 2-D diagonal-symmetry filter architecture design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {320--323}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746024}, doi = {10.1109/APCCAS.2008.4746024}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenVRL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenWN08, author = {Jianwu Chen and Yik{-}Chung Wu and Tung{-}Sang Ng}, title = {Frequency synchronization for {OFDM} systems over doubly-selective channels}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {582--585}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746090}, doi = {10.1109/APCCAS.2008.4746090}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ChenWN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenY08, author = {Shih{-}Hung Chen and Chih{-}Ting Yeh}, title = {Active {ESD} protection design against cross-power-domain {ESD} stresses in {CMOS} integrated circuits}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {57--60}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745959}, doi = {10.1109/APCCAS.2008.4745959}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChienCG08, author = {Cheng{-}An Chien and Hsiu{-}Cheng Chang and Jiun{-}In Guo}, title = {A high throughput in-loop de-blocking filter supporting {H.264/AVC} {BP/MP/HP} video coding}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {312--315}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746022}, doi = {10.1109/APCCAS.2008.4746022}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChienCG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChioWZSUM08, author = {U. Fat Chio and He Gong Wei and Yan Zhu and Sai{-}Weng Sin and Seng{-}Pan U and Rui Paulo da Silva Martins}, title = {A self-timing switch-driving register by precharge-evaluate logic for high-speed {SAR} ADCs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1164--1167}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746232}, doi = {10.1109/APCCAS.2008.4746232}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ChioWZSUM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChoiHYSP08, author = {Boo{-}Young Choi and Jung{-}Won Han and Jisook Yun and Mikyung Seo and Sung Min Park}, title = {Current-mode gigabit optical receivers in submicron {CMOS} technologies}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1696--1699}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746365}, doi = {10.1109/APCCAS.2008.4746365}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChoiHYSP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChowH08, author = {Hwang{-}Cherng Chow and Zhi{-}Hau Hor}, title = {A high performance peak detector sample and hold circuit for detecting power supply noise}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {672--675}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746113}, doi = {10.1109/APCCAS.2008.4746113}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChowH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChuB08, author = {Junfeng Chu and Mohammed Benaissa}, title = {GF(2\({}^{\mbox{m}}\)) multiplier using Polynomial Residue Number System}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1514--1517}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746320}, doi = {10.1109/APCCAS.2008.4746320}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChuB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChuYLT08, author = {Hung{-}Yuan Chu and Chun{-}Hung Yang and Chi{-}Wai Leng and Chien{-}Hung Tsai}, title = {A top-down, mixed-level design methodology for {CT} {BP} {\(\Delta\)}{\(\Sigma\)} modulator using verilog-A}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1390--1393}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746289}, doi = {10.1109/APCCAS.2008.4746289}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChuYLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChungB08, author = {Younwoong Chung and Jinwook Burm}, title = {A implementation of fully integrated frequency synthesizer For {ISM} band transceiver}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1708--1711}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746368}, doi = {10.1109/APCCAS.2008.4746368}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChungB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/CingolaniSP08, author = {Cristiano Cingolani and Stefano Squartini and Francesco Piazza}, title = {An extreme learning machine approach for training Time Variant Neural Networks}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {384--387}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746040}, doi = {10.1109/APCCAS.2008.4746040}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/CingolaniSP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/CostaSB08, author = {V{\'{\i}}tor Costa and Pedro M. Santos and Beatriz Vieira Borges}, title = {Design method for monolithic {DC-DC} converters based on the losses optimization of the power stage}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1872--1875}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746409}, doi = {10.1109/APCCAS.2008.4746409}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/CostaSB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/CuiWZJ08, author = {Zhiqiang Cui and Zhongfeng Wang and Xinmiao Zhang and Qingwei Jia}, title = {Efficient decoder design for high-throughput {LDPC} decoding}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1640--1643}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746351}, doi = {10.1109/APCCAS.2008.4746351}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/CuiWZJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Dabbagh-Sadeghipour08, author = {Khosrov Dabbagh{-}Sadeghipour}, title = {A new wideband, high-linear passive Sample and Hold structure suitable for high-speed, high-resolution ADCs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {149--152}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745982}, doi = {10.1109/APCCAS.2008.4745982}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Dabbagh-Sadeghipour08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/DerebssaS08, author = {Bisrat Derebssa and Tetsuya Shimamura}, title = {High pitch source isolation using complex cepstrum in the autocorrelation domain}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1284--1287}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746262}, doi = {10.1109/APCCAS.2008.4746262}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/DerebssaS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Ding08, author = {Jiun{-}Ren Ding}, title = {An IA-Brush interactive painting system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {506--509}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746071}, doi = {10.1109/APCCAS.2008.4746071}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Ding08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/DingCWSUM08, author = {Li Ding and Sio Chan and Kim{-}Fai Wong and Sai{-}Weng Sin and Seng{-}Pan U and Rui Paulo da Silva Martins}, title = {A pseudo-differential comparator-based pipelined {ADC} with common mode feedforward technique}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {276--279}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746013}, doi = {10.1109/APCCAS.2008.4746013}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/DingCWSUM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/DingJY08, author = {Kai Ding and Lianwen Jin and Hanyu Yan}, title = {A virtual character recognition system based on optical detection of red light and its embedded implementation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {930--935}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746176}, doi = {10.1109/APCCAS.2008.4746176}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/DingJY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/DingY08, author = {Yuehua Ding and Junxun Yin}, title = {Study on a detection algorithm for {MIMO} systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1116--1119}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746220}, doi = {10.1109/APCCAS.2008.4746220}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/DingY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/DoSKYL08, author = {Anh{-}Tuan Do and Jeremy Yung Shern Low and Zhi{-}Hui Kong and Kiat Seng Yeo and Joshua Yung Low Yung Lih}, title = {A full current-mode sense amplifier for low-power {SRAM} applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1402--1405}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746292}, doi = {10.1109/APCCAS.2008.4746292}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/DoSKYL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/DongZCH08, author = {Changdao Dong and Qiang Zhou and Yici Cai and Xianlong Hong}, title = {Wire density driven top-down global placement for {CMP} variation control}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1676--1679}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746360}, doi = {10.1109/APCCAS.2008.4746360}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/DongZCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/DuartePSL08, author = {Rodrigo Duarte and J{\'{u}}lio Paisana and Marcelino B. Santos and Floriberto A. Lima}, title = {Adjustable low consumption circuit for monitorization of power source voltages in a SoC}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {376--379}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746038}, doi = {10.1109/APCCAS.2008.4746038}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/DuartePSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ElkhatibS08, author = {Tamer A. Elkhatib and Khaled N. Salama}, title = {Nanoholes microscopy}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {360--363}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746034}, doi = {10.1109/APCCAS.2008.4746034}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ElkhatibS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/EnHLSKP08, author = {Yunfei En and Yujuan He and Hongwei Luo and Qian Shi and Xianjun Kuang and Zhijian Pan}, title = {The irradiation effect of {DC-DC} power converter under X-ray}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1537--1541}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746326}, doi = {10.1109/APCCAS.2008.4746326}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/EnHLSKP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/EratnePJ08, author = {Savithra Eratne and Sebastian Puthenpurayil and Eugene John}, title = {Energy efficient lossless image compression with prediction-based transform}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {344--347}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746030}, doi = {10.1109/APCCAS.2008.4746030}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/EratnePJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FanH08, author = {Xiangning Fan and Shuancheng Huang}, title = {Unambiguous tracking techniques for Galileo BOC(1, 1) signals}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1090--1093}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746214}, doi = {10.1109/APCCAS.2008.4746214}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FanH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FanZ08, author = {Xiangning Fan and Dengkui Zhu}, title = {VoIP frame bundling for WiMAX downlink}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {510--513}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746072}, doi = {10.1109/APCCAS.2008.4746072}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FanZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FangC08, author = {Xing Fang and Shuming Chen}, title = {The design and algorithm mapping of a heterogeneous multi-core processor for {SDR}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1086--1089}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746213}, doi = {10.1109/APCCAS.2008.4746213}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FangZ08, author = {Guangqing Fang and Xiaoguang Zhang}, title = {An application of {DSP} to automatic {PMD} compensation in optical fiber communication systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {454--456}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746058}, doi = {10.1109/APCCAS.2008.4746058}, timestamp = {Wed, 23 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FangZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FenN08, author = {Fen Ge and Ning Wu}, title = {A minimum-path mapping algorithm for 2D mesh Network on Chip architecture}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1542--1545}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746327}, doi = {10.1109/APCCAS.2008.4746327}, timestamp = {Tue, 18 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FenN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FengW08, author = {Xiaohui Feng and Weining Wang}, title = {DTCWT-based dynamic texture features for visual speech recognition}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {497--500}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746069}, doi = {10.1109/APCCAS.2008.4746069}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FengW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Foo08, author = {Saywei Foo}, title = {Non-blind audio-watermarking using compression-expansion of signals}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1288--1291}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746263}, doi = {10.1109/APCCAS.2008.4746263}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Foo08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FuDLL08, author = {Bin Bin Fu and Ming{-}Chui Dong and Bing Nan Li and Wai Kei Lei}, title = {A mobile intelligent sphygmogram analyzer}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {264--267}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746010}, doi = {10.1109/APCCAS.2008.4746010}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FuDLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FujiyioshiU08, author = {Kunihiro Fujiyioshi and Hidehiko Ukibe}, title = {Arbitrary convex and concave rectilinear block packing based on O-Tree representation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1554--1557}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746330}, doi = {10.1109/APCCAS.2008.4746330}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FujiyioshiU08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GarciaMN08, author = {Jos{\'{e}} C. Garc{\'{\i}}a and Juan A. Montiel{-}Nelson and Saeid Nooshabadi}, title = {Low energy {CMOS} true single phase power supply clocking adiabatic differential cascode voltage switch logic circuit}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {960--963}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746183}, doi = {10.1109/APCCAS.2008.4746183}, timestamp = {Thu, 04 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/GarciaMN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GarciaMN08a, author = {Jos{\'{e}} C. Garc{\'{\i}}a and Juan A. Montiel{-}Nelson and Saeid Nooshabadi}, title = {A {CMOS} adiabatic inverter operating with a single clock power supply to reduce non-adiabatic loss}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {968--971}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746185}, doi = {10.1109/APCCAS.2008.4746185}, timestamp = {Thu, 04 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/GarciaMN08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GayFHBHF08, author = {Nicolas Gay and Qiuyun Fu and Ralf Hildebrandt and Christian Bansleben and Michael Heiss and Wolf{-}Joachim Fischer}, title = {An ultra-low-power sensor interface built around a reconfigurable incremental sigma-delta modulator for sensor networks employing electromagnetic backscatter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {280--283}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746014}, doi = {10.1109/APCCAS.2008.4746014}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GayFHBHF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GbolagadeC08, author = {Kazeem Alagbe Gbolagade and Sorin Dan Cotofana}, title = {Generalized matrix method for efficient residue to decimal conversion}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1414--1417}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746295}, doi = {10.1109/APCCAS.2008.4746295}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GbolagadeC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GeKT08, author = {Fuding Ge and Scot Kellar and Brent Thomas}, title = {1.5-V 10-Ms/s 8-bit Pipeline {ADC} in 0.13 {\(\mu\)}m {CMOS} using metal fringe capacitor}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {133--136}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745978}, doi = {10.1109/APCCAS.2008.4745978}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GeKT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GeT08, author = {Fuding Ge and Brent Thomas}, title = {A low-voltage high-gain wide-bandwidth class-AB differential difference amplifier}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1176--1179}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746235}, doi = {10.1109/APCCAS.2008.4746235}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GeT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GeYJS08, author = {Weimin Ge and Duofang Ye and Wenping Jiang and Xiaojie Sun}, title = {Sliding mode control for trajectory tracking on mobile manipulators}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1834--1837}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746400}, doi = {10.1109/APCCAS.2008.4746400}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GeYJS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GengDZGHS08, author = {Luofeng Geng and Gaoming Du and Duoli Zhang and Minglun Gao and Ning Hou and Yu{-}Kun Song}, title = {Design and performance evaluation of a 2D-mesh Network on Chip prototype using {FPGA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1264--1267}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746257}, doi = {10.1109/APCCAS.2008.4746257}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GengDZGHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GinesDVAJVRP08, author = {Antonio Jose Gin{\'{e}}s and Ricardo Dold{\'{a}}n and Alberto Villegas and Antonio J. Acosta and Maria Angeles Jal{\'{o}}n and Diego V{\'{a}}zquez and Adoraci{\'{o}}n Rueda and Eduardo J. Peral{\'{\i}}as}, title = {A 1.2V 5.14mW quadrature frequency synthesizer in 90nm {CMOS} technology for 2.4GHz ZigBee applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1252--1255}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746254}, doi = {10.1109/APCCAS.2008.4746254}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GinesDVAJVRP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GomesFSFSSMF08, author = {Marco Alexandre Cravo Gomes and Gabriel Falc{\~{a}}o Paiva Fernandes and V{\'{\i}}tor Manuel Mendes da Silva and Vitor Ferreira and Alexandre Sengo and Lu{\'{\i}}s Silva and Nuno Marques and Miguel Falc{\~{a}}o}, title = {Scalable and parallel codec architectures for the {DVB-S2} {FEC} system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1506--1509}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746318}, doi = {10.1109/APCCAS.2008.4746318}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GomesFSFSSMF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GongHHJ08, author = {Yun{-}rui Gong and Di He and Chen He and Lingge Jiang}, title = {Blind {MIMO} direct chaotic communication system identification via higher-order statistic}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {481--484}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746065}, doi = {10.1109/APCCAS.2008.4746065}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/GongHHJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GongHHJ08a, author = {Yun{-}rui Gong and Di He and Chen He and Lingge Jiang}, title = {Efficient modulation on the performance of coherent receivers for pseudo-chaotic {TH-UWB} system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1094--1097}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746215}, doi = {10.1109/APCCAS.2008.4746215}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/GongHHJ08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GongHYS08, author = {Cihun{-}Siyong Alex Gong and Ci{-}Tong Hong and Kai{-}Wen Yao and Muh{-}Tian Shiue}, title = {A low-power area-efficient {SRAM} with enhanced read stability in 0.18-{\(\mu\)}m {CMOS}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {729--732}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746127}, doi = {10.1109/APCCAS.2008.4746127}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GongHYS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GongYHS08, author = {Cihun{-}Siyong Alex Gong and Kai{-}Wen Yao and Yen{-}Huan Huang and Muh{-}Tian Shiue}, title = {An efficiency-enhanced {CMOS} voltage regulator module for bio-electronic implants}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {121--124}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745975}, doi = {10.1109/APCCAS.2008.4745975}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GongYHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GuXW08, author = {Huaxi Gu and Jiang Xu and Zheng Wang}, title = {A novel optical mesh network-on-chip for gigascale systems-on-chip}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1728--1731}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746373}, doi = {10.1109/APCCAS.2008.4746373}, timestamp = {Fri, 09 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/GuXW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GunaratneMB08, author = {Thushara K. Gunaratne and Arjuna Madanayake and Leonard T. Bruton}, title = {An {FPGA} architecture for real-time polyphase 2D {FIR} double-trapezoidal plane-wave filters}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {984--987}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746189}, doi = {10.1109/APCCAS.2008.4746189}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/GunaratneMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GuntoroG08, author = {Andre Guntoro and Manfred Glesner}, title = {Low-latency {VLSI} architecture of a 3-input floating-point adder}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {180--183}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745990}, doi = {10.1109/APCCAS.2008.4745990}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GuntoroG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GuntoroMKG08, author = {Andre Guntoro and Massoud Momeni and Hans{-}Peter Keil and Manfred Glesner}, title = {High-performance floating-point {VLSI} architecture of lifting-based forward and inverse wavelet transforms}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {457--460}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746059}, doi = {10.1109/APCCAS.2008.4746059}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GuntoroMKG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Guo008, author = {Shuo Guo and Yi{-}Sheng Zhu}, title = {An integrative algorithm for predicting protein coding regions}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {438--441}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746054}, doi = {10.1109/APCCAS.2008.4746054}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Guo008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/GuoSTL08, author = {Yi{-}Xin Guo and Zhi{-}biao Shao and Hui{-}Bin Tao and Ting Li}, title = {A high speed single photon counting module}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {725--728}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746126}, doi = {10.1109/APCCAS.2008.4746126}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/GuoSTL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HanFK08, author = {Seungwu Han and Masaaki Fujiyoshi and Hitoshi Kiya}, title = {A location map-free visible and reversible watermarking method with authentication ability}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1584--1587}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746337}, doi = {10.1109/APCCAS.2008.4746337}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HanFK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HanKCSC08, author = {Sangwoo Han and Yooseong Kim and Woosick Choi and Inho Shin and Youngdoo Choi}, title = {A second-order gate delay modeling method with an efficient sensitivity analysis}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1008--1011}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746195}, doi = {10.1109/APCCAS.2008.4746195}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HanKCSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HanSXYZKC08, author = {Yang Han and Wen{-}Xiang Song and Lin Xu and Gang Yao and Lidan Zhou and Muhammad Mansoor Khan and Chen Chen}, title = {Experimental investigation of the operation characteristics of 3-phase 3-wire active power filter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {97--100}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745969}, doi = {10.1109/APCCAS.2008.4745969}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/HanSXYZKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HaoS08, author = {Zhigang Hao and Guoyong Shi}, title = {New approaches to interconnect macromodeling with explicit delay extraction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1546--1549}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746328}, doi = {10.1109/APCCAS.2008.4746328}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HaoS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HarunIMM08, author = {Hilman Harun and Sevia Mahdaliza Idrus and Abu Bakar Mohammad and Norliza Mohamed}, title = {Optical front-end receiver configuration for 30 GHz millimeter-wave signal Radio over Fiber system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1248--1251}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746253}, doi = {10.1109/APCCAS.2008.4746253}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HarunIMM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HassanB08, author = {Mohamed N. Hassan and Mohammed Benaissa}, title = {An improved Montgomery inversion algorithm over GF(2\({}^{\mbox{m}}\)) targeted for low area scalable inverter on {FPGA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1510--1513}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746319}, doi = {10.1109/APCCAS.2008.4746319}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HassanB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HattoriTON08, author = {Koichi Hattori and Hiroshi Tsutsui and Hiroyuki Ochi and Yukihiro Nakamura}, title = {An architecture of photo core transform in {HD} photo coding system for embedded systems of various bandwidths}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1592--1595}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746339}, doi = {10.1109/APCCAS.2008.4746339}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HattoriTON08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HayashiSHS08, author = {Teppei Hayashi and Hiroki Sato and Akira Hyogo and Keitaro Sekine}, title = {Signal-to-noise ratio improvement of common-gate {CMOS} {LNA} for Ultra-Wide-Band}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {156--159}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745984}, doi = {10.1109/APCCAS.2008.4745984}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HayashiSHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HeJZH08, author = {Zhenyu He and Lianwen Jin and Li{-}Xin Zhen and Jiancheng Huang}, title = {Gesture recognition based on 3D accelerometer for cell phones interaction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {217--220}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745999}, doi = {10.1109/APCCAS.2008.4745999}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HeJZH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HeLJL08, author = {Jingbo He and Chao Lu and Xiaoming Jin and Peng Li}, title = {Analysis of time delay effects on wide area damping control}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {758--761}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746134}, doi = {10.1109/APCCAS.2008.4746134}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HeLJL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HeZSL08, author = {Liang He and Wei{-}Qiang Zhang and Yuxiang Shan and Jia Liu}, title = {Channel compensation technology in differential {GSV-SVM} speaker verification system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {221--224}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746000}, doi = {10.1109/APCCAS.2008.4746000}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HeZSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HelmstetterJ08, author = {Claude Helmstetter and Vania Joloboff}, title = {SimSoC: {A} SystemC {TLM} integrated {ISS} for full system simulation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1759--1762}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746381}, doi = {10.1109/APCCAS.2008.4746381}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HelmstetterJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HilaK08, author = {Tomi Hila and Hon Keung Kwan}, title = {Efficient structures for time delay estimation in time-varying environments}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1426--1429}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746298}, doi = {10.1109/APCCAS.2008.4746298}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HilaK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HoZ08, author = {Tsz{-}Chun Ho and Bing Zeng}, title = {Super-resolution image by curve fitting in the threshold decomposition domain}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {332--335}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746027}, doi = {10.1109/APCCAS.2008.4746027}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HoZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HongC08, author = {Dongwoo Hong and Kwang{-}Ting Cheng}, title = {Accurate Bit-Error-Rate estimation for efficient high speed {I/O} testing}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1572--1575}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746334}, doi = {10.1109/APCCAS.2008.4746334}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/HongC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HongCGNSLLCP08, author = {Yang Hong and Chi Fat Chan and Jianping Guo and Yuen Sum Ng and Weiwei Shi and Lincoln Lai Kan Leung and Ka Nang Leung and Chiu{-}sing Choy and Kong{-}Pang Pun}, title = {Design of passive {UHF} {RFID} tag in 130nm {CMOS} technology}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1371--1374}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746284}, doi = {10.1109/APCCAS.2008.4746284}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HongCGNSLLCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HongRTX08, author = {Hong Hong and Yi Ren and Ricai Tian and Liyi Xiao}, title = {Electronic Shelf Label System based on public illuminating network}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1103--1106}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746217}, doi = {10.1109/APCCAS.2008.4746217}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HongRTX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsiaoCTC08, author = {Shen{-}Fu Hsiao and Yuan{-}Nan Chang and Tze{-}Ching Tien and Kun{-}Chih Chen}, title = {Efficient pre-clipping and clipping algorithms for 3D graphics geometry computation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {522--525}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746075}, doi = {10.1109/APCCAS.2008.4746075}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsiaoCTC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsiaoLCL08, author = {Yi{-}Mao Hsiao and Te{-}Jung Lo and Yuan{-}Sun Chu and Shi{-}Wu Lo}, title = {Low power 32-bit UniRISC with Power Block Manager}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1656--1659}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746355}, doi = {10.1109/APCCAS.2008.4746355}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsiaoLCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsiaoLCT08, author = {Shen{-}Fu Hsiao and Hsin{-}Mau Lee and Yen{-}Chun Cheng and Ming{-}Yu Tsai}, title = {Efficient designs of flaoting-point {CORDIC} rotation and vectoring operations}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1422--1425}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746297}, doi = {10.1109/APCCAS.2008.4746297}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsiaoLCT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsuCCSLCC08, author = {Chung{-}Ti Hsu and Shu{-}Chuan Chen and Yen{-}Hsien Chen and Yu{-}Ti Su and Ming{-}Fang Lai and Che{-}Hung Chen and Po{-}An Chen}, title = {Cumulative electrostatic discharge induced degradation of power-rail {ESD} clamp device in high-voltage {CMOS/DMOS} technologies}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {49--52}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745957}, doi = {10.1109/APCCAS.2008.4745957}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsuCCSLCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsuLLC08, author = {Hung{-}Da Hsu and Tsorng{-}Juu Liang and Bin{-}Da Liu and Kai{-}Hui Chen}, title = {Design of a green mode {PWM} control {IC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1876--1879}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746410}, doi = {10.1109/APCCAS.2008.4746410}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsuLLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsuehC08, author = {Ya{-}Hsin Hsueh and Kuan{-}Wei Chen}, title = {An {ANT} network bi-directional wireless homecare system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746007}, doi = {10.1109/APCCAS.2008.4746007}, timestamp = {Sat, 29 Apr 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsuehC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsuehKLR08, author = {Kelvin K. Hsueh and Sin{-}Hao Ke and Jeffrey Lee and Elyse Rosenbaum}, title = {UVeriESD: An {ESD} verification tool for SoC design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {53--56}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745958}, doi = {10.1109/APCCAS.2008.4745958}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsuehKLR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsuehLS08, author = {Ya{-}Hsin Hsueh and Jeng{-}Hsien Lin and Meng{-}Kai Su}, title = {A simple and ultra-low power capacitive pressure micro-sensor sensing system design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {82--85}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745965}, doi = {10.1109/APCCAS.2008.4745965}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsuehLS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangCH08, author = {Yu{-}Sheng Huang and Chen{-}Kai Chen and Chun{-}Lung Hsu}, title = {Efficient built-in self-test for video coding cores: {A} case study on motion estimation computing array}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1751--1754}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746379}, doi = {10.1109/APCCAS.2008.4746379}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HuangCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangF08, author = {Shu{-}Chuan Huang and Chia{-}Te Fu}, title = {A tunable {SC} bandpass delta-sigma modulator for multi-standard applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1156--1159}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746230}, doi = {10.1109/APCCAS.2008.4746230}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HuangF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangF08a, author = {Han{-}Jung Huang and Chih{-}Peng Fan}, title = {Architecture design of low-power and low-cost {CAVLC} decoder for {H.264/AVC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1336--1339}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746275}, doi = {10.1109/APCCAS.2008.4746275}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HuangF08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangLG08, author = {Shizhen Huang and Wei Lin and Fenglin Gao}, title = {A wide band and low {PN} {PLL} design for digital tuner}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1140--1143}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746226}, doi = {10.1109/APCCAS.2008.4746226}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HuangLG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangLI08, author = {Yiqing Huang and Qin Liu and Takeshi Ikenaga}, title = {Compressor tree based processing element optimization in propagate partial {SAD} architecture}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1786--1789}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746388}, doi = {10.1109/APCCAS.2008.4746388}, timestamp = {Mon, 23 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/HuangLI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangM08, author = {Honglin Huang and Anamitra Makur}, title = {A new iterative reconstruction scheme for signal reconstruction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {336--339}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746028}, doi = {10.1109/APCCAS.2008.4746028}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HuangM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangYW08, author = {Chi{-}Chun Huang and Shou{-}Fu Yen and Chua{-}Chin Wang}, title = {A Li-ion battery charging design for biomedical implants}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {400--403}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746044}, doi = {10.1109/APCCAS.2008.4746044}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HuangYW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangZWLS08, author = {Cheng Huang and Meng Zhang and Jianhui Wu and Shengli Lu and Longxing Shi}, title = {On the use of multi-tone for the measurement of noise power ratio distortion in {RF} circuits}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1596--1599}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746340}, doi = {10.1109/APCCAS.2008.4746340}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/HuangZWLS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ImaniB08, author = {Alireza Imani and Mehrdad Sharif Bakhtiar}, title = {A two-stage pipelined passive charge-sharing {SAR} {ADC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {141--144}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745980}, doi = {10.1109/APCCAS.2008.4745980}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ImaniB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/IslamA08, author = {Syed Zahidul Islam and Mohd. Alauddin Mohd. Ali}, title = {{LFSR} based hybrid pattern scheme achieving low power dissipation and high fault coverage}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1755--1758}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746380}, doi = {10.1109/APCCAS.2008.4746380}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/IslamA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ItamiWN08, author = {Fumio Itami and Eiji Watanabe and Akinori Nishihara}, title = {A realization of digital filter banks for reconstruction of uniformly sampled signals from nonuniform samples}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {870--873}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746161}, doi = {10.1109/APCCAS.2008.4746161}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ItamiWN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Izuta08, author = {Guido Izuta}, title = {Stability analysis of a generalised 2D digital Roesser type systems via lagrange method}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {469--472}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746062}, doi = {10.1109/APCCAS.2008.4746062}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Izuta08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JaberMD08, author = {Houssein Jaber and Fabrice Monteiro and Abbas Dandache}, title = {Improving the design of parallel-pipeline cyclic decoders towards fault-secure versions}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {324--327}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746025}, doi = {10.1109/APCCAS.2008.4746025}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JaberMD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JaiklaS08, author = {Winai Jaikla and Montree Siripruchyanun}, title = {A cascadable current-mode universal biquadratic filter using MO-CCCCTAs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {952--955}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746181}, doi = {10.1109/APCCAS.2008.4746181}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JaiklaS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JaiklaSS08, author = {Winai Jaikla and Pisede Sornklin and Montree Siripruchyanun}, title = {An electronically controllable dual-mode universal biquad filter using only single {CCCCTA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1144--1147}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746227}, doi = {10.1109/APCCAS.2008.4746227}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JaiklaSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JaliliS08, author = {Armin Jalili and Sayed Masoud Sayedi}, title = {A nonlinearity error calibration technique based on an opamp distortion modeling}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {806--809}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746145}, doi = {10.1109/APCCAS.2008.4746145}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JaliliS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JangKXC08, author = {In{-}Gul Jang and Yong{-}Eun Kim and Yi{-}Nan Xu and Jin{-}Gyun Chung}, title = {Efficient {IFFT} design using mapping method}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {878--881}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746163}, doi = {10.1109/APCCAS.2008.4746163}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JangKXC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JangSKK08, author = {Wonwoo Jang and Kyoungsoo Son and Joohyun Kim and Bongsoon Kang}, title = {Auto white balance system using adaptive color samples for mobile devices}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1462--1465}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746307}, doi = {10.1109/APCCAS.2008.4746307}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/JangSKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JiZ08, author = {Wei Ji and Baoyu Zheng}, title = {Throughput analysise of cooperative multiple packet reception based on {NDMA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {789--793}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746141}, doi = {10.1109/APCCAS.2008.4746141}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JiZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JiaCZ08, author = {Huayu Jia and Guican Chen and Hong Zhang}, title = {A low power 12-bit 40MS/s pipelined {ADC} with digital calibration}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {137--140}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745979}, doi = {10.1109/APCCAS.2008.4745979}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JiaCZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JiangCCL08, author = {Joe{-}Air Jiang and Cheng{-}Long Chuang and Chia{-}Pang Chen and Tzu{-}Shiang Lin}, title = {A RSSI-based environmental-adaptive dynamic radiation power management for Wireless Sensor Networks}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1046--1049}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746203}, doi = {10.1109/APCCAS.2008.4746203}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JiangCCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JiangK08, author = {Aimin Jiang and Hon Keung Kwan}, title = {Unconstrained {IIR} filter design method using argument principle based stability criterion}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {866--869}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746160}, doi = {10.1109/APCCAS.2008.4746160}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JiangK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JiangLH08, author = {Hao Jiang and Zheying Li and Le Hao}, title = {Architecture for Heart Rate measurement}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {404--406}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746045}, doi = {10.1109/APCCAS.2008.4746045}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JiangLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JiaoYLD08, author = {Mei Jiao and Yong{-}Sheng Yin and Shangquan Liang and Honghui Deng}, title = {On the design of a power conversion circuit}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {542--545}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746080}, doi = {10.1109/APCCAS.2008.4746080}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JiaoYLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JinC08, author = {Jianxun Jin and Xiaoyuan Chen}, title = {Design of a 10 kVA {HTS} transformer prototype}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1884--1887}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746412}, doi = {10.1109/APCCAS.2008.4746412}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JinC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JinC08a, author = {Jianxun Jin and Xiaoyuan Chen}, title = {{HTS} inductive magnetic energy storage with power control technology}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1892--1895}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746414}, doi = {10.1109/APCCAS.2008.4746414}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JinC08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JinQ08, author = {Jianxun Jin and Huang Qi}, title = {{HTS} {DC} power transmission analysis}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1888--1891}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746413}, doi = {10.1109/APCCAS.2008.4746413}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JinQ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JingCCCC08, author = {Ming{-}Haw Jing and Yaotsu Chang and Jian{-}Hong Chen and Zih{-}Heng Chen and Jia{-}Hao Chang}, title = {A new decoder for binary quadratic residue code with irreducible generator polynomial}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1518--1521}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746321}, doi = {10.1109/APCCAS.2008.4746321}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JingCCCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JingCCW08, author = {Ming{-}Haw Jing and Zih{-}Heng Chen and Jian{-}Hong Chen and Cheng{-}Yi Wu}, title = {Design of simple and high speed {VLSI} core for the protection of mass storages}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1660--1663}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746356}, doi = {10.1109/APCCAS.2008.4746356}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JingCCW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JungHLPYKCP08, author = {Gunok Jung and Sahun Hong and Donggyu Lee and Jin{-}Soo Park and Sangdon Yi and Yohan Kwon and Ukrae Cho and Sung{-}Bae Park}, title = {Skew variation compensating technique for clock mesh networks}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {894--897}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746167}, doi = {10.1109/APCCAS.2008.4746167}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JungHLPYKCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JungJ08, author = {Bongsoo Jung and Byeungwoo Jeon}, title = {Pooled zero vector coding for enhanced compression of motion vectors}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1743--1746}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746377}, doi = {10.1109/APCCAS.2008.4746377}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JungJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JungK08, author = {Jongyoon Jung and Taewhan Kim}, title = {Timing variation-aware high level synthesis: Current results and research challenges}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1004--1007}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746194}, doi = {10.1109/APCCAS.2008.4746194}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JungK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KanbeKYKTSHY08, author = {Akihiro Kanbe and Masato Kaneta and Fuminori Yui and Haruo Kobayashi and Nobukazu Takai and Tatsuhiro Shimura and Hitoshi Hirata and Kentarou Yamagishi}, title = {New architecture for envelope-tracking power amplifier for base station}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {296--299}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746018}, doi = {10.1109/APCCAS.2008.4746018}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/KanbeKYKTSHY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KandeTS08, author = {Giri Babu Kande and Satya Savithri Tirumala and P. Venkata Subbaiah}, title = {Retinal vessel segmentation using histogram matching}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {129--132}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745977}, doi = {10.1109/APCCAS.2008.4745977}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KandeTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KatoMOYNMK08, author = {Toshiyuki Kato and Takaaki Miyauchi and Yoshizo Osumi and Hironori Yamauchi and Hideto Nishikado and Takaaki Miyake and Shiro Kobayashi}, title = {A {CDFG} generating method from {C} program for {LSI} design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {936--939}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746177}, doi = {10.1109/APCCAS.2008.4746177}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KatoMOYNMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KeilMGOG08, author = {Hans{-}Peter Keil and Massoud Momeni and Andre Guntoro and Alberto Garc{\'{\i}}a Ortiz and Manfred Glesner}, title = {A novel leakage-estimation method for input-vector control}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {570--573}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746087}, doi = {10.1109/APCCAS.2008.4746087}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KeilMGOG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KhooR08, author = {I{-}Hung Khoo and Hari C. Reddy}, title = {Delta operator based design of 1-D and 2-D filters: An overview}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1442--1445}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746302}, doi = {10.1109/APCCAS.2008.4746302}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KhooR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KhooRM08, author = {I{-}Hung Khoo and Hari C. Reddy and George S. Moschytz}, title = {Delta discrete-time operator-based doubly-terminated two-port: Application to filter design and stability testing}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {948--951}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746180}, doi = {10.1109/APCCAS.2008.4746180}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KhooRM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KimACCO08, author = {Sea{-}Ho Kim and Byung{-}Gyu Ahn and Ki{-}Seok Chung and Sung{-}Hwan Oh}, title = {Timing driven force-directed floorplanning with incremental static timing analyzer}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1000--1003}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746193}, doi = {10.1109/APCCAS.2008.4746193}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KimACCO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KimCYLCL08, author = {Young{-}Ju Kim and Hee{-}Cheol Choi and Pil{-}Seon Yoo and Dong{-}Suk Lee and Joong{-}Ho Choi and Seung{-}Hoon Lee}, title = {A low offset rail-to-rail 12b 2MS/s 0.18{\(\mu\)}m {CMOS} cyclic {ADC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {17--20}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745949}, doi = {10.1109/APCCAS.2008.4745949}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KimCYLCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KimHL08, author = {Dae{-}Yeon Kim and Ki{-}Hun Han and Yung Lyul Lee}, title = {Adaptive intra mode bit skip in intra coding}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {446--449}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746056}, doi = {10.1109/APCCAS.2008.4746056}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KimHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KimK08, author = {Yong{-}Woo Kim and Jin{-}Ku Kang}, title = {An 8B/10B encoder with a modified coding table}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1522--1525}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746322}, doi = {10.1109/APCCAS.2008.4746322}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/KimK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KimKPK08, author = {Jin Wook Kim and Wook Kim and Hyoun Soo Park and Young Hwan Kim}, title = {Incremental statistical static timing analysis with gate timing yield emphasis}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1016--1019}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746197}, doi = {10.1109/APCCAS.2008.4746197}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KimKPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KimLK08, author = {Soojin Kim and Jinyoung Lee and Younglok Kim}, title = {Adaptive Cholesky based {MMSE} equalizer in {GSM}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {886--889}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746165}, doi = {10.1109/APCCAS.2008.4746165}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KimLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KimSL08, author = {Sangmin Kim and Gerald E. Sobelman and Hanho Lee}, title = {Flexible {LDPC} decoder architecture for high-throughput applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {45--48}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745956}, doi = {10.1109/APCCAS.2008.4745956}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KimSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KohiraTT08, author = {Yukihide Kohira and Shuhei Tani and Atsushi Takahashi}, title = {Minimization of delay insertion in clock period improvement in general-synchronous framework}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1680--1683}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746361}, doi = {10.1109/APCCAS.2008.4746361}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KohiraTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KonagayaLSKAYMN08, author = {Hajime Konagaya and HaiJun Lin and Hao San and Haruo Kobayashi and Kazumasa Ando and Hiroshi Yoshida and Chieto Murayama and Yukihiro Nisida}, title = {{\(\Delta\)}{\(\Sigma\)}AD modulator for low power application}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1232--1235}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746249}, doi = {10.1109/APCCAS.2008.4746249}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KonagayaLSKAYMN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KongUM08, author = {Ngai Kong and Seng{-}Pan U and Rui Paulo Martins}, title = {A novel {CMOS} switched-current mode sequential shift forward inference circuit for fuzzy logic controller}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {396--399}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746043}, doi = {10.1109/APCCAS.2008.4746043}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/KongUM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KumarR08, author = {Tipparti Anil Kumar and Korrai Deergha Rao}, title = {A new M-estimator for performance analysis of cellular digital mobile radio systems including diversity technique}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {826--829}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746150}, doi = {10.1109/APCCAS.2008.4746150}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KumarR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KuoC08, author = {Ko{-}Chi Kuo and Ming{-}Jing Chen}, title = {5 GHz phase locked loop with auto band selection}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {550--553}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746082}, doi = {10.1109/APCCAS.2008.4746082}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KuoC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KuoLC08, author = {Chien{-}Hung Kuo and Kuan{-}Yi Lee and Shuo{-}Chau Chen}, title = {A 0.8V SOP-based cascade multibit delta-sigma modulator for wideband applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1224--1227}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746247}, doi = {10.1109/APCCAS.2008.4746247}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KuoLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KuoLW08, author = {Chien{-}Hung Kuo and Kuan{-}Yi Lee and Ming{-}Feng Wu}, title = {An ultra low-power delta-sigma modulator using charge-transfer amplifier technique}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1236--1239}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746250}, doi = {10.1109/APCCAS.2008.4746250}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KuoLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KwanCLWH08, author = {Hing{-}Kit Kwan and Chung{-}Man Cheung and Chi{-}Un Lei and Ngai Wong and Ka{-}Leung Ho}, title = {Synthesis of optimal {OTA-C} filter structures with arbitrary transmission zeros via {MINLP}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {944--947}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746179}, doi = {10.1109/APCCAS.2008.4746179}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KwanCLWH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KwokLZ08, author = {Ka Chun Kwok and Zhiping Lin and Yonghong Zeng}, title = {Precoded {MIMO-SC-FDE} and blind channel estimation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1434--1437}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746300}, doi = {10.1109/APCCAS.2008.4746300}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KwokLZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LaiL08, author = {Hsiu{-}Chun Lai and Zhi{-}Ming Lin}, title = {A 1V low noise amplifier for WiMAX / {UWB} applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {153--155}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745983}, doi = {10.1109/APCCAS.2008.4745983}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LaiL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LaiZCX08, author = {Suming Lai and Hong Zhang and Guican Chen and Jianchao Xu}, title = {An improved source follower with wide swing and low output impedance}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {814--817}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746147}, doi = {10.1109/APCCAS.2008.4746147}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LaiZCX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Lam08, author = {Edmund Y. Lam}, title = {Non-negative matrix factorization for images with Laplacian noise}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {798--801}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746143}, doi = {10.1109/APCCAS.2008.4746143}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Lam08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LamW08, author = {Chi{-}Seng Lam and Man{-}Chung Wong}, title = {A capacitive-coupled transformerless active power filter with coupling current feedback control}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1029--1032}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746199}, doi = {10.1109/APCCAS.2008.4746199}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/LamW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeC08, author = {Seonyoung Lee and Kyeongsoon Cho}, title = {Design of high-performance transform and quantization circuit for unified video {CODEC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1450--1453}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746304}, doi = {10.1109/APCCAS.2008.4746304}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeKBCS08, author = {Sunyong Lee and Taewan Kim and Jisung Byun and Yunmo Chung and Moonvin Song}, title = {Intergrated control system of multi-channel audio signals for serial transmission}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1446--1449}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746303}, doi = {10.1109/APCCAS.2008.4746303}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeKBCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeL08, author = {Jun Wei Lee and Yong Ching Lim}, title = {Efficient fast filter bank with a reduced delay}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1430--1433}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746299}, doi = {10.1109/APCCAS.2008.4746299}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeLCSK08, author = {Seungbeom Lee and Hanho Lee and Chang{-}Seok Choi and Jongyoon Shin and Je{-}Soo Ko}, title = {40-Gb/s two-parallel Reed-Solomon based Forward Error Correction architecture for optical communications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {882--885}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746164}, doi = {10.1109/APCCAS.2008.4746164}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeLCSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeLL08, author = {Wei{-}Chin Lee and Yao Li and Chen{-}Yi Lee}, title = {Design of a memory-based {VLC} decoder for portable video applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1340--1343}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746276}, doi = {10.1109/APCCAS.2008.4746276}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeSJK08, author = {Changhyun Lee and Kwanwoong Song and Younghun Joo and Yongserk Kim}, title = {Adaptive rate control for real-time video streaming over the mobile WiMAX}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1454--1457}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746305}, doi = {10.1109/APCCAS.2008.4746305}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeSJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeiDSF08, author = {Wai Kei Lei and Ming{-}Chui Dong and Jun Shi and Bin Bin Fu}, title = {Automatic {ECG} interpretation via morphological feature extraction and {SVM} inference nets}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {254--258}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746008}, doi = {10.1109/APCCAS.2008.4746008}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeiDSF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeongTT08, author = {Chon Chio Leong and Sio Weng Ting and Kam{-}Weng Tam}, title = {Multi-spurious suppression for microstrip dual-mode bandpass filter using triple U-shaped defected ground structure}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {90--92}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745967}, doi = {10.1109/APCCAS.2008.4745967}, timestamp = {Sat, 12 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeongTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiDL08, author = {Baofeng Li and Yong Dou and Yuanwu Lei}, title = {Area and throughput trade-offs in design of arithmetic encoder for {JPEG2000}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {316--319}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746023}, doi = {10.1109/APCCAS.2008.4746023}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiDL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiGJ08, author = {Nanxi Li and Xue Gao and Lianwen Jin}, title = {Curved segmentation path generation for unconstrained handwritten Chinese text lines}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {501--505}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746070}, doi = {10.1109/APCCAS.2008.4746070}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiGJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiHH08, author = {Nan{-}Shing Li and Juinn{-}Dar Huang and Han{-}Jung Huang}, title = {Low power multiplexer tree design using dynamic propagation path control}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {838--841}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746153}, doi = {10.1109/APCCAS.2008.4746153}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiHHH08, author = {Gang Li and Chaogeng Huang and Jingyu Hua and Bin Huang}, title = {A novel structure for 2nd order digital filters}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {874--877}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746162}, doi = {10.1109/APCCAS.2008.4746162}, timestamp = {Tue, 20 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/LiHHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiN08, author = {Jie Li and King Ngi Ngan}, title = {Adaptive partition size temporal error concealment for {H.264}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1739--1742}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746376}, doi = {10.1109/APCCAS.2008.4746376}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiS08, author = {Yang Li and Svante Signell}, title = {Multi-bandwidth analog filter design for {SDR}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {956--959}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746182}, doi = {10.1109/APCCAS.2008.4746182}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiSG08, author = {Lin Li and Ming{-}xia Shen and Cui{-}xia Guo}, title = {Study of mobile farmland information monitoring terminal based wireless data transmission technology}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1054--1057}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746205}, doi = {10.1109/APCCAS.2008.4746205}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiSG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiSH08, author = {Zhuxin Li and Bonian Shi and Yingduo Han}, title = {A novel inter-area oscillation damping design based on wide area measurements}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {773--776}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746137}, doi = {10.1109/APCCAS.2008.4746137}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiSH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiWHG08, author = {Yan Li and Jin Wu and Zhiqi Huang and Zongli Gao}, title = {A Sub-1ppm/{\textdegree}C high-order curvature-compensated bandgap reference}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1204--1207}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746242}, doi = {10.1109/APCCAS.2008.4746242}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiWHG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiWL08, author = {Qingwei Li and Zhongfeng Wang and Xingcheng Liu}, title = {Fast point operation architecture for Elliptic Curve Cryptography}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {184--188}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745991}, doi = {10.1109/APCCAS.2008.4745991}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiWL08a, author = {Qingwei Li and Zhongfeng Wang and Xingcheng Liu}, title = {Efficient radius and list updating units design for list sphere decoders}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1098--1102}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746216}, doi = {10.1109/APCCAS.2008.4746216}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiWL08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiWZL08, author = {Qingwei Li and Zhongfeng Wang and Xinmiao Zhang and Xingcheng Liu}, title = {Efficient architecture for the Tate pairing in characteristic three}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1111--1115}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746219}, doi = {10.1109/APCCAS.2008.4746219}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiWZL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiXHH08, author = {Weinan Li and Lingli Xia and Yumei Huang and Zhiliang Hong}, title = {A 0.13{\(\mu\)}m {CMOS} {UWB} receiver front-end using passive mixer}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {288--291}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746016}, doi = {10.1109/APCCAS.2008.4746016}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiXHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiYFH08, author = {Hong Li and Lifang Ye and Jinghong Fu and Jianping Hu}, title = {Single-phase power-gating adiabatic flip-flops}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {964--967}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746184}, doi = {10.1109/APCCAS.2008.4746184}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiYFH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiYH08, author = {Xingyuan Li and Quan Yan and Yuying Hu}, title = {Decentralized coordinated robust adaptive control for {AC/DC} interconnected power systems based on {WAMS}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1896--1899}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746415}, doi = {10.1109/APCCAS.2008.4746415}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiYH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiZCZYZ08, author = {Sizhen Li and Xuecheng Zou and Xiaofei Chen and Zhige Zou and Kai Yu and Hao Zhang}, title = {A precise bandgap reference with intrinsic compensation for current-mirror mismatch}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1200--1203}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746241}, doi = {10.1109/APCCAS.2008.4746241}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiZCZYZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiangYDSG08, author = {Shangquan Liang and Yong{-}Sheng Yin and Honghui Deng and Yu{-}Kun Song and Minglun Gao}, title = {A low power consumption, high speed Op-amp for a 10-bit 100MSPS parallel pipeline {ADC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {818--821}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746148}, doi = {10.1109/APCCAS.2008.4746148}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiangYDSG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiaoLCYLC08, author = {Chun{-}Hao Liao and Jun{-}Wei Lin and Yen{-}Shuo Chang and Ching{-}Hao Yu and Chun{-}Hao Liu and Tzi{-}Dar Chiueh}, title = {An {IP} generator for quasi-cyclic {LDPC} convolutional code decoders}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1652--1655}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746354}, doi = {10.1109/APCCAS.2008.4746354}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiaoLCYLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiaoWLC08, author = {Chun{-}Hao Liao and Chien{-}Yi Wang and Chun{-}Hao Liu and Tzi{-}Dar Chiueh}, title = {An O(qlogq) log-domain decoder for non-binary {LDPC} over GF(q)}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1644--1647}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746352}, doi = {10.1109/APCCAS.2008.4746352}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiaoWLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiechtiTADL08, author = {Thomas Liechti and Armin Tajalli and Omer Can Akgun and Zeynep Toprak Deniz and Yusuf Leblebici}, title = {A 1.8V 12-bit 230-MS/s pipeline {ADC} in 0.18{\(\mu\)}m {CMOS} technology}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {21--24}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745950}, doi = {10.1109/APCCAS.2008.4745950}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiechtiTADL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiewYL08, author = {Wen{-}Sin Liew and Libin Yao and Yong Lian}, title = {A moving binary search {SAR-ADC} for low power biomedical data acquisition system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {646--649}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746106}, doi = {10.1109/APCCAS.2008.4746106}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiewYL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Lin08, author = {Tsui{-}Tsai Lin}, title = {A decision-directed receiver for {CDMA} {MIMO} systems over multipath fading channel}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {485--488}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746066}, doi = {10.1109/APCCAS.2008.4746066}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Lin08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinD08, author = {Meng{-}Chun Lin and Lan{-}Rong Dung}, title = {Two-step windowing technique for wide range motion estimation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1478--1481}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746311}, doi = {10.1109/APCCAS.2008.4746311}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinHHC08, author = {Yo{-}Shen Lin and Yu{-}Shu Hsieh and Yuh{-}Jing Hwang and Chau{-}Ching Chiong}, title = {Q-band bandpass filter designs in heterodyne receiver for radio astronomy}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {86--89}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745966}, doi = {10.1109/APCCAS.2008.4745966}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinHHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinLH08, author = {Chiung Chun Lin and Yinyi Lin and Hui{-}Jane Hsieh}, title = {Multi-direction search algorithm for block motion estimation in {H.264} encoder}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1474--1477}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746310}, doi = {10.1109/APCCAS.2008.4746310}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinSWK08, author = {Su{-}Hon Lin and Ming{-}Hwa Sheu and Chao{-}Hsiang Wang and Yuan{-}Ching Kuo}, title = {Area-time-power efficient {VLSI} design for residue-to-binary converter based on moduli set (2\({}^{\mbox{n}}\), 2\({}^{\mbox{n+1}}\)-1, 2\({}^{\mbox{n}}\)-1)}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {168--171}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745987}, doi = {10.1109/APCCAS.2008.4745987}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinSWK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinWK08, author = {Chia{-}Yu Lin and Chih{-}Chun Wei and Mong{-}Kai Ku}, title = {Efficient encoding for dual-diagonal structured {LDPC} codes based on parity bit prediction and correction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1648--1651}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746353}, doi = {10.1109/APCCAS.2008.4746353}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinWK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinWLY08, author = {Heng{-}Yao Lin and Kuan{-}Hsien Wu and Bin{-}Da Liu and Jar{-}Ferr Yang}, title = {Transformed-based mode decision algorithm for {H.264/AVC} intraprediction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1344--1347}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746277}, doi = {10.1109/APCCAS.2008.4746277}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinWLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinYLW08, author = {Gung{-}Yu Lin and Ching{-}Yuan Yang and Yu Lee and Jun{-}Hong Weng}, title = {A programmable duty cycle corrector based on delta-sigma modulated {PWM} mechanism}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1406--1409}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746293}, doi = {10.1109/APCCAS.2008.4746293}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinYLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LingLHW08, author = {Xiang Ling and Zhongqi Li and Jianhao Hu and Shihong Wu}, title = {{HW/SW} co-simulation platforms for {VLSI} design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {578--581}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746089}, doi = {10.1109/APCCAS.2008.4746089}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/LingLHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuB08, author = {Yuzhe Liu and Peter H. Bauer}, title = {Sufficient conditions for non-negative impulse response of arbitrary-order systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1410--1413}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746294}, doi = {10.1109/APCCAS.2008.4746294}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuFZ08, author = {Ligang Liu and Masahiro Fukumoto and Shiyong Zhang}, title = {A variable parameter improved proportionate normalized {LMS} algorithm}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {201--204}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745995}, doi = {10.1109/APCCAS.2008.4745995}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuFZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuJF08, author = {Hao Liu and Guo{-}Ping Jiang and Chun{-}Xia Fan}, title = {State-observer-based approach for identification and monitoring of complex dynamical networks}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1212--1215}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746244}, doi = {10.1109/APCCAS.2008.4746244}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuJF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuJWD08, author = {Youquan Liu and Shaohui Jiao and Wen Wu and Suvranu De}, title = {{GPU} accelerated fast {FEM} deformation simulation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {606--609}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746096}, doi = {10.1109/APCCAS.2008.4746096}, timestamp = {Wed, 25 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuJWD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuL08, author = {Hang Liu and Hanho Lee}, title = {A high performance four-parallel 128/64-point radix-2\({}^{\mbox{4}}\) {FFT/IFFT} processor for {MIMO-OFDM} systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {834--837}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746152}, doi = {10.1109/APCCAS.2008.4746152}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuLQGC08, author = {Xin Liu and Feng Lu and Dong Qiao and Shuxu Guo and Yuchun Chang}, title = {Comprehensive power analysis and efficiency optimum design for step-up {DC-DC} converters}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1868--1871}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746408}, doi = {10.1109/APCCAS.2008.4746408}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuLQGC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuMWLW08, author = {Jinguo Liu and Shugen Ma and Yuechao Wang and Bin Li and Cong Wang}, title = {Configuration representation of a link-type self-reconfigurable mobile robot}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {737--740}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746129}, doi = {10.1109/APCCAS.2008.4746129}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/LiuMWLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuS08, author = {Silin Liu and Yin Shi}, title = {Fast locking and high accurate current matching phase-locked loop}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1136--1139}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746225}, doi = {10.1109/APCCAS.2008.4746225}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuW08, author = {Yansong Liu and Ngai Wong}, title = {Fast sweeping methods for checking passivity of descriptor systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1794--1797}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746390}, doi = {10.1109/APCCAS.2008.4746390}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuWGC08, author = {Xin Liu and Shuai Wang and Shuxu Guo and Yuchun Chang}, title = {Design of off-chip capacitor-free {CMOS} low-dropout voltage regulator}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1316--1319}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746270}, doi = {10.1109/APCCAS.2008.4746270}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuWGC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuYKK08, author = {Jia{-}Ming Liu and Chun{-}Jen Yu and Yeong{-}Chau Kuo and Tai{-}Haur Kuo}, title = {Optimizing the efficiency of {DC-DC} converters with an analog variable-frequency controller}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {910--913}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746171}, doi = {10.1109/APCCAS.2008.4746171}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuYKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuYMDCWW08, author = {Shaohua Liu and Junsheng Yu and Yinglong Ma and Qi Dang and Yilang Cen and Hua Wang and Di Wu}, title = {A novel {WSN} based intelligent training system for children's sensory integration}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {414--417}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746048}, doi = {10.1109/APCCAS.2008.4746048}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/LiuYMDCWW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LokW08, author = {Io{-}Keong Lok and Man{-}Chung Wong}, title = {Evaluation of quasi-resonant dc-link technique on generalized three-level inverter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1033--1036}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746200}, doi = {10.1109/APCCAS.2008.4746200}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LokW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LorenzoARP08, author = {Ricardo Dold{\'{a}}n Lorenzo and Antonio Jose Gin{\'{e}}s Arteaga and Adoraci{\'{o}}n Rueda and Eduardo J. Peral{\'{\i}}as}, title = {A 5GHz wide tuning range {LC-VCO} in sub-micrometer {CMOS} technology}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {558--561}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746084}, doi = {10.1109/APCCAS.2008.4746084}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LorenzoARP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LoyZKGY08, author = {Liang{-}Yu Loy and Weija Zhang and Zhi{-}Hui Kong and Wang Ling Goh and Kiat Seng Yeo}, title = {Body-bootstrapped-buffer circuit for {CMOS} static power reduction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {842--845}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746154}, doi = {10.1109/APCCAS.2008.4746154}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LoyZKGY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LuF08, author = {Hoang{-}Yang Lu and Wen{-}Hsien Fang}, title = {Space-time multiuser detection with alternating soft interference cancellation in highly loaded {CDMA} systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {422--425}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746050}, doi = {10.1109/APCCAS.2008.4746050}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LuF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LuHWLWS08, author = {Chao Lu and Yingduo Han and Xiaochen Wu and Peng Li and Jingtao Wu and Jinghai Shi}, title = {Field experiments of wide area damping controllers for multiple {HVDC} links}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {627--630}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746101}, doi = {10.1109/APCCAS.2008.4746101}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LuHWLWS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LuSC08, author = {Yang Lu and Sindhu Suresh and Dariusz Czarkowski}, title = {Integrated controller for a 100 MHz {DC-DC} switching converter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1864--1867}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746407}, doi = {10.1109/APCCAS.2008.4746407}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LuSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LuTH08, author = {Chi{-}Chang Lu and Wei{-}Xiang Tung and Chien{-}Kuo Huang}, title = {A {CMOS} low-voltage fully differential sample-and-hold circuit}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1188--1191}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746238}, doi = {10.1109/APCCAS.2008.4746238}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LuTH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LuZL08, author = {Xiaochen Lu and Xueren Zheng and Hanhua Liu}, title = {Voltage averaging technique for improving the resolution of two-capacitor {DAC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1529--1532}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746324}, doi = {10.1109/APCCAS.2008.4746324}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LuZL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LuethiSDZKFF08, author = {Peter Luethi and Christoph Studer and Sebastian Duetsch and Eugen Zgraggen and Hubert Kaeslin and Norbert Felber and Wolfgang Fichtner}, title = {Gram-Schmidt-based {QR} decomposition for {MIMO} detection: {VLSI} implementation and comparison}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {830--833}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746151}, doi = {10.1109/APCCAS.2008.4746151}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/LuethiSDZKFF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LuoDG08, author = {Fang{-}Jie Luo and Hong{-}Hui Deng and Ming{-}Lun Gao}, title = {A design of {CMOS} bandgap reference with low thermal drift and low offset}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {538--541}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746079}, doi = {10.1109/APCCAS.2008.4746079}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LuoDG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LuoLZZ08, author = {Ping Luo and Zhaoji Li and Shaowei Zhen and Bo Zhang}, title = {Self-tuning {PSM} controller based on state machine}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {906--909}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746170}, doi = {10.1109/APCCAS.2008.4746170}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LuoLZZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LvFCT08, author = {Ting{-}Shan Lv and Si{-}Weng Fok and Pedro Cheong and Kam{-}Weng Tam}, title = {Compact microstrip square-loop dual-mode bandpass filter design with wideband response}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {93--96}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745968}, doi = {10.1109/APCCAS.2008.4745968}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/LvFCT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MaWZW08, author = {Changming Ma and Xingjun Wu and Chun Zhang and Zhihua Wang}, title = {A low-power {RF} front-end of passive {UHF} {RFID} transponders}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {73--76}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745963}, doi = {10.1109/APCCAS.2008.4745963}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MaWZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MaiZW08, author = {Songping Mai and Chun Zhang and Zhihua Wang}, title = {Function-based memory partitioning on low power digital signal processor for cochlear implants}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {654--657}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746108}, doi = {10.1109/APCCAS.2008.4746108}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MaiZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Maloberti08, author = {Franco Maloberti}, title = {High-performance data converters: Trends, process technologies and design challenges}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {12--16}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745948}, doi = {10.1109/APCCAS.2008.4745948}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Maloberti08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MarL08, author = {Jeich Mar and You{-}Rong Lin}, title = {{SDR} Based Multi-Mode {DBF} for micro-satellite {SAR}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {586--589}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746091}, doi = {10.1109/APCCAS.2008.4746091}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MarL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MeherJC08, author = {Manas Ranjan Meher and Ching{-}Chuen Jong and Chip{-}Hong Chang}, title = {High-speed and low-power serial accumulator for serial/parallel multiplier}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {176--179}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745989}, doi = {10.1109/APCCAS.2008.4745989}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MeherJC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MeiJ08, author = {Go Ai Mei and Sudhanshu Shekhar Jamuar}, title = {Design of analog mixer for {RF} frontend}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1616--1619}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746345}, doi = {10.1109/APCCAS.2008.4746345}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MeiJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MladenovN08, author = {Todor Mladenov and Saeid Nooshabadi}, title = {Implementation of reconfigurable {SHA-2} hardware core}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1802--1805}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746392}, doi = {10.1109/APCCAS.2008.4746392}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MladenovN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MomeniGKG08, author = {Massoud Momeni and Andre Guntoro and Hans{-}Peter Keil and Manfred Glesner}, title = {Impact of circuit nonidealities on the implementation of switched-capacitor resonators}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1624--1627}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746347}, doi = {10.1109/APCCAS.2008.4746347}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MomeniGKG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MondalM08, author = {Kalyan Mondal and Sanjit K. Mitra}, title = {Design of sparse arrays with high sidelobe rejection}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {980--983}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746188}, doi = {10.1109/APCCAS.2008.4746188}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MondalM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MooreSSI08, author = {Brian Moore and Chris Sellathamby and Steven Slupsky and Kris Iniewski}, title = {Chip to chip communications for terabit transmission rates}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1558--1561}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746331}, doi = {10.1109/APCCAS.2008.4746331}, timestamp = {Wed, 21 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/MooreSSI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MoriKYKKWSKS08, author = {Ibuki Mori and Keigo Kimura and Yoshihisa Yamada and Haruo Kobayashi and Yasunori Kobori and Santhos Ario Wibowo and Kazuya Shimizu and Masashi Kono and Hao San}, title = {High-resolution {DPWM} generator for digitally controlled {DC-DC} converters}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {914--917}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746172}, doi = {10.1109/APCCAS.2008.4746172}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MoriKYKKWSKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MotaSL08, author = {Pedro Fonseca da Mota and Jos{\'{e}} Machado da Silva and John Long}, title = {An adaptive scheme for estimating and correcting {RF} amplifiers' non-linearities}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1604--1607}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746342}, doi = {10.1109/APCCAS.2008.4746342}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MotaSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MuralidharanCJ08, author = {Ramya Muralidharan and Chip{-}Hong Chang and Ching{-}Chuen Jong}, title = {A low complexity modulo 2\({}^{\mbox{n}}\)+1 squarer design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1296--1299}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746265}, doi = {10.1109/APCCAS.2008.4746265}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MuralidharanCJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/NaM08, author = {Li Na and Cai Min}, title = {A tight-binding model of the benzene-molecular system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1732--1734}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746374}, doi = {10.1109/APCCAS.2008.4746374}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/NaM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/NagashimaITYO08, author = {Akiyuki Nagashima and Yuta Imai and Nozomu Togawa and Masao Yanagisawa and Tatsuo Ohtsuki}, title = {Dynamically reconfigurable architecture for multi-rate compatible regular {LDPC} decoding}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {705--708}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746121}, doi = {10.1109/APCCAS.2008.4746121}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/NagashimaITYO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/NairEJ08, author = {Pradeep S. Nair and Savithra Eratne and Eugene John}, title = {Topology-related effects of Gated-Vdd and Gated-Vss techniques on full-adder leakage and delay at 65nm and 45 nm}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {972--975}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746186}, doi = {10.1109/APCCAS.2008.4746186}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/NairEJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Nakamura08, author = {Yuichi Nakamura}, title = {A design method for skew tolerant latch design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {356--359}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746033}, doi = {10.1109/APCCAS.2008.4746033}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/Nakamura08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/NakanishiKK08, author = {Yutaka Nakanishi and Fuminori Kobayashi and Hitoshi Kondoh}, title = {Low-jitter {PLL} by interpolate compensation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1078--1081}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746211}, doi = {10.1109/APCCAS.2008.4746211}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/NakanishiKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/NakasuwanRP08, author = {Jintana Nakasuwan and Paitoon Rakluea and Virote Pirajnanchai}, title = {Printed circuit antenna for {UWB} systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1375--1378}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746285}, doi = {10.1109/APCCAS.2008.4746285}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/NakasuwanRP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Nilsson08, author = {Peter Nilsson}, title = {Arithmetic reduction of adder leakage in nanoscale {CMOS}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {717--720}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746124}, doi = {10.1109/APCCAS.2008.4746124}, timestamp = {Thu, 21 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/Nilsson08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/NithinTM08, author = {Kumar Y. B. Nithin and Sel{\c{c}}uk Talay and Franco Maloberti}, title = {On the design of band-pass quadrature {\(\Sigma\)}{\(\Delta\)} modulators}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1228--1231}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746248}, doi = {10.1109/APCCAS.2008.4746248}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/NithinTM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/NiuWG08, author = {Dongxiao Niu and Yongli Wang and Zhihong Gu}, title = {Support vector machines based on Lyapunov exponents in power load forecasting model}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {368--371}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746036}, doi = {10.1109/APCCAS.2008.4746036}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/NiuWG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/NiuWM08, author = {Dongxiao Niu and Yongli Wang and Xiaoyong Ma}, title = {Power plant construction project safety management evaluation with fuzzy neural network model}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {489--492}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746067}, doi = {10.1109/APCCAS.2008.4746067}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/NiuWM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/OgawaKHTST08, author = {Tomohiko Ogawa and Haruo Kobayashi and Masao Hotta and Yosuke Takahashi and Hao San and Nobukazu Takai}, title = {{SAR} {ADC} algorithm with redundancy}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {268--271}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746011}, doi = {10.1109/APCCAS.2008.4746011}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/OgawaKHTST08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/OuleeY08, author = {Chi{-}Shuang Oulee and Rong{-}Jyi Yang}, title = {A 1.25Gbps all-digital clock and data recovery circuit with binary frequency acquisition}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {680--683}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746115}, doi = {10.1109/APCCAS.2008.4746115}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/OuleeY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PadmanabhanLHO08, author = {Pavitra Padmanabhan and Zhiping Lin and Guang{-}Bin Huang and Marcus Eng Hock Ong}, title = {Patient classification based on pre-hospital heart rate variability}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {125--128}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745976}, doi = {10.1109/APCCAS.2008.4745976}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PadmanabhanLHO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PareschiRS08, author = {Fabio Pareschi and Riccardo Rovatti and Gianluca Setti}, title = {On the approximation errors in the frequency test included in the {NIST} {SP800-22} statistical test suite}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1216--1219}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746245}, doi = {10.1109/APCCAS.2008.4746245}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PareschiRS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ParkCJYJCC08, author = {Jungeui Park and Jungsoo Choi and Wooju Jeong and Sangduk Yu and Kichang Jang and Youngchan Choi and Joongho Choi}, title = {Current-sensing technique for current-mode {DC-DC} buck converter with offset-voltage compensation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1704--1707}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746367}, doi = {10.1109/APCCAS.2008.4746367}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ParkCJYJCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ParkPKNL08, author = {Joon{-}Sung Park and YoungGun Pu and Young{-}Shin Kim and Chul Nam and Kang{-}Yoon Lee}, title = {A design of sub-band generator for {MB-OFDM} {UWB} application in 0.13 {\(\mu\)}m {RF} {CMOS} process}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1712--1715}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746369}, doi = {10.1109/APCCAS.2008.4746369}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ParkPKNL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PeiDHG08, author = {Soo{-}Chang Pei and Jian{-}Jiun Ding and Jiun{-}De Huang and Guo{-}Cyuan Guo}, title = {Short response Hilbert transform for edge detection}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {340--343}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746029}, doi = {10.1109/APCCAS.2008.4746029}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PeiDHG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PeiWL08, author = {Soo{-}Chang Pei and Peng{-}Hua Wang and Chia{-}Huei Lin}, title = {Design of {IIR} fractional differentiator With Peano kernel}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {862--865}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746159}, doi = {10.1109/APCCAS.2008.4746159}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PeiWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PillonnetCAAN08, author = {Ga{\"{e}}l Pillonnet and Remy Cellier and Emmanuel Allier and Nacer Abouchi and Angelo Nagari}, title = {A topological comparison of {PWM} and hysteresis controls in switching audio amplifiers}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {668--671}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746112}, doi = {10.1109/APCCAS.2008.4746112}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/PillonnetCAAN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PoNWC08, author = {Lai{-}Man Po and Ka{-}Ho Ng and Ka{-}Man Wong and Kwok{-}Wai Cheung}, title = {Multi-direction search algorithm for block-based motion estimation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1466--1469}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746308}, doi = {10.1109/APCCAS.2008.4746308}, timestamp = {Mon, 07 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PoNWC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PourbagheriBA08, author = {Saeed Pourbagheri and Mehrdad Sharif Bakhtiar and Mojtaba Atarodi}, title = {Cellular design for a dense {RFID} reader environment}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1124--1127}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746222}, doi = {10.1109/APCCAS.2008.4746222}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PourbagheriBA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PradhanKC08, author = {Sambhu Nath Pradhan and M. Tilak Kumar and Santanu Chattopadhyay}, title = {Three-level {AND-OR-XOR} network synthesis: {A} {GA} based approach}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {574--577}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746088}, doi = {10.1109/APCCAS.2008.4746088}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PradhanKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PrasertsomTS08, author = {Danucha Prasertsom and Worapong Tangsrirat and Wanlop Surakampontorn}, title = {Low-voltage digitally controlled current differencing buffered amplifier}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1074--1077}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746210}, doi = {10.1109/APCCAS.2008.4746210}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PrasertsomTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PukkalanunT08, author = {Tattaya Pukkalanun and Worapong Tangsrirat}, title = {CDTA-based current limiters and applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1070--1073}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746209}, doi = {10.1109/APCCAS.2008.4746209}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PukkalanunT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PunchalardKW08, author = {Rachu Punchalard and Jeerasuda Koseeyaporn and Paramote Wardkein}, title = {Mean square error analysis of the {PG} algorithm for adaptive {IIR} notch filter with constrained poles and zeros using power spectral density method}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {193--196}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745993}, doi = {10.1109/APCCAS.2008.4745993}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PunchalardKW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/QasimAA08, author = {Syed Manzoor Qasim and Shuja Ahmad Abbasi and Bandar Almashary}, title = {A proposed FPGA-based parallel architecture for matrix multiplication}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1763--1766}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746382}, doi = {10.1109/APCCAS.2008.4746382}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/QasimAA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/QiZSW08, author = {Yu Qi and Guohe Zhang and Zhibiao Shao and Bo Wang}, title = {A low kick back noise latched comparator for high speed folding and interpolating {ADC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {272--275}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746012}, doi = {10.1109/APCCAS.2008.4746012}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/QiZSW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/QuynhTG08, author = {Nguyen Huu Quynh and Ngo Quoc Tao and Ngo Truong Giang}, title = {Efficient content based image retrieval through sector histogram}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1814--1817}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746395}, doi = {10.1109/APCCAS.2008.4746395}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/QuynhTG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/RadulovQHR08, author = {Georgi I. Radulov and Patrick J. Quinn and Hans Hegt and Arthur H. M. van Roermund}, title = {A flexible 12-bit self-calibrated quad-core current-steering {DAC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {25--28}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745951}, doi = {10.1109/APCCAS.2008.4745951}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/RadulovQHR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Rao08, author = {Korrai Deergha Rao}, title = {Adaptive blind equalization of {SIMO} {FIR} second order Volterra channels}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {794--797}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746142}, doi = {10.1109/APCCAS.2008.4746142}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Rao08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/RomoliPCPP08, author = {Laura Romoli and Paolo Peretti and Stefania Cecchi and Lorenzo Palestini and Francesco Piazza}, title = {Real-time implementation of Wave Field Synthesis for sound reproduction systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {430--433}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746052}, doi = {10.1109/APCCAS.2008.4746052}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/RomoliPCPP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/RotiliCPSP08, author = {Rudy Rotili and Simone Cifani and Emanuele Principi and Stefano Squartini and Francesco Piazza}, title = {A robust iterative inverse filtering approach for speech dereverberation in presence of disturbances}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {434--437}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746053}, doi = {10.1109/APCCAS.2008.4746053}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/RotiliCPSP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Ruiz-AmayaDR08, author = {Jes{\'{u}}s Ruiz{-}Amaya and Manuel Delgado{-}Restituto and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {Electrical-level synthesis of pipeline ADCs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1628--1631}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746348}, doi = {10.1109/APCCAS.2008.4746348}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Ruiz-AmayaDR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/RyuPPK08, author = {Changwon Ryu and Hyungbae Park and Jusung Park and Kangjoo Kim}, title = {A compact {DSP} architecture for digital audio}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1458--1461}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746306}, doi = {10.1109/APCCAS.2008.4746306}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/RyuPPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/RyuPSKC08, author = {Chang Duk Ryu and Jang Woong Park and Myung Hoon Sunwoo and Pansoo Kim and Dae{-}Ig Chang}, title = {Hardware efficient frequency estimator based on data-aided algorithm for digital video broadcasting system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {890--893}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746166}, doi = {10.1109/APCCAS.2008.4746166}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/RyuPSKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SaeediAB08, author = {Saeed Saeedi and Mojtaba Atarodi and Mehrdad Sharif Bakhtiar}, title = {A divide-by-3 frequency divider for {I/Q} generation in a multi-band frequency synthesizer}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1383--1386}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746287}, doi = {10.1109/APCCAS.2008.4746287}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/SaeediAB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SantosPGH08, author = {Mauro Santos and Carlos Pires and Jorge Guilherme and Nuno Horta}, title = {Overview of radiation effects and design constraints off fully custom {SMPS}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {372--375}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746037}, doi = {10.1109/APCCAS.2008.4746037}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SantosPGH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SawJ08, author = {Richard Jin Guan Saw and Sudhanshu Shekhar Jamuar}, title = {Design of a 1.8V on-chip voltage generator for applications in low voltage transceiver}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {676--679}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746114}, doi = {10.1109/APCCAS.2008.4746114}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SawJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SazishA08, author = {Abdul Naser Sazish and Abbes Amira}, title = {An efficient architecture for {HWT} using sparse matrix factorisation and {DA} principles}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1308--1311}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746268}, doi = {10.1109/APCCAS.2008.4746268}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SazishA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SemiaoVFRLTT08, author = {Jorge Semi{\~{a}}o and Jo{\~{a}}o Varela and Judit Freijedo and Juan J. Rodr{\'{\i}}guez{-}Andina and Carlos Leong and Jo{\~{a}}o Paulo Teixeira and Isabel C. Teixeira}, title = {Robust solution for synchronous communication among multi clock domains}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1107--1110}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746218}, doi = {10.1109/APCCAS.2008.4746218}, timestamp = {Fri, 30 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SemiaoVFRLTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShammugasamyZ08, author = {Balasubramaniam Shammugasamy and Tun Zainal Azni Zulkifli}, title = {A 10-Gb/s fully balanced differential output transimpedance amplifier in 0.18-{\(\mu\)}m {CMOS} technology for {SDH/SONET} application}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {684--687}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746116}, doi = {10.1109/APCCAS.2008.4746116}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShammugasamyZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShenWL08, author = {Yijiang Shen and Ngai Wong and Edmund Y. Lam}, title = {Interconnect thermal simulation with higher order spatial accuracy}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {566--569}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746086}, doi = {10.1109/APCCAS.2008.4746086}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShenWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShengCL08, author = {Duo Sheng and Ching{-}Che Chung and Chen{-}Yi Lee}, title = {An all digital spread spectrum clock generator with programmable spread ratio for SoC applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {850--853}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746156}, doi = {10.1109/APCCAS.2008.4746156}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShengCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShiTYO08, author = {Youhua Shi and Nozomu Togawa and Masao Yanagisawa and Tatsuo Ohtsuki}, title = {Unknown response masking with minimized observable response loss and mask data}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1779--1781}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746386}, doi = {10.1109/APCCAS.2008.4746386}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShiTYO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShiZJL08, author = {Guangyi Shi and Yuexian Zou and Yufeng Jin and Wen Jung Li}, title = {PCA/ICA-based {SVM} for fall recognition using {MEMS} motion sensing data}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {69--72}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745962}, doi = {10.1109/APCCAS.2008.4745962}, timestamp = {Wed, 30 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShiZJL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShimizuFI08, author = {Akio Shimizu and Sumio Fukai and Yohei Ishikawa}, title = {Designing of a neuron {MOS} current mirror with a transimpedance amplifier}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1184--1187}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746237}, doi = {10.1109/APCCAS.2008.4746237}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShimizuFI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShuC08, author = {Jun Shu and Min Cai}, title = {A low supply-dependence fully-MOSFET voltage reference for low-voltage and low-power}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {662--665}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746110}, doi = {10.1109/APCCAS.2008.4746110}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShuC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShuWL08, author = {Ran Shu and Jianhui Wu and Shengli Lu}, title = {A 0.9-2.2GHz wide-band low-noise amplifier design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1058--1061}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746206}, doi = {10.1109/APCCAS.2008.4746206}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShuWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShyuPH08, author = {Jong{-}Jy Shyu and Soo{-}Chang Pei and Yun{-}Da Huang}, title = {Design of variable fractional-delay 2-D {FIR} digital filters by weighted least-squares approach}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {426--429}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746051}, doi = {10.1109/APCCAS.2008.4746051}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShyuPH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SilapanJS08, author = {Phamorn Silapan and Winai Jaikla and Montree Siripruchyanun}, title = {A novel versatile circuit functioning as both filter and oscillator based on CCCCTAs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1367--1370}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746283}, doi = {10.1109/APCCAS.2008.4746283}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SilapanJS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SilapanJS08a, author = {Phamorn Silapan and Winai Jaikla and Montree Siripruchyanun}, title = {A high-performance current-mode precision full-wave rectifier based on BiCMOS-CCCDBAs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1486--1489}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746313}, doi = {10.1109/APCCAS.2008.4746313}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SilapanJS08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SilapanTS08, author = {Phamorn Silapan and Chaiya Tanaphatsiri and Montree Siripruchyanun}, title = {Current controlled {CCTA} based- novel grounded capacitance multiplier with temperature compensation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1490--1493}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746314}, doi = {10.1109/APCCAS.2008.4746314}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SilapanTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SirisantisamridTIM08, author = {Kaset Sirisantisamrid and Kitti Tirasesth and Shuhei Iwanaga and Takenobu Matsuura}, title = {A personal identification method based on finger's outline}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {328--331}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746026}, doi = {10.1109/APCCAS.2008.4746026}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SirisantisamridTIM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SmallT08, author = {Michael Small and Chi Kong Tse}, title = {Feasible implementation of a prediction algorithm for the game of roulette}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1208--1211}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746243}, doi = {10.1109/APCCAS.2008.4746243}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SmallT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Somvanshi08, author = {Sameer Somvanshi}, title = {A sub-1 volt {CMOS} bandgap reference with high power supply rejection}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {666--667}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746111}, doi = {10.1109/APCCAS.2008.4746111}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Somvanshi08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SongHAC08, author = {Wen{-}Xiang Song and Yang Han and Qian Ai and Chen Chen}, title = {A novel space vector modulation method of three-level inverter based on the synthesized middle space vectors}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1020--1028}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746198}, doi = {10.1109/APCCAS.2008.4746198}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/SongHAC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SongJG08, author = {Yurong Song and Guoping Jiang and Yiran Gu}, title = {Modeling malware propagation in complex networks based on cellular automata}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {259--263}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746009}, doi = {10.1109/APCCAS.2008.4746009}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SongJG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SongYDZSH08, author = {Qipeng Song and Zhongdong Yin and Chengxi Dai and Changyin Zhang and Weidong Shang and Zhonghua Huang}, title = {A novel approach to eliminate zero-sequence harmonics current}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1841--1845}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746402}, doi = {10.1109/APCCAS.2008.4746402}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SongYDZSH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SuF08, author = {Guo{-}An Su and Chih{-}Peng Fan}, title = {Cost effective hardware sharing architecture for fast 1-D 8{\texttimes}8 forward and inverse integer transforms of {H.264/AVC} high profile}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1332--1335}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746274}, doi = {10.1109/APCCAS.2008.4746274}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SuF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SuL08, author = {Zhong{-}Cheng Su and Zhi{-}Ming Lin}, title = {A 18.9dB conversion gain folded mixer for WiMAX system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {292--295}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746017}, doi = {10.1109/APCCAS.2008.4746017}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SuL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SuLL08, author = {Yu{-}Cheng Su and Shuenn{-}Yuh Lee and An{-}Po Lin}, title = {A 0.6-V 1.8-{\(\mu\)}W automatic gain control circuit for digital hearing aid}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {113--116}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745973}, doi = {10.1109/APCCAS.2008.4745973}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SuLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SuganoM08, author = {Hiroki Sugano and Ryusuke Miyamoto}, title = {Parallel implementation of morphological processing by arbitrary kernels on Cell/BE with OpenCV interface}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1580--1583}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746336}, doi = {10.1109/APCCAS.2008.4746336}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SuganoM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SuiL08, author = {Wenquan Sui and Tongyi Li}, title = {High-speed mixed-signal SoC design for basestation application}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1562--1565}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746332}, doi = {10.1109/APCCAS.2008.4746332}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SuiL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SunLWT08, author = {Fuming Sun and Xiaoying Li and Qin Wang and Chunlin Tang}, title = {FPGA-based embedded system design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {733--736}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746128}, doi = {10.1109/APCCAS.2008.4746128}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SunLWT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SunXL08, author = {Gang Sun and Wei Xing and Dongming Lu}, title = {A content-aware packets priority ordering and marking scheme for {H.264} video over diffserv network}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1735--1738}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746375}, doi = {10.1109/APCCAS.2008.4746375}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SunXL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SungYH08, author = {Guo{-}Ming Sung and Chih{-}Ping Yu and Yueh{-}Hung Hou}, title = {High-order delta-sigma modulator with switched-current feedback memory cell}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1148--1151}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746228}, doi = {10.1109/APCCAS.2008.4746228}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SungYH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SungYY08, author = {Guo{-}Ming Sung and Chih{-}Ping Yu and Dong{-}An Yao}, title = {A comparison of second-order sigma-delta modulator between switched-capacitor and switched-current techniques}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1172--1175}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746234}, doi = {10.1109/APCCAS.2008.4746234}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SungYY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SusantiCO08, author = {Yulia Susanti and Pak Kwong Chan and Vincent Keng Sian Ong}, title = {An ultra low-power Successive Approximation {ADC} using an offset-biased auto-zero comparator}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {284--287}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746015}, doi = {10.1109/APCCAS.2008.4746015}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SusantiCO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TakahashiT08, author = {Shingo Takahashi and Shuji Tsukiyama}, title = {A new statistical timing analyzer propagating delay and slew distributions simultaneously}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {352--355}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746032}, doi = {10.1109/APCCAS.2008.4746032}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TakahashiT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TamLT08, author = {Wai Man Tam and Francis Chung{-}Ming Lau and Chi Kong Tse}, title = {Traffic analysis of a mobile cellular system based on a scale-free user network and a power-law-distributed mobility model}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1120--1123}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746221}, doi = {10.1109/APCCAS.2008.4746221}, timestamp = {Mon, 28 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TamLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TamWKWW08, author = {Wing{-}Shan Tam and Oi{-}Ying Wong and Chi{-}Wah Kok and Hei Wong and Albert Z. H. Wang}, title = {A Wideband three-stage rail-to-rail power amplifier driving large capacitive load}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1394--1397}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746290}, doi = {10.1109/APCCAS.2008.4746290}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/TamWKWW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TamuraHTYOS08, author = {Ryo Tamura and Masayuki Honma and Nozomu Togawa and Masao Yanagisawa and Tatsuo Ohtsuki and Makoto Satoh}, title = {{FIR} filter design on Flexible Engine/Generic {ALU} array and its dedicated synthesis algorithm}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {701--704}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746120}, doi = {10.1109/APCCAS.2008.4746120}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TamuraHTYOS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TamuraTTVT08, author = {Hiroki Tamura and Koichi Tanno and Hisashi Tanaka and Catherine Vairappan and Zheng Tang}, title = {Recurrent type {ANFIS} using local search technique for time series prediction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {380--383}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746039}, doi = {10.1109/APCCAS.2008.4746039}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TamuraTTVT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TanL08, author = {Juanjuan Tan and Xiuping Li}, title = {Wideband double-UT {RFID} tag antenna design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1256--1259}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746255}, doi = {10.1109/APCCAS.2008.4746255}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TanL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TanNX08, author = {Jason Yi Jun Tan and Kian Ann Ng and Yong Ping Xu}, title = {An integrated low power one-pin crystal oscillator}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1352--1355}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746279}, doi = {10.1109/APCCAS.2008.4746279}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TanNX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TanakaTTM08, author = {Hisashi Tanaka and Koichi Tanno and Hiroki Tamura and Kenji Murao}, title = {Design of {CNN} cell with low-power variable-gm {OTA} and its application}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {392--395}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746042}, doi = {10.1109/APCCAS.2008.4746042}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TanakaTTM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TanaphatsiriJS08, author = {Chaiya Tanaphatsiri and Winai Jaikla and Montree Siripruchyanun}, title = {A current-mode wheatstone bridge employing only single {DO-CDTA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1494--1497}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746315}, doi = {10.1109/APCCAS.2008.4746315}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TanaphatsiriJS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TanguaySS08, author = {Louis{-}Fran{\c{c}}ois Tanguay and Mohamad Sawan and Yvon Savaria}, title = {A very-high output impedance current mirror for very-low voltage biomedical analog circuits}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746105}, doi = {10.1109/APCCAS.2008.4746105}, timestamp = {Tue, 11 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/TanguaySS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TannoINTT08, author = {Koichi Tanno and Daisuke Ide and Kazumasa Nishimura and Hisashi Tanaka and Hiroki Tamura}, title = {Highly-linear {CMOS} {OTA} with compensation of mobility reduction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {810--813}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746146}, doi = {10.1109/APCCAS.2008.4746146}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TannoINTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TannoTMT08, author = {Koichi Tanno and Hisashi Tanaka and Ryota Miwa and Hiroki Tamura}, title = {Wide-common-mode-range and high-CMRR {CMOS} {OTA} operable in both weak and strong inversion regions}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1180--1183}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746236}, doi = {10.1109/APCCAS.2008.4746236}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TannoTMT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TawfikK08, author = {Sherif A. Tawfik and Volkan Kursun}, title = {Multi-Vth FinFET sequential circuits with independent-gate bias and work-function engineering for reduced power consumption}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {348--351}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746031}, doi = {10.1109/APCCAS.2008.4746031}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TawfikK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TawfikK08a, author = {Sherif A. Tawfik and Volkan Kursun}, title = {Asymmetric dual-gate multi-fin keeper bias options and optimization for low power and robust FinFET domino logic}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1720--1723}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746371}, doi = {10.1109/APCCAS.2008.4746371}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TawfikK08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Temes08, author = {Gabor C. Temes}, title = {New architectures for low-power delta-sigma analog-to-digital converter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1--6}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745946}, doi = {10.1109/APCCAS.2008.4745946}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Temes08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TianZWG08, author = {Guifen Tian and Tianruo Zhang and Xianghui Wei and Satoshi Goto}, title = {A block type decision algorithm for {H.264/AVC} intra prediction based on entropy feature}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1348--1351}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746278}, doi = {10.1109/APCCAS.2008.4746278}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TianZWG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TingHK08, author = {Chih{-}Hui Ting and Juinn{-}Dar Huang and Yu{-}Hsiang Kao}, title = {Cycle-time-aware sequential way-access set-associative cache for low energy consumption}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {854--857}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746157}, doi = {10.1109/APCCAS.2008.4746157}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TingHK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TomiokaFSSHS08, author = {Tsutomu Tomioka and Takahiro Fujita and Kozue Sasaki and Hiroki Sato and Akira Hyogo and Keitaro Sekine}, title = {A 5Gb/s adaptive equalizer using filter switching}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {688--691}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746117}, doi = {10.1109/APCCAS.2008.4746117}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TomiokaFSSHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TomiokaT08, author = {Yoichi Tomioka and Atsushi Takahashi}, title = {A semi-monotonic routing method for fanin type Ball Grid Array packages}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1550--1553}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746329}, doi = {10.1109/APCCAS.2008.4746329}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TomiokaT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TongTLLHL08, author = {Chia{-}Chang Tong and Yau{-}Jeng Tsai and Shih{-}Fan Li and Jhih{-}Yu Lin and Ming{-}Han Ho and Yu{-}Hao Lin}, title = {PSoC implementing vehicle auxiliary vacuum brake system with Kalman filter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {77--81}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745964}, doi = {10.1109/APCCAS.2008.4745964}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TongTLLHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TormanenS08, author = {Markus T{\"{o}}rm{\"{a}}nen and Henrik Sj{\"{o}}land}, title = {A 25-GHz differential {LC-VCO} in 90-nm {CMOS}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {554--557}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746083}, doi = {10.1109/APCCAS.2008.4746083}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/TormanenS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TorresF08, author = {Jorge Alves Torres and Jo{\~{a}}o Costa Freire}, title = {Ku band voltage controlled oscillator on SiGe}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1379--1382}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746286}, doi = {10.1109/APCCAS.2008.4746286}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TorresF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TsaiSLW08, author = {Wei{-}Chang Tsai and Ming{-}Der Shieh and Wen{-}Ching Lin and Chin{-}Long Wey}, title = {Design of square generator with small look-up table}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {172--175}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745988}, doi = {10.1109/APCCAS.2008.4745988}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TsaiSLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TsaiYH08, author = {Tsung{-}Han Tsai and Chia{-}Hao Yeh and Yu{-}Jung Huang}, title = {Cordic architecture for Hough Transform applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {442--445}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746055}, doi = {10.1109/APCCAS.2008.4746055}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TsaiYH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TsengCC08, author = {Yu{-}Cheng Tseng and Nelson Yen{-}Chung Chang and Tian{-}Sheuan Chang}, title = {Block-based belief propagation with in-place message updating for stereo vision}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {918--921}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746173}, doi = {10.1109/APCCAS.2008.4746173}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TsengCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TsengL08, author = {Chien{-}Cheng Tseng and Su{-}Ling Lee}, title = {Design of fractional delay {FIR} filter using discrete cosine transform}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {858--861}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746158}, doi = {10.1109/APCCAS.2008.4746158}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TsengL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TuZG08, author = {Shilong Tu and Hui Zheng and Na Gu}, title = {Single-channel blind separation of Two {QPSK} signals using per-survivor processing}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {473--476}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746063}, doi = {10.1109/APCCAS.2008.4746063}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TuZG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/UmezawaK08, author = {Jun Umezawa and Hitoshi Kitazawa}, title = {A vision sensor with background subtraction processor using mixed analog-digital architecture}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {65--68}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745961}, doi = {10.1109/APCCAS.2008.4745961}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/UmezawaK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/UnMM08, author = {Ka{-}Fai Un and Pui{-}In Mak and Rui Paulo da Silva Martins}, title = {A DC-offset-compensated, {CT/DT} hybrid filter with process-insensitive cutoff and low in-band group-delay variation for {WLAN} receivers}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1360--1363}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746281}, doi = {10.1109/APCCAS.2008.4746281}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/UnMM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Usami08, author = {Mitsuo Usami}, title = {Powder {RFID} chip technology}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1220--1223}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746246}, doi = {10.1109/APCCAS.2008.4746246}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Usami08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/VermaJ08, author = {Ankur Verma and Jobin James}, title = {Novel realization of voltage transfer function with fractional characteristics using CFAs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1502--1505}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746317}, doi = {10.1109/APCCAS.2008.4746317}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/VermaJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WahoOEK08, author = {Takao Waho and Hiroki Okuyama and Tomohiko Ebata and Ryousuke Kato}, title = {An ultrahigh-speed full adder using resonant-tunneling logic gates}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1724--1727}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746372}, doi = {10.1109/APCCAS.2008.4746372}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WahoOEK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangC08, author = {Bu{-}hong Wang and Xiao{-}Min Cao}, title = {Array blind calibration criterions for low-angle tracking}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {781--784}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746139}, doi = {10.1109/APCCAS.2008.4746139}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangC08a, author = {Bu{-}hong Wang and Xiao{-}Min Cao}, title = {Frequency-invariant pattern synthesis for conformal array with space-time-polarization weighting}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1082--1085}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746212}, doi = {10.1109/APCCAS.2008.4746212}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangC08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangC08b, author = {Hui Wang and Yuhua Cheng}, title = {High-speed serial interconnect transceiver: Applications and design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1566--1571}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746333}, doi = {10.1109/APCCAS.2008.4746333}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangC08b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangCGNH08, author = {Yi Wang and Chuanrong Cui and Wenchao Gong and Zhihua Ning and Lenian He}, title = {A {CMOS} low-dropout regulator with 3.3 {\(\mu\)}A quiescent current independent of off-chip capacitor}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1320--1323}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746271}, doi = {10.1109/APCCAS.2008.4746271}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangCGNH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangCHGL08, author = {Ruey{-}Lue Wang and Shih{-}Chih Chen and Cheng{-}Lin Huang and Chang{-}Xing Gao and Yi{-}Shu Lin}, title = {A 0.8V folded-cascode low noise amplifier for multi-band applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1387--1389}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746288}, doi = {10.1109/APCCAS.2008.4746288}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangCHGL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangCXZH08, author = {Huaxiang Wang and Ziqiang Cui and Yanbin Xu and Lifeng Zhang and Yongbo He}, title = {Digital signal processing in electrical capacitance tomography}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {465--468}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746061}, doi = {10.1109/APCCAS.2008.4746061}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangCXZH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangCY08, author = {Hung{-}Ming Wang and Yan{-}Hong Chen and Jar{-}Ferr Yang}, title = {Stereoscopic video generation from monoscopic compressed moving videos}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1482--1485}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746312}, doi = {10.1109/APCCAS.2008.4746312}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangGLJLLWWZSWSZC08, author = {Zhi{-}Gong Wang and Xiao{-}Song Gu and Xiao{-}Ying L{\"{u}} and Zheng{-}Lin Jiang and Wenyuan Li and Guang{-}Ming Lu and Yu{-}Feng Wang and Hui{-}Ling Wang and Zhenyu Zhang and Hong{-}Mei Shen and Yang Wu and Wei{-}Xing Shen and Jing{-}Yang Zhang and Dong Chen}, title = {Microelectronic channel bridge and signal regeneration of injured spinal cords}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {658--661}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746109}, doi = {10.1109/APCCAS.2008.4746109}, timestamp = {Mon, 01 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WangGLJLLWWZSWSZC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangHYH08, author = {Chua{-}Chin Wang and Chia{-}Hao Hsu and Tuo{-}Yu Yao and Jian{-}Ming Huang}, title = {A ROM-less {DDFS} using a nonlinear {DAC} with an error compensation current array}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1632--1635}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746349}, doi = {10.1109/APCCAS.2008.4746349}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangHYH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangK08, author = {Chengyou Wang and Hon Keung Kwan}, title = {Design of discrete coefficient frequency-response-masking {FIR} digital filters}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {461--464}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746060}, doi = {10.1109/APCCAS.2008.4746060}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangL08, author = {Jingguo Wang and Yangmin Li}, title = {A survey on the structures of current mobile humanoid robots}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1826--1829}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746398}, doi = {10.1109/APCCAS.2008.4746398}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangSLHYL08, author = {Ruey{-}Lue Wang and Yan{-}Kuin Su and Chien{-}Hsuan Liu and San{-}Chi Hung and Pi{-}Jung Yang and Yi{-}Shu Lin}, title = {A concurrent dual-band mixer with on-wafer balun for multi-standard applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {304--307}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746020}, doi = {10.1109/APCCAS.2008.4746020}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangSLHYL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangWCZ08, author = {Min Wang and Zhiping Wen and Lei Chen and Yanlong Zhang}, title = {A novel configurable no dead-zone digital phase detector design}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {721--724}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746125}, doi = {10.1109/APCCAS.2008.4746125}, timestamp = {Wed, 06 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WangWCZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangWH08, author = {Yi Wang and Yikai Wang and Lenian He}, title = {Behavioral modeling for operational amplifier in sigma-delta modulators with Verilog-A}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1612--1615}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746344}, doi = {10.1109/APCCAS.2008.4746344}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangWH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangXLT08, author = {Yabin Wang and Jing Xie and Jinmei Lai and Jiarong Tong}, title = {Design and implementation of the configuration circuit for {FDP} {FPGA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {696--700}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746119}, doi = {10.1109/APCCAS.2008.4746119}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangXLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangZ08, author = {Chunhua Wang and Yan Zhao}, title = {A novel current-mode readout circuit for {ISFET} sensor}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {407--410}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746046}, doi = {10.1109/APCCAS.2008.4746046}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WangZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangZ08a, author = {Chunhua Wang and Qiujing Zhang}, title = {Current controlled fully balanced current conveyor}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1062--1065}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746207}, doi = {10.1109/APCCAS.2008.4746207}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WangZ08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangZHS08, author = {Yuanqin Wang and Ruoyu Zhang and Jiacai Hong and Jing Sun}, title = {Radar echo envelope extraction using Teager energy operator and wavelet transform}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {450--453}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746057}, doi = {10.1109/APCCAS.2008.4746057}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangZHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangZLY08, author = {Yu Wang and Kai Zhou and Zhonghai Lu and Huazhong Yang}, title = {Dynamic {TDM} virtual circuit implementation for NoC}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1533--1536}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746325}, doi = {10.1109/APCCAS.2008.4746325}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangZLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangZQZ08, author = {Qingyun Wang and Li Zhao and Jie Qiao and Cairong Zou}, title = {A new method of multichannel loudness compensation for hearing aids}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {493--496}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746068}, doi = {10.1109/APCCAS.2008.4746068}, timestamp = {Mon, 28 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WangZQZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangZXX08, author = {Tengfei Wang and Yongqiang Zhu and Yonghai Xu and Xiangning Xiao}, title = {Research on harmonic penetration between different voltage levels}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {105--108}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745971}, doi = {10.1109/APCCAS.2008.4745971}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangZXX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangtaphanSMK08, author = {Skawrat Wangtaphan and Apirak Suadet and Sukarasut Meksiri and Varakorn Kasemsuwan}, title = {A 1.0 volt thermal noise-canceling {CMOS} transimpedance-based amplifier}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {692--695}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746118}, doi = {10.1109/APCCAS.2008.4746118}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangtaphanSMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WeiCZSUM08, author = {He Gong Wei and U. Fat Chio and Yan Zhu and Sai{-}Weng Sin and Seng{-}Pan U and Rui Paulo da Silva Martins}, title = {A process- and temperature- insensitive current-controlled delay generator for sampled-data systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1192--1195}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746239}, doi = {10.1109/APCCAS.2008.4746239}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WeiCZSUM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WeiGSS08, author = {Jizeng Wei and Wei Guo and Jizhou Sun and Zaifeng Shi}, title = {Design and implementation of co-design toolset for tcore processor}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1664--1667}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746357}, doi = {10.1109/APCCAS.2008.4746357}, timestamp = {Sun, 27 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WeiGSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WeiJZ08, author = {Yingdong Wei and Qirong Jiang and Xiujuan Zhang}, title = {An optimal control strategy for power capacity based on railway power static conditioner}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {236--239}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746004}, doi = {10.1109/APCCAS.2008.4746004}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WeiJZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WeiLC08, author = {Xuehui Wei and Lunli Li and Gang Chen}, title = {A new image coding quality assessment}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {518--521}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746074}, doi = {10.1109/APCCAS.2008.4746074}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WeiLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WeiTTG08, author = {Xianghui Wei and Wenming Tang and Guifen Tian and Satoshi Goto}, title = {A low bandwidth integer motion estimation module for {MPEG-2} to {H.264} transcoding}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1470--1473}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746309}, doi = {10.1109/APCCAS.2008.4746309}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WeiTTG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WeiweiFY08, author = {You Weiwei and Liang Fan and Wang Yuangen}, title = {An adaptive interpolation scheme for inter-layer prediction}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1747--1750}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746378}, doi = {10.1109/APCCAS.2008.4746378}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WeiweiFY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WengLL08, author = {Ro{-}Min Weng and Chun{-}Yu Liu and Yun{-}Chih Lu}, title = {A low jitter DLL-based pulsewidth control loop with wide duty cycle adjustment}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {418--421}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746049}, doi = {10.1109/APCCAS.2008.4746049}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WengLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WengYL08, author = {Ro{-}Min Weng and Chen{-}Lun Yen and Chun{-}Yu Liu}, title = {A 1V {CMOS} active pixel sensor with enhanced dynamic range}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {411--413}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746047}, doi = {10.1109/APCCAS.2008.4746047}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WengYL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WernehagS08, author = {Johan Wernehag and Henrik Sj{\"{o}}land}, title = {60 GHz 130-nm {CMOS} second harmonic power amplifiers}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {300--303}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746019}, doi = {10.1109/APCCAS.2008.4746019}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WernehagS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WeyLWH08, author = {Chin{-}Long Wey and Shin{-}Yo Lin and Hsu{-}Sheng Wang and Chun{-}Ming Huang}, title = {A low-cost continuous flow parallel memory-based {FFT} processor for Ultra-Wideband {(UWB)} applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1418--1421}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746296}, doi = {10.1109/APCCAS.2008.4746296}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WeyLWH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WibowoTKTKK08, author = {Santhos Ario Wibowo and Zhang Ting and Masashi Kono and Tetsuya Taura and Yasunori Kobori and Haruo Kobayashi}, title = {Analysis of coupled inductors for low-ripple fast-response buck converter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1860--1863}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746406}, doi = {10.1109/APCCAS.2008.4746406}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WibowoTKTKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WongLUM08, author = {Kim{-}Fai Wong and Ka{-}Ian Lei and Seng{-}Pan U and Rui Paulo da Silva Martins}, title = {A 1-V 90dB {DR} audio stereo {DAC} with embedding headphone driver}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1160--1163}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746231}, doi = {10.1109/APCCAS.2008.4746231}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WongLUM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WongTKW08, author = {Oi{-}Ying Wong and Wing{-}Shan Tam and Chi{-}Wah Kok and Hei Wong}, title = {Current mode track and hold circuit with 50MS/sec speed and 8-bit resolution}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1168--1171}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746233}, doi = {10.1109/APCCAS.2008.4746233}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WongTKW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WongTKW08a, author = {Oi{-}Ying Wong and Wing{-}Shan Tam and Chi{-}Wah Kok and Hei Wong}, title = {Design strategy for 2-phase switched capacitor charge pump}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1328--1331}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746273}, doi = {10.1109/APCCAS.2008.4746273}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WongTKW08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WuCGS08, author = {Bing{-}Tsung Wu and Tzu{-}Chun Chang and Jiun{-}In Guo and Ching{-}Lung Su}, title = {A novel basic unit level rate control algorithm and architecture for {H.264/AVC} video encoders}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1300--1303}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746266}, doi = {10.1109/APCCAS.2008.4746266}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WuCGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WuL08, author = {Enhua Wu and Youquan Liu}, title = {Emerging technology about {GPGPU}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {618--622}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746099}, doi = {10.1109/APCCAS.2008.4746099}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WuL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WuLL08, author = {Zhaohui Wu and Zhiming Liang and Bin Li}, title = {A new {BPSK} demodulation circuit for command transmission in wireless implantable neural recording system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1526--1528}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746323}, doi = {10.1109/APCCAS.2008.4746323}, timestamp = {Thu, 27 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WuLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WuMAD08, author = {Zeqin Wu and Philippe Maurine and Nadine Az{\'{e}}mard and Gilles R. Ducharme}, title = {{SSTA} considering switching process induced correlations}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {562--565}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746085}, doi = {10.1109/APCCAS.2008.4746085}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WuMAD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WuWM08, author = {Wen{-}Hua Wu and Yuan{-}Wei Wu and Hsi{-}Pin Ma}, title = {A 480 Mbps MB-OFDM-based {UWB} baseband inner transceiver}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {164--167}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745986}, doi = {10.1109/APCCAS.2008.4745986}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WuWM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WuYL08, author = {Kai Pong Wu and Ching{-}Yuan Yang and Jung{-}Mao Lin}, title = {A 2.5Gb/s oversampling clock and data recovery circuit with frequency calibration technique}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1356--1359}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746280}, doi = {10.1109/APCCAS.2008.4746280}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WuYL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WuZZWX08, author = {Jingtao Wu and Ji Zhou and Daonong Zhang and Zhaojia Wang and Shiming Xu}, title = {{PMU} standard of China}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {639--641}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746104}, doi = {10.1109/APCCAS.2008.4746104}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WuZZWX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/XiaLHH08, author = {Lingli Xia and Weinan Li and Yumei Huang and Zhiliang Hong}, title = {A 3-5GHz amplitude and spectrum tunable impulse radio {UWB} transmitter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {160--163}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745985}, doi = {10.1109/APCCAS.2008.4745985}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/XiaLHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/XiaoLY08, author = {Xianyong Xiao and Xuna Liu and Honggeng Yang}, title = {Stochastic estimation trip frequency of sensitive equipment due to voltage sag}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {364--367}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746035}, doi = {10.1109/APCCAS.2008.4746035}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/XiaoLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/XiaoLY08a, author = {Xianyong Xiao and Wan Li and Honggeng Yang}, title = {{SDPQ} classification based on maximum similarity principle of S-transform {MTFM}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1880--1883}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746411}, doi = {10.1109/APCCAS.2008.4746411}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/XiaoLY08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/XiaoT08, author = {Xiangning Xiao and Shun Tao}, title = {Study on assessment model of event-type power disturbances}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {101--104}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745970}, doi = {10.1109/APCCAS.2008.4745970}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/XiaoT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/XieWWH08, author = {Yongming Xie and Guangyu Wang and Tien{-}Tsin Wong and Pheng{-}Ann Heng}, title = {Parallel visualization of multiple translucent isosurfaces}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {602--605}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746095}, doi = {10.1109/APCCAS.2008.4746095}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/XieWWH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/XuDZ08, author = {Jinbo Xu and Yong Dou and Jie Zhou}, title = {Multi-access memory architecture for image applications with multiple interested regions}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1576--1579}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746335}, doi = {10.1109/APCCAS.2008.4746335}, timestamp = {Fri, 31 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/XuDZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/XuL08, author = {Qingsong Xu and Yangmin Li}, title = {Experimental studies on a micromanipulator for micro/nano manipulation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {754--757}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746133}, doi = {10.1109/APCCAS.2008.4746133}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/XuL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/XuZHK08, author = {Weiwei Xu and Xiaoting Zhu and Zhiliang Hong and Dirk Killat}, title = {Design of single-inductor dual-output switching converters with average current mode control}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {902--905}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746169}, doi = {10.1109/APCCAS.2008.4746169}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/XuZHK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YamawakiMI08, author = {Akira Yamawaki and Kazuharu Morita and Masahiko Iwane}, title = {An {FPGA} implementation of a {DWT} with 5/3 filter using semi-programmable hardware}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {709--712}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746122}, doi = {10.1109/APCCAS.2008.4746122}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YamawakiMI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YanC08, author = {Jin{-}Tai Yan and Zhi{-}Wei Chen}, title = {Timing-driven multi-layer Steiner tree construction with obstacle avoidance}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1684--1687}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746362}, doi = {10.1109/APCCAS.2008.4746362}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YanC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YanC08a, author = {Jin{-}Tai Yan and Zhi{-}Wei Chen}, title = {Electromigration-aware rectilinear Steiner tree construction for analog circuits}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1692--1695}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746364}, doi = {10.1109/APCCAS.2008.4746364}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YanC08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YanCCL08, author = {Jin{-}Tai Yan and Zhi{-}Wei Chen and Bo{-}Yi Chiang and Yu{-}Min Lee}, title = {Timing-constrained yield-driven redundant via insertion}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1688--1691}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746363}, doi = {10.1109/APCCAS.2008.4746363}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YanCCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YanJGLCHW08, author = {Gan{-}gui Yan and Gui{-}qiang Jiang and Mu Gang and Jun{-}hui Li and Tao Chen and Ya{-}feng Huang and Jian Wang}, title = {Nonlinear decoupled control of back-to-back voltage source converter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {229--232}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746002}, doi = {10.1109/APCCAS.2008.4746002}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YanJGLCHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YangCCX08, author = {Xiao Yang and Guican Chen and Jun Cheng and Xiaoyun Xu}, title = {A novel two-channel time-interleaved forth-order sigma-delta modulator topology}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1152--1155}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746229}, doi = {10.1109/APCCAS.2008.4746229}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YangCCX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YangCFL08, author = {Wan{-}Shing Yang and Kuan{-}Yu Chen and Wen{-}Hsien Fang and Hoang{-}Yang Lu}, title = {A cross decision feedback gsc-based capon multiuser receiver for space-time block coded {CDMA} systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {598--601}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746094}, doi = {10.1109/APCCAS.2008.4746094}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YangCFL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YangSCZ08, author = {Fang Yang and Yuanzhang Sun and Lin Cheng and Jianyun Zhang}, title = {Locating observers and controllers for severely disturbed power system using mode matrices}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {623--626}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746100}, doi = {10.1109/APCCAS.2008.4746100}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/YangSCZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YeCJH08, author = {Yidie Ye and Chen Chen and Jin Jin and Lenian He}, title = {Li-ion battery management chip for multi-cell battery pack}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {534--537}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746078}, doi = {10.1109/APCCAS.2008.4746078}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YeCJH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YenY08, author = {Ping{-}Lang Yen and Syh{-}Shiuh Yeh}, title = {Intelligent human-machine cooperative robot for orthopaedic surgery}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {741--744}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746130}, doi = {10.1109/APCCAS.2008.4746130}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YenY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YeohB08, author = {Hong Chang Yeoh and Kwang{-}Hyun Baek}, title = {A 4GHz direct digital frequency synthesizer utilizing a nonlinear sine-weighted {DAC} in 90nm {CMOS}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1700--1703}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746366}, doi = {10.1109/APCCAS.2008.4746366}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YeohB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YiW08, author = {Feng Yi and Xiaobo Wu}, title = {A novel coefficient automatic calculation method for sinc filter in sigma-delta ADCs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1240--1243}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746251}, doi = {10.1109/APCCAS.2008.4746251}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YiW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YiYTT08, author = {Junyan Yi and Gang Yang and Yuki Todo and Zheng Tang}, title = {An efficient Elastic Net method for edge linking of images}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {388--391}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746041}, doi = {10.1109/APCCAS.2008.4746041}, timestamp = {Mon, 11 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YiYTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YooC08, author = {Hoi{-}Jun Yoo and Namjun Cho}, title = {Body channel communication for low energy {BSN/BAN}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {7--11}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745947}, doi = {10.1109/APCCAS.2008.4745947}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YooC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YoungCCH08, author = {Chung{-}Ping Young and Chung{-}Chu Chia and Liang{-}Bi Chen and Ing{-}Jer Huang}, title = {On-Chip-Network cryptosystem: {A} high throughput and high security architecture}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1276--1279}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746260}, doi = {10.1109/APCCAS.2008.4746260}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YoungCCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YoungCL08, author = {Chung{-}Ping Young and Chung{-}Chu Chia and Yen{-}Bor Lin}, title = {The design and transport latency analysis of a locality-aware network on chip architecture}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1272--1275}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746259}, doi = {10.1109/APCCAS.2008.4746259}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YoungCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YuJZWLZ08, author = {Xiaodan Yu and Hongjie Jia and Jing Zhao and Wei Wei and Yan Li and Yuan Zeng}, title = {Interface control based on power flow tracing and generator re-dispatching}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {766--772}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746136}, doi = {10.1109/APCCAS.2008.4746136}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YuJZWLZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YuZLYLC08, author = {Kai Yu and Xuecheng Zou and Jianming Lei and Guoyi Yu and Sizhen Li and Yunwu Chen}, title = {A 90nm {CMOS} wide-band voltage-controlled ring oscillator for digital TV-tuner}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1364--1366}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746282}, doi = {10.1109/APCCAS.2008.4746282}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YuZLYLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YuZLYLC08a, author = {Kai Yu and Xuecheng Zou and Dongsheng Liu and Guoyi Yu and Jianming Lei and Yue Chen}, title = {Clock control strategy of four-phase Dickson charge pump for power efficiency improvement}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1856--1859}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746405}, doi = {10.1109/APCCAS.2008.4746405}, timestamp = {Mon, 21 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/YuZLYLC08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YuanHLH08, author = {Ching{-}Chien Yuan and Yu{-}Jung Huang and Shih{-}Jhe Lin and Kai{-}Hsiang Huang}, title = {A reconfigurable arbiter for {SOC} applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {713--716}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746123}, doi = {10.1109/APCCAS.2008.4746123}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YuanHLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YuanLZC08, author = {Dongsheng Yuan and Xingcheng Liu and Xiaoyu Zhang and Haengrae Cho}, title = {{CEERP:} Cost-based Energy-Efficient Routing Protocol in wireless sensor networks}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1041--1045}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746202}, doi = {10.1109/APCCAS.2008.4746202}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/YuanLZC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YuanSC08, author = {Ye Yuan and Yuanzhang Sun and Lin Cheng}, title = {Determination of wide-area {PSS} locations and feedback signals using improved residue matrices}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {762--765}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746135}, doi = {10.1109/APCCAS.2008.4746135}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/YuanSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YunL08, author = {Yuan Yun and Yangmin Li}, title = {A general model of a kind of parallel manipulator for active control based on KANE's dynamics}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1830--1833}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746399}, doi = {10.1109/APCCAS.2008.4746399}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YunL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZangPK08, author = {Naeun Zang and Eunsuk Park and Juho Kim}, title = {Efficient cell characterization for {SSTA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1012--1015}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746196}, doi = {10.1109/APCCAS.2008.4746196}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZangPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZengWZJ08, author = {Yuan Zeng and Wei Wei and Jinli Zhao and Hongjie Jia}, title = {Visualization tool for transient stability monitoring of bulk power systems based on {DSR}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1900--1903}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746416}, doi = {10.1109/APCCAS.2008.4746416}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZengWZJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangC08, author = {Xiaoling Zhang and Pak Kwong Chan}, title = {An untrimmed {CMOS} amplifier with high {CMRR} and low offset for sensor applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {802--805}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746144}, doi = {10.1109/APCCAS.2008.4746144}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangGDTYL08, author = {Meng Zhang and Xing Gao and Zhisheng Dai and Tingting Tao and Zhongju Yin and Shengli Lu}, title = {{VLSI} implementation and optimization design of Reed-Solomon decoder in {QAM} demodulation chip}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1672--1675}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746359}, doi = {10.1109/APCCAS.2008.4746359}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangGDTYL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangHHL08, author = {Wei{-}Qiang Zhang and Liang He and Tao Hou and Jia Liu}, title = {Fractional Fourier transform based auditory feature for language identification}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {209--212}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745997}, doi = {10.1109/APCCAS.2008.4745997}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangHHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangJLHD08, author = {Meng Zhang and Zhixiang Jiang and Zichuang Li and Cheng Huang and Liang Dai}, title = {A newly high-speed equalizer for {QAM} signals}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {477--480}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746064}, doi = {10.1109/APCCAS.2008.4746064}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ZhangJLHD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangJT08, author = {Chunpeng Zhang and Qirong Jiang and Luyuan Tong}, title = {A decoupling-controlled {STATCOM} for power quality improvement of impact loads}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {109--112}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745972}, doi = {10.1109/APCCAS.2008.4745972}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangJT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangJW08, author = {Xiaoyu Zhang and Hanjun Jiang and Zhihua Wang}, title = {Using asynchronous circuits for communications in wireless endoscopic capsule}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1244--1247}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746252}, doi = {10.1109/APCCAS.2008.4746252}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangJW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangLLW08, author = {Chuan Zhang and Li Li and Jun Lin and Zhongfeng Wang}, title = {Low-complexity shift-LDPC decoder for high-speed communication systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1636--1639}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746350}, doi = {10.1109/APCCAS.2008.4746350}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangLLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangLYDLG08, author = {Yuang Zhang and Li Li and Shengguang Yang and Lan Dong and Xiaoxiang Lou and Minglun Gao}, title = {A scalable distributed memory architecture for Network on Chip}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1260--1263}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746256}, doi = {10.1109/APCCAS.2008.4746256}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangLYDLG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangLZ08, author = {Yiwei Zhang and Zexiang Liu and Xinjian Zheng}, title = {A chaos-based image encryption {ASIC} using reconfigurable logic}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1782--1785}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746387}, doi = {10.1109/APCCAS.2008.4746387}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangLZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangPGC08, author = {Xu Zhang and Weihua Pei and Qiang Gui and Hongda Chen}, title = {Low power integrated circuits for wireless neural recording applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {650--653}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746107}, doi = {10.1109/APCCAS.2008.4746107}, timestamp = {Tue, 04 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangPGC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangQZC08, author = {Baofeng Zhang and Zhiqiang Qi and Junchao Zhu and Zuoliang Cao}, title = {Omnidirection image restoration based on spherical perspective projection}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {922--925}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746174}, doi = {10.1109/APCCAS.2008.4746174}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangQZC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangS08, author = {Chunming Zhang and Zhibiao Shao}, title = {Signal estimation method for folding ADCs in wideband multistandard {SWR} receivers}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1620--1623}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746346}, doi = {10.1109/APCCAS.2008.4746346}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangS08a, author = {Chunming Zhang and Zhibiao Shao}, title = {Controlled slew rate enhancement circuit for error amplifier in high frequency {DC-DC} converters}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1852--1855}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746404}, doi = {10.1109/APCCAS.2008.4746404}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangS08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangSDZ08, author = {Duoli Zhang and Yu{-}Kun Song and Gaoming Du and Yuanjie Zhai}, title = {A technique of automatic monitor generation based on {FSM}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1775--1778}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746385}, doi = {10.1109/APCCAS.2008.4746385}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangSDZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangSFH08, author = {Weiqiang Zhang and Li Su and Jinghong Fu and Jianping Hu}, title = {A power-gating scheme for {CAL} circuits using single-phase power-clock}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {846--849}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746155}, doi = {10.1109/APCCAS.2008.4746155}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangSFH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangSJML08, author = {Haipeng Zhang and Lingling Sun and Lifei Jiang and Lijian Ma and Mi Lin}, title = {Process simulation of Trench Gate and Plate and Trench Drain {SOI} {NLIGBT} with {TCAD} tools}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1037--1040}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746201}, doi = {10.1109/APCCAS.2008.4746201}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangSJML08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangTG08, author = {Tianruo Zhang and Guifen Tian and Satoshi Goto}, title = {A frequency-based fast block type decision algorithm for intra prediction in {H.264/AVC} high profile}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1292--1295}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746264}, doi = {10.1109/APCCAS.2008.4746264}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangTG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangWCZZ08, author = {Zhiquan Zhang and Zhiping Wen and Lei Chen and Tao Zhou and Fan Zhang}, title = {{BIST} approach for testing configurable logic and memory resources in FPGAs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1767--1770}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746383}, doi = {10.1109/APCCAS.2008.4746383}, timestamp = {Wed, 06 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ZhangWCZZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangXYLBK08, author = {Baohui Zhang and Huan Xie and Guang{-}liang Yu and Peng Li and Zhiqian Bo and Andrew Klimek}, title = {Power system transient instability detection algorithm based on real time measurement}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {631--634}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746102}, doi = {10.1109/APCCAS.2008.4746102}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangXYLBK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangYZSH08, author = {Zhi Zhang and Zhongdong Yin and Changyin Zhang and Weidong Shang and Zhonghua Huang}, title = {Lessening zero sequence effects in dynamic voltage restorers}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1846--1851}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746403}, doi = {10.1109/APCCAS.2008.4746403}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangYZSH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangZ0P08, author = {Long Zhang and Yubo Zhang and Wei Chen and Qunsheng Peng}, title = {Real-time simulation of large-scale dynamic forest with {GPU}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {614--617}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746098}, doi = {10.1109/APCCAS.2008.4746098}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ZhangZ0P08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangZZ08, author = {Xueying Zhang and Zhenhua Zhi and Xiaomei Zhang}, title = {Variable step-size speech blind separation employing Laplacian normal mixture distribution model}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {785--788}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746140}, doi = {10.1109/APCCAS.2008.4746140}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangZZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhaoGZHH08, author = {Feng Zhao and Xiaoping Gao and Huajiang Zhang and Kangmin Hu and Zhiliang Hong}, title = {A {CMOS} 434/868 MHz {FSK/OOK} transmitter with integrated fractional-N {PLL}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {546--549}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746081}, doi = {10.1109/APCCAS.2008.4746081}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhaoGZHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhaoKLW08, author = {Guangyao Zhao and Hing{-}Kit Kwan and Chi{-}Un Lei and Ngai Wong}, title = {Processor frequency assignment in three-dimensional MPSoCs under thermal constraints by polynomial programming}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1668--1671}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746358}, doi = {10.1109/APCCAS.2008.4746358}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhaoKLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhaoL08, author = {Xinhua Zhao and Bin Li}, title = {Inverse dynamics of 3-RRRT parallel manipulator}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {745--749}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746131}, doi = {10.1109/APCCAS.2008.4746131}, timestamp = {Mon, 19 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ZhaoL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhaoZWJ08, author = {Jinli Zhao and Yuan Zeng and Wei Wei and Hongjie Jia}, title = {Fast assessment of regional voltage stability based on {WAMS}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {635--638}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746103}, doi = {10.1109/APCCAS.2008.4746103}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhaoZWJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhaoZZYHW08, author = {Yan Zhao and Li Zhao and Cairong Zou and Yinhua Yu and Chengwei Huang and Qingyun Wang}, title = {Modified quadratic discrimination function for non-normal distribution and its application in speech emotion recognition}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {213--216}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745998}, doi = {10.1109/APCCAS.2008.4745998}, timestamp = {Mon, 28 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ZhaoZZYHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhengLTH08, author = {Xia Zheng and Francis Chung{-}Ming Lau and Chi Kong Tse and Yejun He}, title = {Construction of short-length {LDPC} codes with low error floor}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1818--1821}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746396}, doi = {10.1109/APCCAS.2008.4746396}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ZhengLTH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhengW08, author = {Jun Zheng and Yik{-}Chung Wu}, title = {Localization and time synchronization in wireless sensor networks: {A} unified approach}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {594--597}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746093}, doi = {10.1109/APCCAS.2008.4746093}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ZhengW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhongT08, author = {Shupeng Zhong and Nick Tan}, title = {A 12-bit 150-MSample/s current-steering {DAC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {145--148}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745981}, doi = {10.1109/APCCAS.2008.4745981}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhongT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhouCH08, author = {Yi Zhou and Shing{-}Chow Chan and Ka{-}Leung Ho}, title = {A new family of robust sequential partial update least mean M-estimate adaptive filtering algorithms}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {189--192}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745992}, doi = {10.1109/APCCAS.2008.4745992}, timestamp = {Wed, 02 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ZhouCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhouN08, author = {Yiqing Zhou and Tung{-}Sang Ng}, title = {Optimal power allocation for channel estimation in {MIMO-OFCDM} systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {822--825}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746149}, doi = {10.1109/APCCAS.2008.4746149}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhouN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhouYXT08, author = {Lixia Zhou and Zhongdong Yin and Xiangning Xiao and Yueting Tan}, title = {Dynamic secondary arc analysis and simulation research under different line model}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {240--245}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746005}, doi = {10.1109/APCCAS.2008.4746005}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhouYXT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhouZLLS08, author = {Xiaofang Zhou and Shuang Zhao and Wenqing Lu and Chao Lu and Gerald E. Sobelman}, title = {Reconfigurable baseband processing platform for communication systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {29--32}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745952}, doi = {10.1109/APCCAS.2008.4745952}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/ZhouZLLS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhuHY08, author = {Yongqiang Zhu and MinXiao Han and Zhongdong Yin}, title = {Sufficient conditions and error analysis on radial equivalent circuit of multi-winding isolating transformers used in power electronic devices}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1838--1840}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746401}, doi = {10.1109/APCCAS.2008.4746401}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhuHY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhuLXX08, author = {Yongqiang Zhu and Yingying Liu and Yonghai Xu and Xiangning Xiao}, title = {Evaluation of disaster level caused by power quality problems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {233--235}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746003}, doi = {10.1109/APCCAS.2008.4746003}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhuLXX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhuWZHWHW08, author = {Lihua Zhu and Charles Wang and Guangfei Zhu and Bo Han and Heng Wang and Peijie Huang and Enhua Wu}, title = {Image spatial diffusion on GPUs}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {610--613}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746097}, doi = {10.1109/APCCAS.2008.4746097}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhuWZHWHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhuZ08, author = {Jiangli Zhu and Xinmiao Zhang}, title = {Scalable interpolation architecture for soft-decision Reed-Solomon decoding}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {41--44}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745955}, doi = {10.1109/APCCAS.2008.4745955}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhuZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZouCBJ08, author = {Yuexian Zou and Shing{-}Chow Chan and Wan Bo and Zhao Jing}, title = {Recursive robust variable loading mvdr beamforming in impulsive noise environment}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {988--991}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746190}, doi = {10.1109/APCCAS.2008.4746190}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZouCBJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZouYZCZL08, author = {Xuecheng Zou and Kai Yu and Zhaoxia Zheng and Xiaofei Chen and Zhige Zou and Dingbin Liao}, title = {Dynamic current limitation circuit for white {LED} driver}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {898--901}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746168}, doi = {10.1109/APCCAS.2008.4746168}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZouYZCZL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZouZLGLC08, author = {Zhige Zou and Xuecheng Zou and Dingbin Liao and Fan Guo and Jianming Lei and Xiaofei Chen}, title = {A novel schmitt trigger with low temperature coeficient}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1398--1401}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746291}, doi = {10.1109/APCCAS.2008.4746291}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZouZLGLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apccas/2008, title = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4723905/proceeding}, isbn = {978-1-4244-2342-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.