Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/date/date2007.bht:"
@inproceedings{DBLP:conf/date/0004XJ07, author = {Feng Wang and Yuan Xie and Hai Ju}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A novel criticality computation method in statistical timing analysis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1611--1616}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266720}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/0004XJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AarajRRJ07, author = {Najwa Aaraj and Anand Raghunathan and Srivaths Ravi and Niraj K. Jha}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Energy and execution time analysis of a software-based trusted platform module}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1128--1133}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266610}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AarajRRJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AggarwalO07, author = {Varun Aggarwal and Una{-}May O'Reilly}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Simulation-based reusable posynomial models for {MOS} transistor parameters}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {69--74}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364569}, doi = {10.1109/DATE.2007.364569}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AggarwalO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AitkenI07, author = {Robert C. Aitken and Sachin Idgunji}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Worst-case design and margin for embedded {SRAM}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1289--1294}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266648}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AitkenI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Al-SammaneZT07, author = {Ghiath Al Sammane and Mohamed H. Zaki and Sofi{\`{e}}ne Tahar}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A symbolic methodology for the verification of analog and mixed signal designs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {249--254}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364599}, doi = {10.1109/DATE.2007.364599}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Al-SammaneZT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlamRMGCS07, author = {Monjur Alam and Sonai Ray and Debdeep Mukhopadhyay and Santosh Ghosh and Dipanwita Roy Chowdhury and Indranil Sengupta}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An area optimized reconfigurable encryptor for AES-Rijndael}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1116--1121}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266608}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AlamRMGCS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlessioFQT07, author = {Elisa Alessio and Franco Fummi and Davide Quaglia and Maura Turolla}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Modeling and simulation alternatives for the design of networked embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1030--1035}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364429}, doi = {10.1109/DATE.2007.364429}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AlessioFQT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlhoHHH07, author = {Timo Alho and Panu H{\"{a}}m{\"{a}}l{\"{a}}inen and Marko H{\"{a}}nnik{\"{a}}inen and Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Compact hardware design of Whirlpool hashing core}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1247--1252}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266640}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AlhoHHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AminzadehDL07, author = {Hamed Aminzadeh and Mohammad Danaie and Reza Lotfi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Design of high-resolution MOSFET-only pipelined ADCs with digital calibration}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {427--432}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364629}, doi = {10.1109/DATE.2007.364629}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AminzadehDL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AndersKG07, author = {Jens Anders and Shaji Krishnan and Guido Gronthoud}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Re-configuration of sub-blocks for effective application of time domain tests}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {707--712}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364678}, doi = {10.1109/DATE.2007.364678}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AndersKG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AngioliniJABM07, author = {Federico Angiolini and M. Haykel Ben Jamaa and David Atienza and Luca Benini and Giovanni De Micheli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Improving the fault tolerance of nanometric {PLA} designs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {570--575}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364654}, doi = {10.1109/DATE.2007.364654}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AngioliniJABM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AnisN07, author = {Ehab Anis and Nicola Nicolici}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Low cost debug architecture using lossy compression for silicon debug}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {225--230}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364595}, doi = {10.1109/DATE.2007.364595}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AnisN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ArbeloKLLBSM07, author = {C. Arbelo and Andreas Kanstein and Sebasti{\'{a}}n L{\'{o}}pez and Jos{\'{e}} Francisco L{\'{o}}pez and Mladen Berekovic and Roberto Sarmiento and Jean{-}Yves Mignolet}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Mapping control-intensive video kernels onto a coarse-grain reconfigurable architecture: the {H.264/AVC} deblocking filter}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {177--182}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364587}, doi = {10.1109/DATE.2007.364587}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ArbeloKLLBSM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AtasuDMLOD07, author = {Kubilay Atasu and Robert G. Dimond and Oskar Mencer and Wayne Luk and Can C. {\"{O}}zturan and G{\"{u}}nhan D{\"{u}}ndar}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Optimizing instruction-set extensible processors under data bandwidth constraints}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {588--593}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364657}, doi = {10.1109/DATE.2007.364657}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AtasuDMLOD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AycinenaBLMGW07, author = {Peggy Aycinena and Eric Bantegnie and Gerard Ladier and Ralph Mueller and Franco Gasperoni and Alex Wilson}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Towards total open source in aeronautics and space?}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1556}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266707}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AycinenaBLMGW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AzzoniBDFGV07, author = {Paolo Azzoni and Massimo Bertoletti and Nicola Dragone and Franco Fummi and Carlo Guardiani and W. Vendraminetto}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Yield-aware placement optimization}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1232--1237}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266633}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AzzoniBDFGV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BabighianKV07, author = {Pietro Babighian and Gila Kamhi and Moshe Y. Vardi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: PowerQuest: trace driven data mining for power optimization}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1078--1083}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266598}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BabighianKV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaguenaLBDOBH07, author = {Louis Baguena and Emmanuel Li{\'{e}}geon and Alexandra B{\'{e}}poix and Jean{-}Marc Dusserre and Christophe Oustric and Philippe Bellocq and Vincent Heiries}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Development of on board, highly flexible, Galileo signal generator {ASIC}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {679--683}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364673}, doi = {10.1109/DATE.2007.364673}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaguenaLBDOBH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaneresCK07, author = {David Ba{\~{n}}eres and Jordi Cortadella and Michael Kishinevsky}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Layout-aware gate duplication and buffer insertion}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1367--1372}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266664}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaneresCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BanerjeeKR07, author = {Nilanjan Banerjee and Georgios Karakonstantis and Kaushik Roy}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Process variation tolerant low power {DCT} architecture}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {630--635}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364664}, doi = {10.1109/DATE.2007.364664}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BanerjeeKR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BarajasCCMGCBI07, author = {Enrique Barajas and R. Cosculluela and D. Coutinho and Diego Mateo and Jos{\'{e}} Luis Gonz{\'{a}}lez and I. Cair{\`{o}} and S. Banda and M. Ikeda}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Behavioral modeling of delay-locked loops and its application to jitter optimization in ultra wide-band impulse radio systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1430--1435}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266678}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BarajasCCMGCBI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BauerPT07, author = {Andreas Bauer and Markus Pister and Michael Tautschnig}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Tool-support for the analysis of hybrid systems and models}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {924--929}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364411}, doi = {10.1109/DATE.2007.364411}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BauerPT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BjerregaardSS07, author = {Tobias Bjerregaard and Mikkel Bystrup Stensgaard and Jens Spars{\o}}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A scalable, timing-safe, network-on-chip architecture with an integrated clock distribution method}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {648--653}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364667}, doi = {10.1109/DATE.2007.364667}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BjerregaardSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BloemGJPPW07, author = {Roderick Bloem and Stefan J. Galler and Barbara Jobstmann and Nir Piterman and Amir Pnueli and Martin Weiglhofer}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Automatic hardware synthesis from specifications: a case study}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1188--1193}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266622}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BloemGJPPW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BolotinCGK07, author = {Evgeny Bolotin and Israel Cidon and Ran Ginosar and Avinoam Kolodny}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Routing table minimization for irregular mesh NoCs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {942--947}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364414}, doi = {10.1109/DATE.2007.364414}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BolotinCGK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFP07, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Incremental {ABV} for functional validation of TL-to-RTL design refinement}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {882--887}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364404}, doi = {10.1109/DATE.2007.364404}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BombieriFP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BondarevCW07, author = {Egor R. V. Bondarev and Michel R. V. Chaudron and Peter H. N. de With}, editor = {Rudy Lauwereins and Jan Madsen}, title = {{CARAT:} a toolkit for design and performance analysis of component-based embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1024--1029}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364428}, doi = {10.1109/DATE.2007.364428}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BondarevCW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BonnyH07, author = {Talal Bonny and J{\"{o}}rg Henkel}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Efficient code density through look-up table compression}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {809--814}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364390}, doi = {10.1109/DATE.2007.364390}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BonnyH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BonziniP07, author = {Paolo Bonzini and Laura Pozzi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Polynomial-time subgraph enumeration for automated instruction set extension}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1331--1336}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266657}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BonziniP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BorkarJS07, author = {Shekhar Borkar and Norman P. Jouppi and Per Stenstr{\"{o}}m}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Microprocessors in the era of terascale integration}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {237--242}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364597}, doi = {10.1109/DATE.2007.364597}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BorkarJS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BorremansLWR07, author = {Jonathan Borremans and Ludwig De Locht and Piet Wambacq and Yves Rolain}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Nonlinearity analysis of Analog/RF circuits using combined multisine and volterra analysis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {261--266}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364601}, doi = {10.1109/DATE.2007.364601}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BorremansLWR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Botti07, author = {Jean Botti}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Flying embedded: the industrial scene and challenges for embedded systems in aeronautics and space}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1246}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266638}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Botti07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrackALKWLRRF07, author = {Torben Brack and Matthias Alles and Timo Lehnigk{-}Emden and Frank Kienle and Norbert Wehn and Nicola E. L'Insalata and Francesco Rossi and Massimo Rovini and Luca Fanucci}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Low complexity {LDPC} code decoders for next generation standards}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {331--336}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364613}, doi = {10.1109/DATE.2007.364613}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BrackALKWLRRF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrandCGB07, author = {Jan Willem van den Brand and Calin Ciordas and Kees Goossens and Twan Basten}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Congestion-controlled best-effort communication for networks-on-chip}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {948--953}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364415}, doi = {10.1109/DATE.2007.364415}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BrandCGB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrandenburgSHEE07, author = {M. Brandenburg and A. Sch{\"{o}}llhorn and S. Heinen and Josef Eckm{\"{u}}ller and T. Eckart}, editor = {Rudy Lauwereins and Jan Madsen}, title = {From algorithm to first 3.5G call in record time: a novel system design approach based on virtual prototyping and its consequences for interdisciplinary system design teams}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {828--830}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364394}, doi = {10.1109/DATE.2007.364394}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BrandenburgSHEE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BriereGBNMGO07, author = {Matthieu Briere and Bruno Girodias and Youcef Bouchebaba and Gabriela Nicolescu and Fabien Mieyeville and Fr{\'{e}}d{\'{e}}ric Gaffiot and Ian O'Connor}, editor = {Rudy Lauwereins and Jan Madsen}, title = {System level assessment of an optical NoC in an MPSoC platform}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1084--1089}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266600}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BriereGBNMGO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BronckersSPVR07, author = {Stephane Bronckers and Charlotte Soens and Geert Van der Plas and Gerd Vandersteen and Yves Rolain}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Simulation methodology and experimental verification for the analysis of substrate noise on LC-VCO's}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1520--1525}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266699}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BronckersSPVR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ButtSRPS07, author = {Saif Ali Butt and Stefan Schmermbeck and Jurij Rosenthal and Alexander Pratsch and Eike Schmidt}, editor = {Rudy Lauwereins and Jan Madsen}, title = {System level clock tree synthesis for power optimization}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1677--1682}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266734}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ButtSRPS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CabodiNQ07, author = {Gianpiero Cabodi and Sergio Nocco and Stefano Quer}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Boosting the role of inductive invariants in model checking}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1319--1324}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266654}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CabodiNQ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CampiDPCRMLVV07, author = {Fabio Campi and Antonio Deledda and Matteo Pizzotti and Luca Ciccarelli and Pier Luigi Rolandi and Claudio Mucci and Andrea Lodi and Arseni Vitkovski and Luca Vanzolini}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A dynamically adaptive {DSP} for heterogeneous reconfigurable platforms}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {9--14}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364559}, doi = {10.1109/DATE.2007.364559}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CampiDPCRMLVV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Casale-RossiSADGMPS07, author = {Marco Casale{-}Rossi and Andrzej J. Strojwas and Robert C. Aitken and Antun Domic and Carlo Guardiani and Philippe Magarshack and Douglas Pattullo and Joseph Sawicki}, editor = {Rudy Lauwereins and Jan Madsen}, title = {{DFM/DFY:} should you trust the surgeon or the family doctor?}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {439--442}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364631}, doi = {10.1109/DATE.2007.364631}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Casale-RossiSADGMPS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChangLR07, author = {Yen{-}Jen Chang and Yuan{-}Hong Liao and Shanq{-}Jang Ruan}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Improve {CAM} power efficiency using decoupled match line scheme}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {165--170}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364585}, doi = {10.1109/DATE.2007.364585}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChangLR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChattopadhyayAKKLAM07, author = {Anupam Chattopadhyay and W. Ahmed and Kingshuk Karuri and David Kammler and Rainer Leupers and Gerd Ascheid and Heinrich Meyr}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Design space exploration of partially re-configurable embedded processors}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {319--324}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364611}, doi = {10.1109/DATE.2007.364611}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChattopadhyayAKKLAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenKYK07, author = {Jian{-}Jia Chen and Tei{-}Wei Kuo and Chia{-}Lin Yang and Ku{-}Jei King}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Energy-efficient real-time task scheduling with task rejection}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1629--1634}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266724}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenKYK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenSN07, author = {Kai Chen and Janos Sztipanovits and Sandeep Neema}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Compositional specification of behavioral semantics}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {906--911}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364408}, doi = {10.1109/DATE.2007.364408}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenSN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenZLC07, author = {Min Chen and Wei Zhao and Frank Liu and Yu Cao}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Fast statistical circuit analysis with finite-point based transistor model}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1391--1396}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266669}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenZLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChongP07, author = {Yee Jern Chong and Sri Parameswaran}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Automatic application specific floating-point unit generation}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {461--466}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364635}, doi = {10.1109/DATE.2007.364635}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChongP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChoudhuryM07, author = {Mihir R. Choudhury and Kartik Mohanram}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Accurate and scalable reliability analysis of logic circuits}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1454--1459}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266683}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChoudhuryM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChoudhuryRRM07, author = {Mihir R. Choudhury and Kyle Ringgenberg and Scott Rixner and Kartik Mohanram}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Single-ended coding techniques for off-chip interconnects to commodity memory}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1072--1077}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364436}, doi = {10.1109/DATE.2007.364436}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChoudhuryRRM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CiesielskiAGGB07, author = {Maciej J. Ciesielski and Serkan Askar and Daniel Gomez{-}Prado and J{\'{e}}r{\'{e}}mie Guillot and Emmanuel Boutillon}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Data-flow transformations using Taylor expansion diagrams}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {455--460}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364634}, doi = {10.1109/DATE.2007.364634}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CiesielskiAGGB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ClausZMS07, author = {Christopher Claus and Johannes Zeppenfeld and Florian Helmut M{\"{u}}ller and Walter Stechele}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Using partial-run-time reconfigurable hardware to accelerate video processing in driver assistance system}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {498--503}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364642}, doi = {10.1109/DATE.2007.364642}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ClausZMS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CoskunRW07, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Keith Whisnant}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Temperature aware task scheduling in MPSoCs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1659--1664}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266730}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CoskunRW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CrepaldiCGZ07, author = {Marco Crepaldi and Mario R. Casu and Mariagrazia Graziano and Maurizio Zamboni}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An effective {AMS} top-down methodology applied to the design of a mixed-signal {UWB} system-on-chip}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1424--1429}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266677}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CrepaldiCGZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CucuG07, author = {Liliana Cucu and Jo{\"{e}}l Goossens}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Feasibility intervals for multiprocessor fixed-priority scheduling of arbitrary deadline periodic systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1635--1640}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266725}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CucuG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CuiDHG07, author = {Jin Cui and Qingxu Deng and Xiuqiang He and Zonghua Gu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An efficient algorithm for online management of 2D area of partially reconfigurable FPGAs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {129--134}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364579}, doi = {10.1109/DATE.2007.364579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CuiDHG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DAscoliIMMTFGRM07, author = {Francesco D'Ascoli and Francesco Iozzi and Corrado Marino and Massimiliano Melani and Marco Tonarelli and Luca Fanucci and A. Giambastiani and Alessandro Rocchi and Marco De Marinis}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Low-g accelerometer fast prototyping for automotive applications}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {486--491}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364640}, doi = {10.1109/DATE.2007.364640}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DAscoliIMMTFGRM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DabrowskiR07, author = {Jerzy J. D{\k{a}}browski and Rashad Ramzan}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Boosting {SER} test for {RF} transceivers by simple {DSP} technique}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {719--724}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364680}, doi = {10.1109/DATE.2007.364680}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DabrowskiR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasM07, author = {Tejasvi Das and P. R. Mukund}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Sensitivity analysis for fault-analysis and tolerance in {RF} front-end circuitry}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1277--1282}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266646}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DasM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DerbekSWWPP07, author = {Vojtech Derbek and Christian Steger and Reinhold Weiss and Daniel Wischounig and Josef Preishuber{-}Pfluegl and Markus Pistauer}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Simulation platform for {UHF} {RFID}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {918--923}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364410}, doi = {10.1109/DATE.2007.364410}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DerbekSWWPP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DestroFP07, author = {Paolo Destro and Franco Fummi and Graziano Pravadelli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A smooth refinement flow for co-designing {HW} and {SW} threads}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {105--110}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364575}, doi = {10.1109/DATE.2007.364575}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DestroFP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DevanathanRK07, author = {V. R. Devanathan and C. P. Ravikumar and V. Kamakoti}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: On power-profiling and pattern generation for power-safe scan tests}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {534--539}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364648}, doi = {10.1109/DATE.2007.364648}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DevanathanRK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DielissenH07, author = {John Dielissen and Andries Hekstra}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Non-fractional parallelism in {LDPC} decoder implementations}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {337--342}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364614}, doi = {10.1109/DATE.2007.364614}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DielissenH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DittmannF07, author = {Florian Dittmann and Stefan Frank}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Hard real-time reconfiguration port scheduling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {123--128}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364578}, doi = {10.1109/DATE.2007.364578}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DittmannF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DuboisMAWLW07, author = {Tobias Dubois and Erik Jan Marinissen and Mohamed Azimane and Paul Wielage and Erik Larsson and Clemens Wouters}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Test quality analysis and improvement for an embedded asynchronous {FIFO}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {859--864}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364400}, doi = {10.1109/DATE.2007.364400}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DuboisMAWLW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EachempatiNGVM07, author = {Soumya Eachempati and Arthur Nieuwoudt and Aman Gayasen and Narayanan Vijaykrishnan and Yehia Massoud}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Assessing carbon nanotube bundle interconnect for future {FPGA} architectures}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {307--312}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364609}, doi = {10.1109/DATE.2007.364609}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EachempatiNGVM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EckerESSVH07, author = {Wolfgang Ecker and Volkan Esen and Lars Sch{\"{o}}nberg and Thomas Steininger and Michael Velten and Michael Hull}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Impact of description language, abstraction layer, and value representation on simulation performance}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {767--772}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364688}, doi = {10.1109/DATE.2007.364688}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EckerESSVH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EckerESVH07, author = {Wolfgang Ecker and Volkan Esen and Thomas Steininger and Michael Velten and Michael Hull}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Implementation of a transaction level assertion framework in SystemC}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {894--899}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266559}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EckerESVH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EeckelaertSGSS07, author = {Tom Eeckelaert and Raf Schoofs and Georges G. E. Gielen and Michiel Steyaert and Willy M. C. Sansen}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An efficient methodology for hierarchical synthesis of mixed-signal systems with fully integrated building block topology selection}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {81--86}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364571}, doi = {10.1109/DATE.2007.364571}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EeckelaertSGSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EjlaliARM07, author = {Alireza Ejlali and Bashir M. Al{-}Hashimi and Paul M. Rosinger and Seyed Ghassem Miremadi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1647--1652}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266728}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EjlaliARM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ErdoganO07, author = {Erdem Serkan Erdogan and Sule Ozev}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An ADC-BiST scheme using sequential code analysis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {713--718}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364679}, doi = {10.1109/DATE.2007.364679}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ErdoganO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FanMTCH07, author = {Jeffrey Fan and Ning Mi and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Statistical model order reduction for interconnect circuits considering spatial correlations}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1508--1513}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266697}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FanMTCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FangH07, author = {Lei Fang and Michael S. Hsiao}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A new hybrid solution to boost {SAT} solver performance}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1307--1313}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266652}, timestamp = {Mon, 03 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FangH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FaragES07, author = {Ahmed A. El Farag and Hatem M. El{-}Boghdadi and Samir I. Shaheen}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Improving utilization of reconfigurable resources using two dimensional compaction}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {135--140}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364580}, doi = {10.1109/DATE.2007.364580}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FaragES07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FavalliM07, author = {Michele Favalli and Cecilia Metra}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Pulse propagation for the detection of small delay defects}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1295--1300}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266649}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FavalliM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FeiS07, author = {Yunsi Fei and Zhijie Jerry Shi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Microarchitectural support for program code integrity monitoring in application-specific instruction set processors}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {815--820}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364391}, doi = {10.1109/DATE.2007.364391}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FeiS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FengWZKS07, author = {Thomas Huining Feng and Lynn Wang and Wei Zheng and Sri Kanajan and Sanjit A. Seshia}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Automatic model generation for black box real-time systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {930--935}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364412}, doi = {10.1109/DATE.2007.364412}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FengWZKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FengZTC07, author = {Yi Feng and Zheng Zhou and Dong Tong and Xu Cheng}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Clock domain crossing fault model and coverage metric for validation of SoC design}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1385--1390}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266668}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FengZTC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerrignoPSL07, author = {Julie Ferrigno and Philippe Perdu and Kevin Sanchez and Dean Lewis}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Identification of process/design issues during 0.18 {\(\mathrm{\mu}\)}m technology qualification for space application}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {989--993}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364422}, doi = {10.1109/DATE.2007.364422}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FerrignoPSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FietheMDOZ07, author = {Bj{\"{o}}rn Fiethe and Harald Michalik and C. Dierker and Bj{\"{o}}rn Osterloh and Gang Zhou}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Reconfigurable system-on-chip data processing units for space imaging instruments}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {977--982}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364420}, doi = {10.1109/DATE.2007.364420}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FietheMDOZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiPPQ07, author = {Franco Fummi and Giovanni Perbellini and R. Pietrangeli and Davide Quaglia}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: {A} middleware-centric design flow for networked embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1048--1053}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364432}, doi = {10.1109/DATE.2007.364432}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiPPQ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Furuyama07, author = {Tohru Furuyama}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Keynote address: Challenges of digital consumer and mobile SoC's: more Moore possible?}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364556}, doi = {10.1109/DATE.2007.364556}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Furuyama07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GailliardNSV07, author = {Gr{\'{e}}gory Gailliard and Eric Nicollet and Michel Sarlotte and Fran{\c{c}}ois Verdier}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Transaction level modelling of {SCA} compliant software defined radio waveforms and platforms {PIM/PSM}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {966--971}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364418}, doi = {10.1109/DATE.2007.364418}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GailliardNSV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GalivancheKR07, author = {Rajesh Galivanche and Rohit Kapur and Antonio Rubio}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Testing in the year 2020}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {960--965}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364417}, doi = {10.1109/DATE.2007.364417}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GalivancheKR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaneshpureK07, author = {Kunal P. Ganeshpure and Sandip Kundu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Automatic test pattern generation for maximal circuit noise in multiple aggressor crosstalk faults}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {540--545}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364649}, doi = {10.1109/DATE.2007.364649}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GaneshpureK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GargM07, author = {Siddharth Garg and Diana Marculescu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {403--408}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364625}, doi = {10.1109/DATE.2007.364625}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GargM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GburzynskiKO07, author = {Pawel Gburzynski and Bozena Kaminska and Wladek Olesinski}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A tiny and efficient wireless ad-hoc protocol for low-cost sensor networks}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1557--1562}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266709}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GburzynskiKO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GeWL07, author = {Zhiguo Ge and Weng{-}Fai Wong and Hock{-}Beng Lim}, editor = {Rudy Lauwereins and Jan Madsen}, title = {{DRIM:} a low power dynamically reconfigurable instruction memory hierarchy for embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1343--1348}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266659}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GeWL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GeilenB07, author = {Marc Geilen and Twan Basten}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A calculator for Pareto points}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {285--290}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364605}, doi = {10.1109/DATE.2007.364605}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GeilenB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GhoshBR07, author = {Swaroop Ghosh and Swarup Bhunia and Kaushik Roy}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1532--1537}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266702}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GhoshBR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GillPW07, author = {Balkaran S. Gill and Christos A. Papachristou and Francis G. Wolff}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: {A} new asymmetric {SRAM} cell to reduce soft errors and leakage power in {FPGA}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1460--1465}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266684}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GillPW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GlassLSHT07, author = {Michael Gla{\ss} and Martin Lukasiewycz and Thilo Streichert and Christian Haubelt and J{\"{u}}rgen Teich}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Reliability-aware system synthesis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {409--414}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266452}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GlassLSHT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GodardDTS07, author = {Beno{\^{\i}}t Godard and Jean Michel Daga and Lionel Torres and Gilles Sassatelli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Evaluation of design for reliability techniques in embedded flash memories}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1593--1598}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266716}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GodardDTS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GolubevaLPM07, author = {Olga Golubeva and Mirko Loghi and Massimo Poncino and Enrico Macii}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Architectural leakage-aware management of partitioned scratchpad memories}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1665--1670}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266732}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GolubevaLPM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GongW07, author = {Fei Gong and Xiaobo Wu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: System level power optimization of Sigma-Delta modulator}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {297--300}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364607}, doi = {10.1109/DATE.2007.364607}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GongW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Gordon-RossVVNB07, author = {Ann Gordon{-}Ross and Pablo Viana and Frank Vahid and Walid A. Najjar and Edna Barros}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A one-shot configurable-cache tuner for improved energy and performance}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {755--760}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364686}, doi = {10.1109/DATE.2007.364686}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Gordon-RossVVNB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrassmannRS07, author = {Cyprian Grassmann and Mathias Richter and Mirko Sauermann}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Mapping the physical layer of radio standards to multiprocessor architectures}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1412--1417}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266675}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GrassmannRS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrosseKD07, author = {Daniel Gro{\ss}e and Ulrich K{\"{u}}hne and Rolf Drechsler}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Estimating functional coverage in bounded model checking}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1176--1181}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266620}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GrosseKD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrossschadlTRHM07, author = {Johann Gro{\ss}sch{\"{a}}dl and Stefan Tillich and Christian Rechberger and Michael Hofmann and Marcel Medwed}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Energy evaluation of software implementations of block ciphers under memory constraints}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1110--1115}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266607}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GrossschadlTRHM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuptaOJWB07, author = {Meeta Sharma Gupta and Jarod L. Oatley and Russ Joseph and Gu{-}Yeon Wei and David M. Brooks}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Understanding voltage variations in chip multiprocessors using a distributed power-delivery network}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {624--629}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364663}, doi = {10.1109/DATE.2007.364663}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuptaOJWB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HaastregtK07, author = {Sven van Haastregt and Peter M. W. Knijnenburg}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Feasibility of combined area and performance optimization for superscalar processors using random search}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {606--611}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364660}, doi = {10.1109/DATE.2007.364660}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HaastregtK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HairionECS07, author = {David Hairion and S. Emeriau and E. Combot and Michel Sarlotte}, editor = {Rudy Lauwereins and Jan Madsen}, title = {New safety critical radio altimeter for airbus and related design flow}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {684--688}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364674}, doi = {10.1109/DATE.2007.364674}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HairionECS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HamersE07, author = {Juan Hamers and Lieven Eeckhout}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Resource prediction for media stream decoding}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {594--599}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364658}, doi = {10.1109/DATE.2007.364658}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HamersE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanssonCG07, author = {Andreas Hansson and Martijn Coenen and Kees Goossens}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {954--959}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364416}, doi = {10.1109/DATE.2007.364416}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HanssonCG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HashempourL07, author = {Hamidreza Hashempour and Fabrizio Lombardi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Circuit-level modeling and detection of metallic carbon nanotube defects in carbon nanotube FETs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {841--846}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364397}, doi = {10.1109/DATE.2007.364397}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HashempourL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HongSCY07, author = {Dongwoo Hong and Shadi Saberi and Kwang{-}Ting Cheng and C. Patrick Yue}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A two-tone test method for continuous-time adaptive equalizers}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1283--1288}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266647}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HongSCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HosseinabadyDN07, author = {Mohammad Hosseinabady and Atefe Dalirsani and Zainalabedin Navabi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Using the inter- and intra-switch regularity in NoC switch testing}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {361--366}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364618}, doi = {10.1109/DATE.2007.364618}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HosseinabadyDN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsiehLB07, author = {Tong{-}Yu Hsieh and Kuen{-}Jong Lee and Melvin A. Breuer}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Reduction of detected acceptable faults for yield improvement via error-tolerance}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1599--1604}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266717}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HsiehLB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuMWD07, author = {Xin Hu and Tarek Moselhy and Jacob K. White and Luca Daniel}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Optimization-based wideband basis functions for efficient interconnect extraction}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1200--1205}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266625}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuMWD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuVKCP07, author = {Qubo Hu and Arnout Vandecappelle and Per Gunnar Kjeldsberg and Francky Catthoor and Martin Palkovic}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Fast memory footprint estimation based on maximal dependency vector calculation}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {379--384}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364621}, doi = {10.1109/DATE.2007.364621}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuVKCP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Huang07, author = {Yu Huang}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Dynamic learning based scan chain diagnosis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {510--515}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364644}, doi = {10.1109/DATE.2007.364644}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Huang07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangG07, author = {Po{-}Kuan Huang and Soheil Ghiasi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Efficient and scalable compiler-directed energy optimization for realtime applications}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {785--790}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364386}, doi = {10.1109/DATE.2007.364386}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangMW07, author = {Shuilong Huang and Huainan Ma and Zhihua Wang}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Modeling and simulation to the design of SigmaDelta fractional-N frequency synthesizer}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {291--296}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364606}, doi = {10.1109/DATE.2007.364606}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangMW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangT07, author = {Kai Huang and Lothar Thiele}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Performance analysis of multimedia applications using correlated streams}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {912--917}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364409}, doi = {10.1109/DATE.2007.364409}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HumenayTS07, author = {Eric Humenay and David Tarjan and Kevin Skadron}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Impact of process variations on multicore performance symmetry}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1653--1658}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266729}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HumenayTS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HungIGS07, author = {Luong Dinh Hung and Hidetsugu Irie and Masahiro Goshima and Shuichi Sakai}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Utilization of {SECDED} for soft error and variation-induced defect tolerance in caches}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1134--1139}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266612}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HungIGS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Huomo07, author = {Heikki Huomo}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Emerging solutions technology and business views for the ubiquitous communication}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {678}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364672}, doi = {10.1109/DATE.2007.364672}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Huomo07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuynhM07, author = {Huynh Phung Huynh and Tulika Mitra}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Instruction-set customization for real-time embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1472--1477}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266690}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuynhM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HwangCR07, author = {Myeong{-}Eun Hwang and Tamer Cakici and Kaushik Roy}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Process tolerant beta-ratio modulation for ultra-dynamic voltage scaling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1550--1555}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266705}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HwangCR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IndrusiakTG07, author = {Leandro Soares Indrusiak and Andreas Thuy and Manfred Glesner}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Executable system-level specification models containing UML-based behavioral patterns}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {301--306}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364608}, doi = {10.1109/DATE.2007.364608}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IndrusiakTG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JanapsatyaIPH07, author = {Andhi Janapsatya and Aleksandar Ignjatovic and Sri Parameswaran and J{\"{o}}rg Henkel}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Instruction trace compression for rapid instruction cache simulation}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {803--808}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364389}, doi = {10.1109/DATE.2007.364389}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JanapsatyaIPH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JangKL07, author = {B. Jang and Y.{-}B. Kim and Fabrizio Lombardi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Error rate reduction in {DNA} self-assembly by non-constant monomer concentrations and profiling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {847--852}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364398}, doi = {10.1109/DATE.2007.364398}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JangKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JayakumarK07, author = {Nikhil Jayakumar and Sunil P. Khatri}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An algorithm to minimize leakage through simultaneous input vector control and circuit modification}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {618--623}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364662}, doi = {10.1109/DATE.2007.364662}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JayakumarK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Jerraya07, author = {Ahmed Amine Jerraya}, editor = {Rudy Lauwereins and Jan Madsen}, title = {{HW/SW} implementation from abstract architecture models}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1470--1471}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266688}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Jerraya07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JuCR07, author = {Lei Ju and Samarjit Chakraborty and Abhik Roychoudhury}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Accounting for cache-related preemption delay in dynamic priority schedulability analysis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1623--1628}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266723}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JuCR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JungP07, author = {Hwisung Jung and Massoud Pedram}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Dynamic power management under uncertain information}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1060--1065}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364434}, doi = {10.1109/DATE.2007.364434}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JungP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Kahng07, author = {Andrew B. Kahng}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Design challenges at 65nm and beyond}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1466--1467}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266686}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Kahng07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KandemirYSO07, author = {Mahmut T. Kandemir and Taylan Yemliha and Seung Woo Son and Ozcan Ozturk}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Memory bank aware dynamic loop scheduling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1671--1676}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266733}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KandemirYSO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KeezerMD07, author = {David C. Keezer and Dany Minier and Patrice Ducharme}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Method for reducing jitter in multi-gigahertz {ATE}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {701--706}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364677}, doi = {10.1109/DATE.2007.364677}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KeezerMD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhanA07, author = {Zahid Khan and Tughrul Arslan}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Pipelined implementation of a real time programmable encoder for low density parity check code on a reconfigurable instruction cell architecture}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {349--354}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364616}, doi = {10.1109/DATE.2007.364616}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KhanA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhanATE07, author = {Zahid Khan and Tughrul Arslan and John S. Thompson and Ahmet T. Erdogan}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A new pipelined implementation for minimum norm sorting used in square root algorithm for {MIMO-VBLAST} systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1569--1574}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266711}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KhanATE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimHG07, author = {Dohyung Kim and Soonhoi Ha and Rajesh Gupta}, editor = {Rudy Lauwereins and Jan Madsen}, title = {{CATS:} cycle accurate transaction-driven simulation with multiple processor simulators}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {749--754}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364685}, doi = {10.1109/DATE.2007.364685}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimHG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KokkelerSKK07, author = {Andr{\'{e}} B. J. Kokkeler and Gerard J. M. Smit and Thijs Krol and Jan Kuper}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Cyclostationary feature detection on a tiled-SoC}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {171--176}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364586}, doi = {10.1109/DATE.2007.364586}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KokkelerSKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Kopetz07, author = {Hermann Kopetz}, editor = {Rudy Lauwereins and Jan Madsen}, title = {The {ARTEMIS} cross-domain architecture for embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1468--1469}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266687}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Kopetz07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KraemerLAM07, author = {Stefan Kraemer and Rainer Leupers and Gerd Ascheid and Heinrich Meyr}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: SoftSIMD - exploiting subword parallelism using source code transformations}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1349--1354}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266660}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KraemerLAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KrauseBHTR07, author = {Matthias Krause and Oliver Bringmann and Andr{\'{e}} Hergenhan and G{\"{o}}khan Tabanoglu and Wolfgang Rosenstiel}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Timing simulation of interconnected {AUTOSAR} software-components}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {474--479}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364638}, doi = {10.1109/DATE.2007.364638}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KrauseBHTR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KrishnaiahES07, author = {Gummidipudi Krishnaiah and Nur Engin and Sergei Sawitzki}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Scalable reconfigurable channel decoder architecture for future wireless handsets}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1563--1568}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266710}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KrishnaiahES07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KroeningS07, author = {Daniel Kroening and Natasha Sharygina}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Image computation and predicate refinement for {RTL} verilog using word level proofs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1325--1330}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266655}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KroeningS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarHHC07, author = {Akash Kumar and Andreas Hansson and Jos Huisken and Henk Corporaal}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: An {FPGA} design flow for reconfigurable network-based multi-processor systems on chip}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {117--122}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364577}, doi = {10.1109/DATE.2007.364577}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KumarHHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KurraSP07, author = {Srikanth Kurra and Neeraj Kumar Singh and Preeti Ranjan Panda}, editor = {Rudy Lauwereins and Jan Madsen}, title = {The impact of loop unrolling on controller delay in high level synthesis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {391--396}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364623}, doi = {10.1109/DATE.2007.364623}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KurraSP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LaouamriA07, author = {Oussama Laouamri and Chouki Aktouf}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Remote testing and diagnosis of System-on-Chips using network management frameworks}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {373--378}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364620}, doi = {10.1109/DATE.2007.364620}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LaouamriA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LarssonLEP07, author = {Anders Larsson and Erik Larsson and Petru Eles and Zebo Peng}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Optimized integration of test compression and sharing for {SOC} testing}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {207--212}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364592}, doi = {10.1109/DATE.2007.364592}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LarssonLEP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LasbouyguesWAM07, author = {B. Lasbouygues and Robin Wilson and Nadine Az{\'{e}}mard and Philippe Maurine}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Temperature and voltage aware timing analysis: application to voltage drops}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1012--1017}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364426}, doi = {10.1109/DATE.2007.364426}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LasbouyguesWAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LataireVP07, author = {John Lataire and Gerd Vandersteen and Rik Pintelon}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Optimizing analog filter designs for minimum nonlinear distortions using multisine excitations}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {267--272}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364602}, doi = {10.1109/DATE.2007.364602}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LataireVP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeGB07, author = {Thuyen Le and Tilman Gl{\"{o}}kler and Jason Baumgartner}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Formal verification of a pervasive interconnect bus system in a high-performance microprocessor}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {219--224}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364594}, doi = {10.1109/DATE.2007.364594}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeGB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeveugleAMTMMFRT07, author = {R{\'{e}}gis Leveugle and Abdelaziz Ammari and V. Maingot and E. Teyssou and Pascal Moitrel and Christophe Mourtel and Nathalie Feyt and Jean{-}Baptiste Rigaud and Assia Tria}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Experimental evaluation of protections against laser-induced faults and consequences on fault modeling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1587--1592}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266715}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeveugleAMTMMFRT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinC07, author = {Mitchell Lin and Kwang{-}Ting (Tim) Cheng}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Testable design for advanced serial-link transceivers}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {695--700}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364676}, doi = {10.1109/DATE.2007.364676}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinFYL07, author = {Kuan Jen Lin and Shan Chien Fang and Shih Hsien Yang and Cheng Chia Lo}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Overcoming glitches and dissipation timing skews in design of DPA-resistant cryptographic hardware}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1265--1270}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266643}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinFYL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinH07, author = {Yan Lin and Lei He}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Statistical dual-Vdd assignment for {FPGA} interconnect power reduction}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {636--641}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364665}, doi = {10.1109/DATE.2007.364665}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinLTL07, author = {Tsai{-}Ying Lin and Tsung{-}Han Lin and Hui{-}Hsiang Tung and Rung{-}Bin Lin}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Double-via-driven standard cell library design}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1212--1217}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266627}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinLTL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinXZ07, author = {Chuan Lin and Aiguo Xie and Hai Zhou}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Design closure driven delay relaxation based on convex cost network flow}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {63--68}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364568}, doi = {10.1109/DATE.2007.364568}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinXZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LisselGG07, author = {Robert Lissel and Joachim Gerlach}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Introducing new verification methods into a company's design flow: an industrial user's point of view}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {689--694}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364675}, doi = {10.1109/DATE.2007.364675}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LisselGG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuDSY07, author = {Yongpan Liu and Robert P. Dick and Li Shang and Huazhong Yang}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Accurate temperature-dependent integrated circuit leakage power estimation is easy}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1526--1531}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266701}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuDSY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Lysecky07, author = {Roman L. Lysecky}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Low-power warp processor for power efficient high-performance embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {141--146}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364581}, doi = {10.1109/DATE.2007.364581}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Lysecky07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MamagkakisSC07, author = {Stylianos Mamagkakis and Dimitrios Soudris and Francky Catthoor}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Middleware design optimization of wireless protocols based on the exploitation of dynamic input patterns}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1036--1041}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364430}, doi = {10.1109/DATE.2007.364430}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MamagkakisSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ManetMTCMGLAGLB07, author = {Philippe Manet and Daniel Maufroid and Leonardo Tosi and Marco Di Ciano and Olivier Mulertt and Yves Gabriel and Jean{-}Didier Legat and Denis Aulagnier and Christian Gamrat and Raffaele Liberati and Vincenzo La Barba}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: {RECOPS:} reconfiguring programmable devices for military hardware electronics}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {994--999}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364423}, doi = {10.1109/DATE.2007.364423}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ManetMTCMGLAGLB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MangassarianVSNA07, author = {Hratch Mangassarian and Andreas G. Veneris and Sean Safarpour and Farid N. Najm and Magdy S. Abadir}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Maximum circuit activity estimation using pseudo-boolean satisfiability}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1538--1543}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266703}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MangassarianVSNA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarianiBC07, author = {Riccardo Mariani and Gabriele Boschi and Federico Colucci}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Using an innovative SoC-level {FMEA} methodology to design in compliance with {IEC61508}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {492--497}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364641}, doi = {10.1109/DATE.2007.364641}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarianiBC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MatarreseMCDB07, author = {Gianvito Matarrese and Cristoforo Marzocca and Francesco Corsi and Stefano D'Amico and Andrea Baschirotto}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Experimental validation of a tuning algorithm for high-speed filters}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {421--426}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364628}, doi = {10.1109/DATE.2007.364628}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MatarreseMCDB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MathaikuttySKLD07, author = {Deepak Mathaikutty and Sandeep K. Shukla and Sreekumar V. Kodakara and David J. Lilja and Ajit Dingankar}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Design fault directed test generation for microprocessor validation}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {761--766}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364687}, doi = {10.1109/DATE.2007.364687}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MathaikuttySKLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MavroidisP07, author = {Ioannis Mavroidis and Ioannis Papaefstathiou}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Efficient testbench code synthesis for a hardware emulator system}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {888--893}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364405}, doi = {10.1109/DATE.2007.364405}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MavroidisP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MedardoniRBBSP07, author = {Simone Medardoni and Martino Ruggiero and Davide Bertozzi and Luca Benini and Giovanni Strano and Carlo Pistritto}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Capturing the interaction of the communication, memory and {I/O} subsystems in memory-centric industrial MPSoC platforms}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {660--665}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364669}, doi = {10.1109/DATE.2007.364669}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MedardoniRBBSP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MehraraASCBA07, author = {Mojtaba Mehrara and Mona Attariyan and Smitha Shyam and Kypros Constantinides and Valeria Bertacco and Todd M. Austin}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Low-cost protection for {SER} upsets and silicon defects}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1146--1151}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266614}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MehraraASCBA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MeijerKTK07, author = {Sjoerd Meijer and Bart Kienhuis and Alexandru Turjan and Erwin A. de Kock}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: {A} process splitting transformation for Kahn process networks}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1355--1360}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266661}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MeijerKTK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MilidonisAPMKG07, author = {Athanasios Milidonis and Nikolaos Alachiotis and Vasileios Porpodas and Haralambos Michail and Athanasios Kakarountas and Constantinos E. Goutis}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: {A} decoupled architecture of processors with scratch-pad memory hierarchy}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {612--617}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364661}, doi = {10.1109/DATE.2007.364661}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MilidonisAPMKG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Miskov-ZivanovM07, author = {Natasa Miskov{-}Zivanov and Diana Marculescu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Soft error rate analysis for sequential circuits}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1436--1441}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266680}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Miskov-ZivanovM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MogalB07, author = {Hushrav Mogal and Kia Bazargan}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Microarchitecture floorplanning for sub-threshold leakage reduction}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1238--1243}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266634}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MogalB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MolinaRMH07, author = {Mar{\'{\i}}a C. Molina and Rafael Ruiz{-}Sautua and Jose Manuel Mendias and Rom{\'{a}}n Hermida}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Area optimization of multi-cycle operators in high-level synthesis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {449--454}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364633}, doi = {10.1109/DATE.2007.364633}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MolinaRMH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MondalRKRLVM07, author = {Mosin Mondal and Andrew J. Ricketts and Sami Kirolos and Tamer Ragheb and Greg M. Link and Narayanan Vijaykrishnan and Yehia Massoud}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Thermally robust clocking schemes for 3D integrated circuits}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1206--1211}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266626}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MondalRKRLVM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MoonBP07, author = {In{-}Ho Moon and Per Bjesse and Carl Pixley}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A compositional approach to the combination of combinational and sequential equivalence checking of circuits without known reset states}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1170--1175}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266619}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MoonBP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MoselhyHD07, author = {Tarek Moselhy and Xin Hu and Luca Daniel}, editor = {Rudy Lauwereins and Jan Madsen}, title = {pFFT in FastMaxwell: a fast impedance extraction solver for 3D conductor structures over substrate}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1194--1199}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266624}, timestamp = {Sat, 27 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MoselhyHD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MoserTBB07, author = {Clemens Moser and Lothar Thiele and Davide Brunelli and Luca Benini}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Adaptive power management in energy harvesting systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {773--778}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364689}, doi = {10.1109/DATE.2007.364689}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MoserTBB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MossNFFBA07, author = {Laurent Moss and Maxime de Nanclas and Luc Filion and Sebastien Fontaine and Guy Bois and El Mostapha Aboulhamid}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Seamless hardware/software performance co-monitoring in a codesign simulation environment with {RTOS} support}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {876--881}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364403}, doi = {10.1109/DATE.2007.364403}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MossNFFBA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MoussaMBJ07, author = {Hazem Moussa and Olivier Muller and Amer Baghdadi and Michel J{\'{e}}z{\'{e}}quel}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Butterfly and benes-based on-chip communication networks for multiprocessor turbo decoding}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {654--659}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364668}, doi = {10.1109/DATE.2007.364668}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MoussaMBJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MucciVCT07, author = {Claudio Mucci and Luca Vanzolini and Fabio Campi and Mario Toma}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Implementation of AES/Rijndael on a dynamically reconfigurable architecture}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {355--360}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364617}, doi = {10.1109/DATE.2007.364617}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MucciVCT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MuellerGS07, author = {Daniel Mueller and Helmut E. Graeb and Ulf Schlichtmann}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Trade-off design of analog circuits using goal attainment and "Wave Front" sequential quadratic programming}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {75--80}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364570}, doi = {10.1109/DATE.2007.364570}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MuellerGS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MutyamV07, author = {Madhu Mutyam and Narayanan Vijaykrishnan}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Working with process variation aware caches}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1152--1157}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266615}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MutyamV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NaculRL07, author = {Andr{\'{e}} C. N{\'{a}}cul and Francesco Regazzoni and Marcello Lajolo}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Hardware scheduling support in {SMP} architectures}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {642--647}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364666}, doi = {10.1109/DATE.2007.364666}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NaculRL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NaguibG07, author = {Youssef N. Naguib and Rafik S. Guindi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Speeding up SystemC simulation through process splitting}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {111--116}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364576}, doi = {10.1109/DATE.2007.364576}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NaguibG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NahapetianLABS07, author = {Ani Nahapetian and Paolo Lombardo and Andrea Acquaviva and Luca Benini and Majid Sarrafzadeh}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Dynamic reconfiguration in sensor networks with regenerative energy sources}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1054--1059}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364433}, doi = {10.1109/DATE.2007.364433}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NahapetianLABS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NarayananHMCZ07, author = {Ramanathan Narayanan and Daniel Honbo and Gokhan Memik and Alok N. Choudhary and Joseph Zambreno}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: An {FPGA} implementation of decision tree classification}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {189--194}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364589}, doi = {10.1109/DATE.2007.364589}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NarayananHMCZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NarayananKB07, author = {Sri Hari Krishna Narayanan and Mahmut T. Kandemir and Richard R. Brooks}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Performance aware secure code partitioning}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1122--1127}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266609}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NarayananKB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NarayanasamyCC07, author = {Satish Narayanasamy and Ayse K. Coskun and Brad Calder}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Transient fault prediction based on anomalies in processor events}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1140--1145}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266613}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NarayanasamyCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NardiTNAGLS07, author = {A. Nardi and Emre Tuncer and Srinath R. Naidu and A. Antonau and S. Gradinaru and Tao Lin and J. Song}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Use of statistical timing analysis on real designs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1605--1610}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266719}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NardiTNAGLS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Naumann07, author = {Alan Naumann}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Keynote address: Was Darwin wrong? Has design evolution stopped at the {RTL} level... or will software and custom processors (or system-level design) extend Moore's law?}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {2}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364557}, doi = {10.1109/DATE.2007.364557}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Naumann07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NepalBMPZ07, author = {Kundan Nepal and R. Iris Bahar and Joseph L. Mundy and William R. Patterson and Alexander Zaslavsky}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Techniques for designing noise-tolerant multi-level combinational circuits}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {576--581}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364655}, doi = {10.1109/DATE.2007.364655}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NepalBMPZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NeyGLPVB07, author = {Alexandre Ney and Patrick Girard and Christian Landrault and Serge Pravossoudovitch and Arnaud Virazel and Magali Bastian}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Slow write driver faults in 65nm {SRAM} technology: analysis and March test solution}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {528--533}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364647}, doi = {10.1109/DATE.2007.364647}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NeyGLPVB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiM07, author = {Min Ni and Seda Ogrenci Memik}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Self-heating-aware optimal wire sizing under Elmore delay model}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1373--1378}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266665}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NiM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiuQ07, author = {Linwei Niu and Gang Quan}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Peripheral-conscious scheduling on energy minimization for weakly hard real-time systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {791--796}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364387}, doi = {10.1109/DATE.2007.364387}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NiuQ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NjorogeCWTGKO07, author = {Njuguna Njoroge and Jared Casper and Sewook Wee and Yuriy Teslyar and Daxia Ge and Christos Kozyrakis and Kunle Olukotun}, editor = {Rudy Lauwereins and Jan Madsen}, title = {{ATLAS:} a chip-multiprocessor with transactional memory support}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {3--8}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364558}, doi = {10.1109/DATE.2007.364558}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NjorogeCWTGKO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NooriMMIG07, author = {Hamid Noori and Farhad Mehdipour and Kazuaki J. Murakami and Koji Inoue and Maziar Goudarzi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Generating and executing multi-exit custom instructions for an adaptive extensible processor}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {325--330}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364612}, doi = {10.1109/DATE.2007.364612}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NooriMMIG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OConnorCCDHH07, author = {Ian O'Connor and Bernard Courtois and Krishnendu Chakrabarty and N. Delorme and M. Hampton and J. Hartung}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Heterogeneous systems on chip and systems in package}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {737--742}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364683}, doi = {10.1109/DATE.2007.364683}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OConnorCCDHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OgrasM07, author = {{\"{U}}mit Y. Ogras and Radu Marculescu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Analytical router modeling for networks-on-chip performance analysis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1096--1101}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266602}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OgrasM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OlivePF07, author = {Xavier Olive and Jean{-}Marie Pasquet and Didier Flament}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Industrial applications}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1244--1245}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266636}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OlivePF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OstlerC07, author = {Christopher Ostler and Karam S. Chatha}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An {ILP} formulation for system-level application mapping on network processor architectures}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {99--104}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364574}, doi = {10.1109/DATE.2007.364574}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OstlerC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaganiniKDCC07, author = {Maurizio Paganini and Georg Kimmich and Stephane Ducrey and Guilhem Caubit and Vincent Coeffe}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Portable multimedia SoC design: a global challenge}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {831--834}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364395}, doi = {10.1109/DATE.2007.364395}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PaganiniKDCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PanC07, author = {Sung{-}Jui (Song{-}Ra) Pan and Kwang{-}Ting Cheng}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A framework for system reliability analysis considering both system error tolerance and component test quality}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1581--1586}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266714}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PanC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PapadonikolakisPK07, author = {Markos E. Papadonikolakis and Vasilleios Pantazis and Athanasios Kakarountas}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Efficient high-performance {ASIC} implementation of {JPEG-LS} encoder}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {159--164}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364584}, doi = {10.1109/DATE.2007.364584}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PapadonikolakisPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PardessusDA07, author = {Thierry Pardessus and Heinrich Daembkes and Richard Arning}, editor = {Rudy Lauwereins and Jan Madsen}, title = {The methodological and technological dimensions of technology transfer for embedded systems in aeronautics and space}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1108--1109}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266605}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PardessusDA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkPBBKD07, author = {JongSoo Park and Sung{-}Boem Park and James D. Balfour and David Black{-}Schaffer and Christos Kozyrakis and William J. Dally}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Register pointer architecture for efficient embedded processors}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {600--605}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364659}, doi = {10.1109/DATE.2007.364659}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkPBBKD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkPH07, author = {Soyoung Park and Hae{-}woo Park and Soonhoi Ha}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A novel technique to use scratch-pad memory for stack management}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1478--1483}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266691}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkPH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PatelS07, author = {Hiren D. Patel and Sandeep K. Shukla}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Tackling an abstraction gap: co-simulating SystemC {DE} with bluespec {ESL}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {279--284}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364604}, doi = {10.1109/DATE.2007.364604}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PatelS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PeterLP07, author = {Steffen Peter and Peter Langend{\"{o}}rfer and Krzysztof Piotrowski}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Flexible hardware reduction for elliptic curve cryptography in GF(2\emph{\({}^{\mbox{m}}\)})}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1259--1264}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266642}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PeterLP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PetersenO07, author = {Kim Peters{\'{e}}n and Johnny {\"{O}}berg}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Toward a scalable test methodology for 2D-mesh Network-on-Chips}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {367--372}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364619}, doi = {10.1109/DATE.2007.364619}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PetersenO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PomeranzR07, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Rudy Lauwereins and Jan Madsen}, title = {On test generation by input cube avoidance}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {522--527}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364646}, doi = {10.1109/DATE.2007.364646}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PomeranzR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PopPEP07, author = {Traian Pop and Paul Pop and Petru Eles and Zebo Peng}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Bus access optimisation for FlexRay-based distributed embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {51--56}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364566}, doi = {10.1109/DATE.2007.364566}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PopPEP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PoppNGKP07, author = {Patrick Popp and Marco Di Natale and Paolo Giusto and Sri Kanajan and Claudio Pinello}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Towards a methodology for the quantitative evaluation of automotive architectures}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {504--509}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364643}, doi = {10.1109/DATE.2007.364643}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PoppNGKP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PozziP07, author = {Laura Pozzi and Pierre G. Paulin}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A future of customizable processors: are we there yet?}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1224--1225}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266630}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PozziP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PuautP07, author = {Isabelle Puaut and Christophe Pais}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Scratchpad memories vs locked caches in hard real-time systems: a quantitative comparison}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1484--1489}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266692}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PuautP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QiuTW07, author = {Qinru Qiu and Ying Tan and Qing Wu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Stochastic modeling and optimization for robust power management in a partially observable system}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {779--784}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364385}, doi = {10.1109/DATE.2007.364385}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QiuTW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QiuXSS07, author = {Meikang Qiu and Chun Xue and Zili Shao and Edwin Hsing{-}Mean Sha}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Energy minimization with soft real-time and {DVS} for uniprocessor and multiprocessor embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1641--1646}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266726}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QiuXSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QuSN07, author = {Yang Qu and Juha{-}Pekka Soininen and Jari Nurmi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Using dynamic voltage scaling to reduce the configuration energy of run time reconfigurable devices}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {147--152}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364582}, doi = {10.1109/DATE.2007.364582}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QuSN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaghavanLJCVC07, author = {Praveen Raghavan and Andy Lambrechts and Murali Jayapala and Francky Catthoor and Diederik Verkest and Henk Corporaal}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Very wide register: an asymmetric register file organization for low power embedded processors}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1066--1071}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364435}, doi = {10.1109/DATE.2007.364435}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaghavanLJCVC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaoOK07, author = {Wenjing Rao and Alex Orailoglu and Ramesh Karri}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Logic level fault tolerance approaches targeting nanoelectronics PLAs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {865--869}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364401}, doi = {10.1109/DATE.2007.364401}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaoOK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RenterghemDVVQ07, author = {Koen Van Renterghem and Pieter Demuytere and Dieter Verhulst and Jan Vandewege and Xing{-}Zhi Qiu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Development of an {ASIP} enabling flows in ethernet access using a retargetable compilation flow}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1418--1423}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266676}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RenterghemDVVQ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReshadiG07, author = {Mehrdad Reshadi and Daniel Gajski}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interrupt and low-level programming support for expanding the application domain of statically-scheduled horizontal-microcoded architectures in embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1337--1342}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266658}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ReshadiG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RhodLC07, author = {Eduardo Luis Rhod and Carlos Arthur Lang Lisb{\^{o}}a and Luigi Carro}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A low-SER efficient core processor architecture for future technologies}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1448--1453}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266682}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RhodLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RiffiodCPV07, author = {Michel Riffiod and Paul Caspi and Christophe Piala and Jean{-}Luc Voirin}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Development and industrialisation}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1403--1405}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266672}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RiffiodCPV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RosselloBBS07, author = {Jos{\'{e}} Luis Rossell{\'{o}} and Carol de Benito and Sebasti{\`{a}} A. Bota and Jaume Segura}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Dynamic critical resistance: a timing-based critical resistance model for statistical delay testing of nanometer ICs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1271--1276}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266645}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RosselloBBS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RousseauMGMLDG07, author = {Bertrand Rousseau and Philippe Manet and D. Galerin and D. Merkenbreack and Jean{-}Didier Legat and F. Dedeken and Yves Gabriel}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Enabling certification for dynamic partial reconfiguration using a minimal flow}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {983--988}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364421}, doi = {10.1109/DATE.2007.364421}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RousseauMGMLDG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SafarSES07, author = {Mona Safar and Mohamed Shalan and M. Watheq El{-}Kharashi and Ashraf Salem}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: {A} shift register based clause evaluator for reconfigurable {SAT} solver}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {153--158}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364583}, doi = {10.1109/DATE.2007.364583}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SafarSES07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SafarpourV07, author = {Sean Safarpour and Andreas G. Veneris}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Abstraction and refinement techniques in automated design debugging}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1182--1187}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266621}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SafarpourV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SahinH07, author = {Esra Sahin and Ilker Hamzaoglu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: An efficient hardware architecture for {H.264} intra prediction algorithm}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {183--188}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364588}, doi = {10.1109/DATE.2007.364588}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SahinH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SanchezSSR07, author = {Ernesto S{\'{a}}nchez and Massimiliano Schillaci and Giovanni Squillero and Matteo Sonza Reorda}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: An enhanced technique for the automatic generation of effective diagnosis-oriented test programs for processor}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1158--1163}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266616}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SanchezSSR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SaponaraPTCF07, author = {Sergio Saponara and Esa Petri and Marco Tonarelli and Iacopo Del Corona and Luca Fanucci}, editor = {Rudy Lauwereins and Jan Madsen}, title = {FPGA-based networking systems for high data-rate and reliable in-vehicle communications}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {480--485}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364639}, doi = {10.1109/DATE.2007.364639}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SaponaraPTCF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SathanurCBMMP07, author = {Ashoka Visweswara Sathanur and Andrea Calimera and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1544--1549}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266704}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SathanurCBMMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SatishRK07, author = {Nadathur Satish and Kaushik Ravindran and Kurt Keutzer}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A decomposition-based constraint optimization approach for statically scheduling task graphs with communication delays to multiprocessors}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {57--62}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364567}, doi = {10.1109/DATE.2007.364567}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SatishRK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SauerGD07, author = {Christian Sauer and Matthias Gries and Sebastian Dirk}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Hard- and software modularity of the {NOVA} MPSoC platform}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1102--1107}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266603}, timestamp = {Thu, 19 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SauerGD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SavojAAGH07, author = {Jafar Savoj and Ali{-}Azam Abbasfar and Amir Amirkhany and Bruno W. Garlepp and Mark A. Horowitz}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A new technique for characterization of digital-to-analog converters in high-speed systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {433--438}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364630}, doi = {10.1109/DATE.2007.364630}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SavojAAGH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchamannHLB07, author = {Marcus Sch{\"{a}}mann and Sebastian Hessel and Ulrich Langmann and Martin B{\"{u}}cker}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Low power design on algorithmic and architectural level: a case study of an {HSDPA} baseband digital signal processing system}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1406--1411}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266674}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchamannHLB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchlieckerSE07, author = {Simon Schliecker and Steffen Stein and Rolf Ernst}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Performance analysis of complex systems by integration of dataflow graphs and compositional performance analysis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {273--278}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364603}, doi = {10.1109/DATE.2007.364603}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchlieckerSE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchneiderSKW07, author = {Wolfgang Schneider and Michael Schr{\"{o}}ter and W. Kraus and Holger Wittkopf}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Statistical simulation of high-frequency bipolar circuits}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1397--1402}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266670}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchneiderSKW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Scholzel07, author = {Mario Sch{\"{o}}lzel}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Time-constrained clustering for {DSE} of clustered {VLIW-ASP}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {467--472}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266465}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Scholzel07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SeongM07, author = {Seok{-}Won Seong and Prabhat Mishra}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An efficient code compression technique using application-aware bitmask and dictionary selection methods}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {582--587}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364656}, doi = {10.1109/DATE.2007.364656}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SeongM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SeshiaLM07, author = {Sanjit A. Seshia and Wenchao Li and Subhasish Mitra}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Verification-guided soft error resilience}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1442--1447}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266681}, timestamp = {Mon, 05 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SeshiaLM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SheibanyradPG07, author = {Abbas Sheibanyrad and Ivan Miro Panades and Alain Greiner}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1090--1095}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266601}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SheibanyradPG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SheldonVL07, author = {David Sheldon and Frank Vahid and Stefano Lonardi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Soft-core processor customization using the design of experiments paradigm}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {821--826}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364392}, doi = {10.1109/DATE.2007.364392}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SheldonVL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SilvaSP07, author = {Lu{\'{\i}}s Guerra e Silva and Lu{\'{\i}}s Miguel Silveira and Joel R. Phillips}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Efficient computation of the worst-delay corner}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1617--1622}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266721}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SilvaSP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SinanogluP07, author = {Ozgur Sinanoglu and Tsvetomir Petrov}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A non-intrusive isolation approach for soft cores}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {27--32}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364562}, doi = {10.1109/DATE.2007.364562}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SinanogluP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SinanogluS07, author = {Ozgur Sinanoglu and Philip Schremmer}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Diagnosis, modeling and tolerance of scan chain hold-time violations}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {516--521}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364645}, doi = {10.1109/DATE.2007.364645}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SinanogluS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SingheeR07, author = {Amith Singhee and Rob A. Rutenbar}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Statistical blockade: a novel method for very fast Monte Carlo simulation of rare circuit events, and its application}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1379--1384}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266667}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SingheeR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SirowyWLV07, author = {Scott Sirowy and Yonghui Wu and Stefano Lonardi and Frank Vahid}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Two-level microprocessor-accelerator partitioning}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {313--318}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364610}, doi = {10.1109/DATE.2007.364610}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SirowyWLV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SirowyWLV07a, author = {Scott Sirowy and Yonghui Wu and Stefano Lonardi and Frank Vahid}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Clock-frequency assignment for multiple clock domain systems-on-a-chip}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {397--402}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364624}, doi = {10.1109/DATE.2007.364624}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SirowyWLV07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Soderquist07, author = {Ingemar S{\"{o}}derquist}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Event driven data processing architecture}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {972--976}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364419}, doi = {10.1109/DATE.2007.364419}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Soderquist07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SpangSW07, author = {Oliver Spang and Hans Martin von Staudt and Michael G. Wahl}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A sophisticated memory test engine for {LCD} display drivers}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {213--218}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364593}, doi = {10.1109/DATE.2007.364593}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SpangSW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SpindlerJ07, author = {Peter Spindler and Frank M. Johannes}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Fast and accurate routing demand estimation for efficient routability-driven placement}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1226--1231}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266632}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SpindlerJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Srivastava07, author = {Nishant R. Srivastava}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Radix 4 {SRT} division with quotient prediction and operand scaling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {195--200}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364590}, doi = {10.1109/DATE.2007.364590}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Srivastava07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SrivastavaR07, author = {Shweta Srivastava and Jaijeet S. Roychowdhury}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Rapid and accurate latch characterization via direct Newton solution of setup/hold times}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1006--1011}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364425}, doi = {10.1109/DATE.2007.364425}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SrivastavaR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Stanley-MarbellM07, author = {Phillip Stanley{-}Marbell and Diana Marculescu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An 0.9 {\texttimes} 1.2", low power, energy-harvesting system with custom multi-channel communication interface}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {15--20}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364560}, doi = {10.1109/DATE.2007.364560}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Stanley-MarbellM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SugiharaIM07, author = {Makoto Sugihara and Tohru Ishihara and Kazuaki J. Murakami}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Task scheduling for reliable cache architectures of multiprocessor systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1490--1495}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266693}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SugiharaIM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SuhonenKKHH07, author = {Jukka Suhonen and Mikko Kohvakka and Mauri Kuorilehto and Marko H{\"{a}}nnik{\"{a}}inen and Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Cost-aware capacity optimization in dynamic multi-hop WSNs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {666--671}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364670}, doi = {10.1109/DATE.2007.364670}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SuhonenKKHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SunWD07, author = {Pengbo Sun and Ying Wei and Alex Doboli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Flexibility-oriented design methodology for reconfigurable DeltaSigma modulators}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {415--420}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364627}, doi = {10.1109/DATE.2007.364627}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SunWD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TadesseSLBG07, author = {Desta Tadesse and D. Sheffield and E. Lenge and R. Iris Bahar and Joel Grodstein}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Accurate timing analysis using {SAT} and pattern-dependent delay models}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1018--1023}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364427}, doi = {10.1109/DATE.2007.364427}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TadesseSLBG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TangX07, author = {Shan Tang and Qiang Xu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A multi-core debug platform for NoC-based systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {870--875}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364402}, doi = {10.1109/DATE.2007.364402}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TangX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TannirK07, author = {Dani Tannir and Roni Khazaka}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Efficient nonlinear distortion analysis of {RF} circuits}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {255--260}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364600}, doi = {10.1109/DATE.2007.364600}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TannirK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TiwaryP07, author = {Saurabh K. Tiwary and Joel R. Phillips}, editor = {Rudy Lauwereins and Jan Madsen}, title = {{WAVSTAN:} waveform based variational static timing analysis}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1000--1005}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364424}, doi = {10.1109/DATE.2007.364424}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TiwaryP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TongbongMC07, author = {Jeanne Tongbong and Salvador Mir and Jean{-}Louis Carbon{\'{e}}ro}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Evaluation of test measures for {LNA} production testing using a multinormal statistical model}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {731--736}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364682}, doi = {10.1109/DATE.2007.364682}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TongbongMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TychopoulosK07, author = {Afxendios Tychopoulos and Odysseas G. Koufopavlou}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Optimization of the "FOCUS" Inband-FEC architecture for 10-Gbps {SDH/SONET} optical communication channels}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1575--1580}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266712}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TychopoulosK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VerbauwhedeS07, author = {Ingrid Verbauwhede and Patrick Schaumont}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Design methods for security and trust}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {672--677}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364671}, doi = {10.1109/DATE.2007.364671}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VerbauwhedeS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VermaHR07, author = {Shireesh Verma and Ian G. Harris and Kiran Ramineni}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Automatic generation of functional coverage models from behavioral verilog descriptions}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {900--905}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364407}, doi = {10.1109/DATE.2007.364407}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VermaHR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VermaI07, author = {Ajay Kumar Verma and Paolo Ienne}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Automatic synthesis of compressor trees: reevaluating large counters}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {443--448}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364632}, doi = {10.1109/DATE.2007.364632}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VermaI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VillanuevaVMBRL07, author = {Felix Jes{\'{u}}s Villanueva and David Villa and Francisco Moya and Jes{\'{u}}s Barba and Fernando Rinc{\'{o}}n and Juan Carlos L{\'{o}}pez}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Lightweight middleware for seamless {HW-SW} interoperability, with application to wireless sensor networks}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1042--1047}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364431}, doi = {10.1109/DATE.2007.364431}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VillanuevaVMBRL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WagnerB07, author = {Ilya Wagner and Valeria Bertacco}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Engineering trust with semantic guardians}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {743--748}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364684}, doi = {10.1109/DATE.2007.364684}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WagnerB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangC07, author = {Weihuang Wang and Gwan Choi}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Minimum-energy {LDPC} decoder for real-time mobile application}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {343--348}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364615}, doi = {10.1109/DATE.2007.364615}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangCW07, author = {Zhanglei Wang and Krishnendu Chakrabarty and Seongmoon Wang}, editor = {Rudy Lauwereins and Jan Madsen}, title = {SoC testing using {LFSR} reseeding, and scan-slice-based {TAM} optimization and test scheduling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {201--206}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364591}, doi = {10.1109/DATE.2007.364591}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangCW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangLHLYX07, author = {Yu Wang and Hong Luo and Ku He and Rong Luo and Huazhong Yang and Yuan Xie}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Temperature-aware {NBTI} modeling and the impact of input vector control on performance degradation}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {546--551}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364650}, doi = {10.1109/DATE.2007.364650}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangLHLYX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangWC07, author = {Seongmoon Wang and Wenlong Wei and Srimat T. Chakradhar}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Unknown blocking scheme for low control data volume and high observability}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {33--38}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364563}, doi = {10.1109/DATE.2007.364563}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangY07, author = {Sying{-}Jyan Wang and Tung{-}Hua Yeh}, editor = {Rudy Lauwereins and Jan Madsen}, title = {High-level test synthesis for delay fault testability}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {45--50}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364565}, doi = {10.1109/DATE.2007.364565}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WatanabeKINN07, author = {Ryo Watanabe and Masaaki Kondo and Masashi Imai and Hiroshi Nakamura and Takashi Nanya}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Task scheduling under performance constraints for reducing the energy consumption of the {GALS} multi-processor SoC}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {797--802}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364388}, doi = {10.1109/DATE.2007.364388}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WatanabeKINN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WielageMAW07, author = {Paul Wielage and Erik Jan Marinissen and Michel Altheimer and Clemens Wouters}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Design and DfT of a high-speed area-efficient embedded asynchronous {FIFO}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {853--858}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364399}, doi = {10.1109/DATE.2007.364399}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WielageMAW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Wingen07, author = {Neal Wingen}, editor = {Rudy Lauwereins and Jan Madsen}, title = {What if you could design tomorrow's system today?}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {835--840}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364396}, doi = {10.1109/DATE.2007.364396}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Wingen07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WittmannVWNKFM07, author = {Reimund Wittmann and Massimo Vanzi and Hans{-}Joachim Wassener and Navraj Nandra and Joachim Kunkel and Jos{\'{e}} E. da Franca and Christian M{\"{u}}nker}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Life begins at 65: unless you are mixed signal?}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {936--941}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364413}, doi = {10.1109/DATE.2007.364413}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WittmannVWNKFM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Wong07, author = {Ngai Wong}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Fast positive-real balanced truncation of symmetric systems using cross Riccati equations}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1496--1501}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266695}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Wong07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuLLH07, author = {Chi{-}An Wu and Ting{-}Hao Lin and Chih{-}Chun Lee and Chung{-}Yang Huang}, editor = {Rudy Lauwereins and Jan Madsen}, title = {QuteSAT: a robust circuit-based {SAT} solver for complex circuit structure}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1313--1318}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266653}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WuLLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/X07, editor = {Rudy Lauwereins and Jan Madsen}, title = {Applications for ubiquitous computing and communications}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {473}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266467}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/X07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/X07a, editor = {Rudy Lauwereins and Jan Madsen}, title = {Power supply and power management in Ubicom}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {827}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266543}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/X07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuC07, author = {Tao Xu and Krishnendu Chakrabarty}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A cross-referencing-based droplet manipulation method for high-throughput and pin-constrained digital microfluidic arrays}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {552--557}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364651}, doi = {10.1109/DATE.2007.364651}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuRC07, author = {Jingye Xu and Abinash Roy and Masud H. Chowdhury}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Analysis of power consumption and {BER} of flip-flop based interconnect pipelining}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1218--1223}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266628}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuRC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangG07, author = {Suwen Yang and Mark R. Greenstreet}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Computing synchronizer failure probabilities}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1361--1366}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266663}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YeGM07, author = {Zhuan Ye and John Grosspietsch and Gokhan Memik}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: An {FPGA} based all-digital transmitter with radio frequency output for software defined radio}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {21--26}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364561}, doi = {10.1109/DATE.2007.364561}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YeGM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YetikSTD07, author = {{\"{O}}mer Yetik and Muharrem Orkun Saglamdemir and Sel{\c{c}}uk Talay and G{\"{u}}nhan D{\"{u}}ndar}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: {A} coefficient optimization and architecture selection tool for SigmaDelta modulators in {MATLAB}}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {87--92}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364572}, doi = {10.1109/DATE.2007.364572}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YetikSTD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YeungTB07, author = {P. Yeung and A. Torres and P. Batra}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Novel test infrastructure and methodology used for accelerated bring-up and in-system characterization of the multi-gigahertz interfaces on the cell processor}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {725--730}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364681}, doi = {10.1109/DATE.2007.364681}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YeungTB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YonedaIF07, author = {Tomokazu Yoneda and Masahiro Imanishi and Hideo Fujiwara}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: An SoC test scheduling algorithm using reconfigurable union wrappers}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {231--236}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364596}, doi = {10.1109/DATE.2007.364596}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YonedaIF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZamoraKM07, author = {Nicholas H. Zamora and Jung{-}Chun Kao and Radu Marculescu}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Distributed power-management techniques for wireless network video systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {564--569}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364653}, doi = {10.1109/DATE.2007.364653}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZamoraKM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangOSFKB07, author = {Min Zhang and Markus Olbrich and David Seider and Martin Frerichs and Harald Kinzelbach and Erich Barke}, editor = {Rudy Lauwereins and Jan Madsen}, title = {CMCal: an accurate analytical approach for the analysis of process variations with non-gaussian parameters and nonlinear functions}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {243--248}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364598}, doi = {10.1109/DATE.2007.364598}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangOSFKB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhengNPGV07, author = {Wei Zheng and Marco Di Natale and Claudio Pinello and Paolo Giusto and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Synthesis of task and message activation models in real-time distributed automotive systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {93--98}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364573}, doi = {10.1109/DATE.2007.364573}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhengNPGV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouB07, author = {Quming Zhou and Kedarnath J. Balakrishnan}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Test cost reduction for SoC using a combined approach to test data compression and test scheduling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {39--44}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364564}, doi = {10.1109/DATE.2007.364564}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhouB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuLB07, author = {Hongwei Zhu and Ilie I. Luican and Florin Balasa}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Mapping multi-dimensional signals into hierarchical memory organizations}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {385--390}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364622}, doi = {10.1109/DATE.2007.364622}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhuLB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuP07, author = {Zhenhai Zhu and Joel R. Phillips}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Random sampling of moment graph: a stochastic Krylov-reduction algorithm}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1502--1507}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266696}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhuP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuSD07, author = {Qiang Zhu and Aviral Shrivastava and Nikil D. Dutt}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Functional and timing validation of partially bypassed processor pipelines}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1164--1169}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266617}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhuSD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuZCXZ07, author = {Hengliang Zhu and Xuan Zeng and Wei Cai and Jintao Xue and Dian Zhou}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A sparse grid based spectral stochastic collocation method for variations-aware capacitance extraction of interconnects under nanometer process technology}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1514--1519}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266698}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhuZCXZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZilicRK07, author = {Zeljko Zilic and Katarzyna Radecka and Ali Kazamiphur}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Reversible circuit technology mapping from non-reversible specifications}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {558--563}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364652}, doi = {10.1109/DATE.2007.364652}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZilicRK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZjajoAG07, author = {Amir Zjajo and Manuel J. Barragan Asian and Jos{\'{e}} Pineda de Gyvez}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: {BIST} method for die-level process parameter variation monitoring in analog/mixed-signal integrated circuits}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1301--1306}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266650}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZjajoAG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2007, editor = {Rudy Lauwereins and Jan Madsen}, title = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4211748/proceeding}, isbn = {978-3-9810801-2-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.