default search action
Search dblp for Publications
export results for "toc:db/conf/irps/irps2018.bht:"
@inproceedings{DBLP:conf/irps/AguirrePPFWE18, author = {Fernando L. Aguirre and Sebasti{\'{a}}n Mat{\'{\i}}as Pazos and Felix Palumbo and Sivan Fadida and Roy Winter and Moshe Eizenberg}, title = {Impact of forming gas annealing on the degradation dynamics of Ge-based {MOS} stacks}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353663}, doi = {10.1109/IRPS.2018.8353663}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/AguirrePPFWE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/AhnYC18, author = {Jae{-}Gyung Ahn and Ping{-}Chin Yeh and Jonathan Chang}, title = {Electromigration failure rate of redundant via}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353671}, doi = {10.1109/IRPS.2018.8353671}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/AhnYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/AkturkMGLHGW18, author = {Akin Akturk and James McGarrity and Neil Goldsman and Daniel J. Lichtenwalner and Brett Hull and Dave Grider and Richard Wilkins}, title = {The effects of radiation on the terrestrial operation of SiC MOSFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353543}, doi = {10.1109/IRPS.2018.8353543}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/AkturkMGLHGW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/AlghamdiSYY18, author = {Sami Alghamdi and Mengwei Si and Lingming Yang and Peide D. Ye}, title = {Low frequency noise in {MOS2} negative capacitance field-effect transistor}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353696}, doi = {10.1109/IRPS.2018.8353696}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/AlghamdiSYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ArabiCFNHR18, author = {M. Arabi and A. Cros and X. Federspiel and Cheikh Ndiaye and Vincent Huard and M. Rafik}, title = {Modeling self-heating effects in advanced {CMOS} nodes}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353673}, doi = {10.1109/IRPS.2018.8353673}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ArabiCFNHR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ArnaudMJJLFEEBB18, author = {Lucile Arnaud and St{\'{e}}phane Moreau and Amadine Jouve and Imed Jani and Didier Lattard and F. Fournel and C. Euvrard and Y. Exbrayat and Viorel Balan and Nicolas Bresson and S. Lhostis and J. Jourdon and E. Deloffre and S. Guillaumet and Alexis Farcy and Simon Gousseau and M. Arnoux}, title = {Fine pitch 3D interconnections with hybrid bonding technology: From process robustness to reliability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353591}, doi = {10.1109/IRPS.2018.8353591}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ArnaudMJJLFEEBB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/BeyneDPBAWTC18, author = {Sofie Beyne and Shibesh Dutta and Olalla Varela Pedreira and Niels Bosman and Christoph Adelmann and Ingrid De Wolf and Zsolt T{\"{o}}kei and Kristof Croes}, title = {The first observation of p-type electromigration failure in full ruthenium interconnects}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353638}, doi = {10.1109/IRPS.2018.8353638}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/BeyneDPBAWTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/BottiniGVVMM18, author = {Roberta Bottini and Andrea Ghetti and Sara Vigano and Maria Grazia Valentini and Pratap Murali and Chandra Mouli}, title = {Non-poissonian behavior of hot carrier degradation induced variability in MOSFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353645}, doi = {10.1109/IRPS.2018.8353645}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/BottiniGVVMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/BuryCKCFSWL18, author = {Erik Bury and Adrian Vaisman Chasin and Ben Kaczer and Kai{-}Hsin Chuang and Jacopo Franco and Marko Simicic and Pieter Weckx and Dimitri Linten}, title = {Self-heating-aware {CMOS} reliability characterization using degradation maps}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353541}, doi = {10.1109/IRPS.2018.8353541}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/BuryCKCFSWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/CartierFARN18, author = {Eduard Cartier and Martin M. Frank and Takashi Ando and John Rozen and Vijay Narayanan}, title = {{PBTI} in InGaAs {MOS} capacitors with Al2O3/HfO2/TiN gate stacks: Interface-state generation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353604}, doi = {10.1109/IRPS.2018.8353604}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/CartierFARN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/CastellazziFZOP18, author = {Alberto Castellazzi and Asad Fayyaz and Siwei Zhu and Thorsten Oeder and Martin Pfost}, title = {Single pulse short-circuit robustness and repetitive stress aging of GaN GITs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353593}, doi = {10.1109/IRPS.2018.8353593}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/CastellazziFZOP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChenCMHLTLH18, author = {I. K. Chen and S. C. Chen and S. Mukhopadhyay and D. S. Huang and J. H. Lee and Y. S. Tsai and Ryan Lu and Jun He}, title = {The physical mechanism investigation of off-state drain bias {TDDB} and its implication in advance {HK/MG} FinFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353575}, doi = {10.1109/IRPS.2018.8353575}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ChenCMHLTLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChenLOL18, author = {Pin{-}Shiang Chen and Shou{-}Chung Lee and A. S. Oates and Chee Wee Liu}, title = {{BEOL} {TDDB} reliability modeling and lifetime prediction using critical energy to breakdown}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353626}, doi = {10.1109/IRPS.2018.8353626}, timestamp = {Mon, 08 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChenLOL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChenY18, author = {Pai{-}Yu Chen and Shimeng Yu}, title = {Reliability perspective of resistive synaptic devices on the neuromorphic system performance}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353615}, doi = {10.1109/IRPS.2018.8353615}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ChenY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/Cheung18, author = {Kin P. Cheung}, title = {SiC power {MOSFET} gate oxide breakdown reliability - Current status}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353545}, doi = {10.1109/IRPS.2018.8353545}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/Cheung18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChihaniTDWCBD18, author = {Omar Chihani and Loic Th{\'{e}}olier and Jean{-}Yves Del{\'{e}}tage and Eric Woirgard and Alain Bensoussan and Andr{\'{e}} Durier}, title = {Temperature and voltage effects on {HTRB} and {HTGB} stresses for AlGaN/GaN HEMTs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353685}, doi = {10.1109/IRPS.2018.8353685}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChihaniTDWCBD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChoiCCZFSYOZFJ18, author = {Seungman Choi and Cathryn Christiansen and Linjun Cao and James Zhang and Ronald Filippi and Tian Shen and Kong Boon Yeap and Sean P. Ogden and Haojun Zhang and Bianzhu Fu and Patrick Justison}, title = {Effect of metal line width on electromigration of {BEOL} Cu interconnects}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353600}, doi = {10.1109/IRPS.2018.8353600}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChoiCCZFSYOZFJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChuKHTWCKW18, author = {Yu{-}Lin Chu and Hsi{-}Yu Kuo and Sheng{-}Fu Hsu and Yung{-}Sheng Tsai and Ming{-}Yi Wang and Chuan{-}Li Chang and Bill Kiang and Kenneth Wu}, title = {A new mechanism of signal path charging damage across separated power domain deep N-Well interface}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353631}, doi = {10.1109/IRPS.2018.8353631}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ChuKHTWCKW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChuangBDKKGLV18, author = {Kai{-}Hsin Chuang and Erik Bury and Robin Degraeve and Ben Kaczer and T. Kallstenius and Guido Groeseneken and Dimitri Linten and Ingrid Verbauwhede}, title = {A multi-bit/cell {PUF} using analog breakdown positions in {CMOS}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353655}, doi = {10.1109/IRPS.2018.8353655}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChuangBDKKGLV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChungKH18, author = {C. Chung and D. Kobayashi and K. Hirose}, title = {Threshold ion parameters of line-type soft-errors in biased thin-BOX {SOI} SRAMs: Difference between sensitivities to terrestrial and space radiation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353585}, doi = {10.1109/IRPS.2018.8353585}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ChungKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ClausnerSKOPGWL18, author = {Andr{\'{e}} Clausner and Simon Schlipf and Gottfried Kurz and Michael Otto and Jens Paul and Kay{-}Uwe Giering and Jens Warmuth and Andr{\'{e}} Lange and Roland Jancke and Andreas Aal and R{\"{u}}diger Rosenkranz and Martin Gall and Ehrenfried Zschech}, title = {Analysis of 28 nm {SRAM} cell stability under mechanical load applied by nanoindentation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353607}, doi = {10.1109/IRPS.2018.8353607}, timestamp = {Thu, 06 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ClausnerSKOPGWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/CroesCLZKGWTB18, author = {Kristof Croes and Vladimir Cherman and Melina Lofrano and Houman Zahedmanesh and Luka Kljucar and Mario Gonzalez and Ingrid De Wolf and Zsolt T{\"{o}}kei and Eric Beyne}, title = {Stress mitigation of 3D-stacking/packaging induced stresses}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353590}, doi = {10.1109/IRPS.2018.8353590}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/CroesCLZKGWTB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/Domanski18, author = {Krzysztof Domanski}, title = {Latch-up in FinFET technologies}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353550}, doi = {10.1109/IRPS.2018.8353550}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/Domanski18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/EndrinalKRS18, author = {Lesly Endrinal and Rakesh Kinger and Lavakumar Ranganathan and Amit Sheth}, title = {Solving critical issues in 10nm technology using innovative laser-based fault isolation and {DFT} diagnosis techniques}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353622}, doi = {10.1109/IRPS.2018.8353622}, timestamp = {Tue, 24 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/EndrinalKRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/FanTLCCCLLCH18, author = {Chia{-}Chi Fan and Chun{-}Yuan Tu and Ming{-}Huei Lin and Chun{-}Yen Chang and Chun{-}Hu Cheng and Yen{-}Liang Chen and Guan{-}Lin Liou and Chien Liu and Wu{-}Ching Chou and Hsiao{-}Hsuan Hsu}, title = {Interface engineering of ferroelectric negative capacitance {FET} for hysteresis-free switch and reliability improvement}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {8--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353703}, doi = {10.1109/IRPS.2018.8353703}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/FanTLCCCLLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/FangO18, author = {Yi{-}Pin Fang and Anthony S. Oates}, title = {Soft errors in 7nm FinFET SRAMs with integrated fan-out packaging}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353584}, doi = {10.1109/IRPS.2018.8353584}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/FangO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/FennerPC18, author = {Andy Fenner and Mark Porter and Randy Crutchfield}, title = {Making the connection between physics of failure and system-level reliability for medical devices}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353619}, doi = {10.1109/IRPS.2018.8353619}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/FennerPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/FlorentSLDCKPPG18, author = {Karine Florent and A. Subirats and Simone Lavizzari and Robin Degraeve and Umberto Celano and Ben Kaczer and Luca Di Piazza and Mihaela Ioana Popovici and Guido Groeseneken and Jan Van Houdt}, title = {Investigation of the endurance of FE-HfO2 devices by means of {TDDB} studies}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353634}, doi = {10.1109/IRPS.2018.8353634}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/FlorentSLDCKPPG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/FrancoKCBL18, author = {Jacopo Franco and Ben Kaczer and Adrian Vaisman Chasin and Erik Bury and Dimitri Linten}, title = {Hot electron and hot hole induced degradation of SiGe p-FinFETs studied by degradation maps in the entire bias space}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353601}, doi = {10.1109/IRPS.2018.8353601}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/FrancoKCBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/FukuyamaMMTY18, author = {Shouhei Fukuyama and Kazuki Maeda and Shinpei Matsuda and Ken Takeuchi and Ryutaro Yasuhara}, title = {Suppression of endurance-stressed data-retention failures of 40nm TaOx-based ReRAM}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353677}, doi = {10.1109/IRPS.2018.8353677}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/FukuyamaMMTY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/GambinoSRRSHTHJ18, author = {Jeff Peter Gambino and H. Soleimani and I. Rahim and B. Riebeek and L. Sheng and G. Hosey and H. Truong and Gavin D. R. Hall and R. Jerome and D. Price}, title = {Device reliability for {CMOS} image sensors with backside through-silicon vias}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353611}, doi = {10.1109/IRPS.2018.8353611}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/GambinoSRRSHTHJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/GaoSESPL18, author = {David Z. Gao and Jack Strand and Al{-}Moatasem El{-}Sayed and Alexander L. Shluger and Andrea Padovani and Luca Larcher}, title = {Role of electron and hole trapping in the degradation and breakdown of SiO2 and HfO2 films}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353602}, doi = {10.1109/IRPS.2018.8353602}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/GaoSESPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/GarrosSRGDFHR18, author = {Xavier Garros and Alexandre Subirats and Gilles Reimbold and Fred Gaillard and Cheikh Diouf and X. Federspiel and Vincent Huard and M. Rafik}, title = {A new method for quickly evaluating reversible and permanent components of the {BTI} degradation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353688}, doi = {10.1109/IRPS.2018.8353688}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/GarrosSRGDFHR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/GraffSPA18, author = {Andreas Graff and Mich{\'{e}}l Simon{-}Najasek and David Poppitz and Frank Altmann}, title = {Physical failure analysis methods for wide band gap semiconductor devices}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353557}, doi = {10.1109/IRPS.2018.8353557}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/GraffSPA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/GrasserSWRRSPPR18, author = {Tibor Grasser and Bernhard Stampfer and Michael Waltl and Gerhard Rzepa and Karl Rupp and Franz Schanovsky and Gregor Pobegen and Katja Puschkarsky and Hans Reisinger and Barry J. O'Sullivan and Ben Kaczer}, title = {Characterization and physical modeling of the temporal evolution of near-interfacial states resulting from {NBTI/PBTI} stress in nMOS/pMOS transistors}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353540}, doi = {10.1109/IRPS.2018.8353540}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/GrasserSWRRSPPR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/GriggioPPTSTKLH18, author = {F. Griggio and James Palmer and F. Pan and N. Toledo and Anthony Schmitz and Ilan Tsameret and R. Kasim and Gerald S. Leatherman and Jeffery Hicks and A. Madhavan and J. Shin and J. Steigerwald and A. Yeoh and C. Auth}, title = {Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353641}, doi = {10.1109/IRPS.2018.8353641}, timestamp = {Sat, 25 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/GriggioPPTSTKLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/GuoLWZZWH18, author = {Shaofeng Guo and Zhenghan Lin and Runsheng Wang and Zexuan Zhang and Zhe Zhang and Yangyuan Wang and Ru Huang}, title = {Investigation on the amplitude coupling effect of random telegraph noise {(RTN)} in nanoscale FinFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353701}, doi = {10.1109/IRPS.2018.8353701}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/GuoLWZZWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HaoGRYA18, author = {Jifa Hao and Amartya Ghosh and Mark Rinehimer and Joe Yedinak and Muhammad Ashraful Alam}, title = {{BVDSS} (drain to source breakdown voltage) instability in shielded gate trench power MOSFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353643}, doi = {10.1109/IRPS.2018.8353643}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HaoGRYA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/Hau-RiegeXYKLZF18, author = {Christine S. Hau{-}Riege and Huilin Xu and You{-}Wen Yau and Manasi S. Kakade and Jianfeng Li and Xiaonan Zhang and Hosain Farr}, title = {Electromigration of multi-solder ball test structures}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353608}, doi = {10.1109/IRPS.2018.8353608}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/Hau-RiegeXYKLZF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HuKHMSOCPPASQGB18, author = {Chao{-}Kun Hu and James J. Kelly and Huai Huang and Koichi Motoyama and Hosadurga Shobha and Yuri Ostrovski and James H.{-}C. Chen and Raghuveer Patlolla and Brown Peethala and Praneet Adusumilli and Terry A. Spooner and Roger Quon and Lynne M. Gignac and Chris M. Breslin and G. Lian and M. Ali and Jacob Benedict and X. S. Lin and S. Smith and Vimal Kamineni and X. Zhang and Frank Wilhelm Mont and Shariq Siddiqui and Frieder H. Baumann}, title = {Future on-chip interconnect metallization and electromigration}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353597}, doi = {10.1109/IRPS.2018.8353597}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HuKHMSOCPPASQGB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HuangLTWHLLH18, author = {D. S. Huang and J. H. Lee and Y. S. Tsai and Y. F. Wang and Y. S. Huang and C. K. Lin and Ryan Lu and Jun He}, title = {Comprehensive device and product level reliability studies on advanced {CMOS} technologies featuring 7nm high-k metal gate FinFET transistors}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353651}, doi = {10.1109/IRPS.2018.8353651}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/HuangLTWHLLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HuardMBLRCB18, author = {Vincent Huard and Souhir Mhira and A. Barclais and X. Lecocq and F. Raugi and M. Cantournet and Alain Bravaix}, title = {Managing electrical reliability in consumer systems for improved energy efficiency}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353561}, doi = {10.1109/IRPS.2018.8353561}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HuardMBLRCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HuardNAPFMMB18, author = {Vincent Huard and Cheikh Ndiaye and M. Arabi and Narendra Parihar and X. Federspiel and Souhir Mhira and S. Mahapatra and Alain Bravaix}, title = {Key parameters driving transistor degradation in advanced strained SiGe channels}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353699}, doi = {10.1109/IRPS.2018.8353699}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HuardNAPFMMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/IgarashiUTTSN18, author = {Mitsuhiko Igarashi and Yuuki Uchida and Yoshio Takazawa and Yasumasa Tsukamoto and Koji Shibutani and Koji Nii}, title = {Study of impact of BTI's local layout effect including recovery effect on various standard-cells in 10nm FinFET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353654}, doi = {10.1109/IRPS.2018.8353654}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/IgarashiUTTSN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/IkoshiTYAHSYUTU18, author = {Ayanori Ikoshi and Masahiro Toki and Hiroto Yamagiwa and Daijiro Arisawa and Masahiro Hikita and Kazuki Suzuki and Manabu Yanagihara and Yasuhiro Uemoto and Kenichiro Tanaka and Tetsuzo Ueda}, title = {Lifetime evaluation for Hybrid-Drain-embedded Gate Injection Transistor {(HD-GIT)} under practical switching operations}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353594}, doi = {10.1109/IRPS.2018.8353594}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/IkoshiTYAHSYUTU18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/IllarionovMWKFM18, author = {Yury Yu. Illarionov and Aday J. Molina{-}Mendoza and Michael Waltl and Theresia Knobloch and Marco M. Furchi and Thomas Mueller and Tibor Grasser}, title = {Reliability of next-generation field-effect transistors with transition metal dichalcogenides}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353605}, doi = {10.1109/IRPS.2018.8353605}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/IllarionovMWKFM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/IoannouTLBAWBJ18, author = {Dimitris P. Ioannou and Y. Tan and R. Logan and K. Bandy and R. Achanta and P. C. Wang and Dave Brochu and M. Jaffe}, title = {Hot carrier effects on the {RF} performance degradation of nanoscale {LNA} {SOI} nFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353697}, doi = {10.1109/IRPS.2018.8353697}, timestamp = {Fri, 16 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/IoannouTLBAWBJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/IucolanoPRC18, author = {Ferdinando Iucolano and Antonino Maurizio Parisi and Santo Reina and Alessandro Chini}, title = {A novel GaN {HEMT} degradation mechanism observed during {HTST} test}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353707}, doi = {10.1109/IRPS.2018.8353707}, timestamp = {Mon, 08 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/IucolanoPRC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/IyerB18, author = {Subramanian S. Iyer and Adeel Ahmad Bajwa}, title = {Reliability challenges in advance packaging}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353592}, doi = {10.1109/IRPS.2018.8353592}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/IyerB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JagannathanAMY18, author = {Srikanth Jagannathan and Kumar Abhishek and Nihaar N. Mahatme and Ender Yilmaz}, title = {Design of aging aware 5 Gbps {LVDS} transmitter for automotive applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353614}, doi = {10.1109/IRPS.2018.8353614}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JagannathanAMY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JeongKKKLCBKJSP18, author = {Seongwon Jeong and Jinseok Kim and Ayoung Kim and Byungwook Kim and Moonsoo Lee and Jaewon Chang and In Hak Baick and Hanbyul Kang and Younggeun Ji and Sangchul Shin and Sangwoo Pae}, title = {Optimal design of dummy ball array in wafer level package to improve board level thermal cycle reliability {(BLR)}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353653}, doi = {10.1109/IRPS.2018.8353653}, timestamp = {Mon, 28 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JeongKKKLCBKJSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiKKLNJSKHCSPCD18, author = {Younggeun Ji and Jeonghoon Kim and Jungin Kim and Miji Lee and Jaeheon Noh and Taeyoung Jeong and Juhyeon Shin and Junho Kim and Young Heo and Ung Cho and Hyun{-}Chul Sagong and Junekyun Park and Yeonsik Choo and Gilhwan Do and Hoyoung Kang and Eunkyeong Choi and Dongyoon Sun and Changki Kang and Sangchul Shin and Sangwoo Pae}, title = {Reliability characterization of advanced {CMOS} image sensor {(CIS)} with 3D stack and in-pixel {DTI}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353570}, doi = {10.1109/IRPS.2018.8353570}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JiKKLNJSKHCSPCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiangZHMKMB18, author = {Hui Jiang and H. Zhang and R. C. Harrington and J. A. Maharrey and J. S. Kauppila and Lloyd W. Massengill and Bharat L. Bhuva}, title = {Impact of supply voltage and particle {LET} on the soft error rate of logic circuits}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353586}, doi = {10.1109/IRPS.2018.8353586}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JiangZHMKMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiangZNMB18, author = {H. Jiang and H. Zhang and Balaji Narasimham and Lloyd W. Massengill and Bharat L. Bhuva}, title = {Designing soft-error-aware circuits with power and speed optimization}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353692}, doi = {10.1109/IRPS.2018.8353692}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JiangZNMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JoshiCHLL18, author = {Kaustubh Joshi and Shu{-}Wen Chang and D. S. Huang and P. J. Liao and Yung{-}Huei Lee}, title = {Study of dynamic {TDDB} in scaled FinFET technologies}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353665}, doi = {10.1109/IRPS.2018.8353665}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/JoshiCHLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KakikawaYCTT18, author = {Kento Kakikawa and Yuji Yamagishi and Yasuo Cho and Katsuto Tanahashi and Hidetaka Takato}, title = {Charge state evaluation of passivation layers for silicon solar cells by scanning nonlinear dielectric microscopy}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353683}, doi = {10.1109/IRPS.2018.8353683}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KakikawaYCTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KaleMK18, author = {Amit A. Kale and Amit Marathe and Ajay Kamath}, title = {Machine learning based dynamic cause maps for condition monitoring and life estimation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353562}, doi = {10.1109/IRPS.2018.8353562}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KaleMK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KangLHKLL18, author = {Soo Cheol Kang and Sang Kyung Lee and S. Heo and S. M. Kim and Sung Kwan Lim and Byoung Hun Lee}, title = {Reliability characteristics of {MIM} capacitor studied with {\(\Delta\)}C-F characteristics}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353687}, doi = {10.1109/IRPS.2018.8353687}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KangLHKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KempfMBMRNRPM18, author = {Thibault Kempf and Vincenzo Della Marca and L. Baron and F. Maugain and Francesco La Rosa and Stephan Niel and Arnaud R{\'{e}}gnier and Jean{-}Michel Portal and Pascal Masson}, title = {Threshold voltage bitmap analysis methodology: Application to a 512kB 40nm Flash memory test chip}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353642}, doi = {10.1109/IRPS.2018.8353642}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KempfMBMRNRPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KendrickCGMSHSW18, author = {Chris Kendrick and Michael Cook and Jeff P. Gambino and T. Myers and J. Slezak and T. Hirano and T. Sano and Y. Watanabe and K. Ozeki}, title = {Polysilicon resistor stability under voltage stress for safe-operating area characterization}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353686}, doi = {10.1109/IRPS.2018.8353686}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KendrickCGMSHSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KhanB18, author = {Ahmad Khan and Frede Blaabjerg}, title = {Modified transformerless dual buck inverter with improved lifetime for {PV} applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353628}, doi = {10.1109/IRPS.2018.8353628}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KhanB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimJSKJCPSP18, author = {Hyunjin Kim and Minjung Jin and Hyun{-}Chul Sagong and Jinju Kim and Ukjin Jung and Minhyuck Choi and Junekyun Park and Sangchul Shin and Sangwoo Pae}, title = {A systematic study of gate dielectric {TDDB} in FinFET technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353577}, doi = {10.1109/IRPS.2018.8353577}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KimJSKJCPSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimKBCFRLBZMSXK18, author = {Wanki Kim and SangBum Kim and Robert L. Bruce and Fabio Carta and G. Fraczak and A. Ray and Chung Lam and Matthew BrightSky and Yu Zhu and T. Masuda and K. Suu and Yujun Xie and Yerin Kim and Judy J. Cha}, title = {Reliability benefits of a metallic liner in confined {PCM}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353636}, doi = {10.1109/IRPS.2018.8353636}, timestamp = {Sat, 17 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimKBCFRLBZMSXK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimLL18, author = {Andrew Kim and Baozhen Li and Barry P. Linder}, title = {Transient self-heating modeling and simulations of back-end-of-line interconnects}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353672}, doi = {10.1109/IRPS.2018.8353672}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KimLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimSPCP18, author = {Heejin Kim and Hayeon Shin and Jiyoung Park and Youngtae Choi and Jongwoo Park}, title = {Statistical modeling and reliability prediction for transient luminance degradation of flexible OLEDs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353566}, doi = {10.1109/IRPS.2018.8353566}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KimSPCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KocaayRCCLW18, author = {Deniz Kocaay and Philippe Roussel and Kristof Croes and Ivan Ciofi and Alicja Lesniewska and Ingrid De Wolf}, title = {Method to assess the impact of {LER} and spacing variation on {BEOL} dielectric reliability using 2D-field simulations for {\textless}20nm spacing}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {10--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353670}, doi = {10.1109/IRPS.2018.8353670}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KocaayRCCLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KosinskiD18, author = {Brian Kosinski and Ken Dodson}, title = {Key attributes to achieving {\textgreater} 99.99 satellite availability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353620}, doi = {10.1109/IRPS.2018.8353620}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KosinskiD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KraatzSCHSGZ18, author = {Matthias Kraatz and Christoph Sander and Andr{\'{e}} Clausner and Meike Hauschildt and Yvonne Standke and Martin Gall and Ehrenfried Zschech}, title = {Analysis of electromigration-induced backflow stresses in Cu(Mn) interconnects using high statistical sampling}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353598}, doi = {10.1109/IRPS.2018.8353598}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KraatzSCHSGZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KranthiMMVS18, author = {Nagothu Karmel Kranthi and Abhishek Mishra and Adil Meersha and Harsha B. Variar and Mayank Shrivastava}, title = {Defect-Assisted Safe Operating Area Limits and High Current Failure in Graphene FETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353571}, doi = {10.1109/IRPS.2018.8353571}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KranthiMMVS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KurachiYNSTYF18, author = {Yasuyo Kurachi and Hiroshi Yamamoto and Yukinori Nose and Satoshi Shimizu and Yasunori Tateno and Takumi Yonemura and Masato Furukawa}, title = {Failure mode analysis of GaN-HEMT under high temperature operation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353706}, doi = {10.1109/IRPS.2018.8353706}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KurachiYNSTYF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LajmiCDBRHBLB18, author = {Rania Lajmi and Florian Cacho and O. David and Jean{-}Pierre Blanc and Emmanuel Rouat and S{\'{e}}bastien Haendler and Ph. Benech and Estelle Lauga{-}Larroze and Sylvain Bourdel}, title = {Reliability assessment of 4GSP/s interleaved {SAR} {ADC}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353658}, doi = {10.1109/IRPS.2018.8353658}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LajmiCDBRHBLB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LallML18, author = {Pradeep Lall and Kazi Mirza and David Locker}, title = {Prognostics health management of electronic systems - {A} reliability physics approach}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353621}, doi = {10.1109/IRPS.2018.8353621}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LallML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LallZL18, author = {Pradeep Lall and Hao Zhang and Rahul Lall}, title = {{PHM} of state-of-charge for flexible power sources in wearable electronics with {EKF}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353695}, doi = {10.1109/IRPS.2018.8353695}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LallZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LandiBMPN18, author = {Giovanni Landi and Carlo Barone and Costantino Mauro and Sergio Pagano and Heinz{-}Christoph Neitzert}, title = {Evaluation of silicon, organic, and perovskite solar cell reliability with low-frequency noise spectroscopy}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353629}, doi = {10.1109/IRPS.2018.8353629}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LandiBMPN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LaurentGBPCGFRV18, author = {Antoine Laurent and Xavier Garros and Sylvain Barraud and J. Pelloux{-}Prayer and Mika{\"{e}}l Cass{\'{e}} and Fred Gaillard and X. Federspiel and David Roy and E. Vincent and G{\'{e}}rard Ghibaudo}, title = {Performance {\&} reliability of 3D architectures ({\(\pi\)}fet, Finfet, {\(\Omega\)}fet)}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353647}, doi = {10.1109/IRPS.2018.8353647}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LaurentGBPCGFRV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/Lee18, author = {Kangwook Lee}, title = {High-density fan-out technology for advanced SiP and 3D heterogeneous integration}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353588}, doi = {10.1109/IRPS.2018.8353588}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/Lee18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeCHKLCTL18, author = {Ming{-}Yi Lee and T.{-}Y. Chang and W.{-}F. Hsueh and Li{-}Kuang Kuo and Ding{-}Jhang Lin and Yen{-}Hai Chao and U. J. Tzeng and Chih{-}Yuan Lu}, title = {Electrical method to localize the high-resistance of nanoscale CoSi2 word-line for {OTP} memories}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353624}, doi = {10.1109/IRPS.2018.8353624}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeCHKLCTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeJYJ18, author = {Sol{-}Kyu Lee and Kyung{-}Tae Jang and Seol{-}Min Yi and Young{-}Chang Joo}, title = {Successive breakdown mode of time-dependent dielectric breakdown for Cu interconnects and lifetime enhancement under dynamic bias stress}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353578}, doi = {10.1109/IRPS.2018.8353578}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LeeJYJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKLCH18, author = {Miky Lee and K. Kim and D. Lim and D. Cho and Ck. Han}, title = {Weibull cumulative distribution function {(CDF)} analysis with life expectancy endurance test result of power window switch}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353694}, doi = {10.1109/IRPS.2018.8353694}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LeeKLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LezonWDWB18, author = {Kurt J. Lezon and Shi{-}Jie Wen and Y.{-}F. Dan and Richard Wong and Bharat L. Bhuva}, title = {Single-event effects on optical transceiver}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353693}, doi = {10.1109/IRPS.2018.8353693}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LezonWDWB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LiKMLC18, author = {Baozhen Li and Andrew Kim and Paul McLaughlin and Barry P. Linder and Cathryn Christiansen}, title = {Electromigration characteristics of power grid like structures}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353599}, doi = {10.1109/IRPS.2018.8353599}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LiKMLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LiSHPBBC18, author = {Yunlong Li and Michele Stucchi and Stefaan Van Huylenbroeck and Geert Van der Plas and Gerald Beyer and Eric Beyne and Kristof Croes}, title = {{TSV} process-induced {MOS} reliability degradation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353610}, doi = {10.1109/IRPS.2018.8353610}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LiSHPBBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LiWDHDL18, author = {Yun Li and K. L. Wang and Shaoyan Di and Peng Huang and Gang Du and Xiao{-}Yan Liu}, title = {{PBTI} evaluation of In0.65Ga0.35As/In0.53Ga0.47As nanowire FETs with Al2O3 and LaAlO3 gate dielectrics}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {7--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353702}, doi = {10.1109/IRPS.2018.8353702}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LiWDHDL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LiangYSHJLP18, author = {Xianhu Liang and Bin Yuan and Yuanyuan Shi and Fei Hui and Xu Jing and Mario Lanza and Felix Palumbo}, title = {Enhanced reliability of hexagonal boron nitride dielectric stacks due to high thermal conductivity}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353666}, doi = {10.1109/IRPS.2018.8353666}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LiangYSHJLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LichtenwalnerHB18, author = {Daniel J. Lichtenwalner and Brett Hull and Edward Van Brunt and Shadi Sabri and Donald A. Gajewski and Dave Grider and Scott Allen and John W. Palmour and Akin Akturk and James McGarrity}, title = {Reliability studies of SiC vertical power MOSFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353544}, doi = {10.1109/IRPS.2018.8353544}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LichtenwalnerHB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LimRMNKNLTCCLP18, author = {Jia Hao Lim and Nagarajan Raghavan and Sen Mei and Vinayak Bharat Naik and Jae Hyun Kwon and S. M. Noh and B. Liu and E. H. Toh and Nyuk Leong Chung and Robin Chao and K. H. Lee and Kin Leong Pey}, title = {Area and pulsewidth dependence of bipolar {TDDB} in MgO magnetic tunnel junction}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353637}, doi = {10.1109/IRPS.2018.8353637}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LimRMNKNLTCCLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LinKCLHTLLCWL18, author = {T. W. Lin and S. H. Ku and C. H. Cheng and C. W. Lee and Ijen Huang and Wen{-}Jer Tsai and T. C. Lu and W. P. Lu and K. C. Chen and Tahui Wang and Chih{-}Yuan Lu}, title = {Chip-level characterization and RTN-induced error mitigation beyond 20nm floating gate flash memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353679}, doi = {10.1109/IRPS.2018.8353679}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LinKCLHTLLCWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LiuCCYKFTLFL18, author = {S. E. Liu and G. Y. Chen and M. K. Chen and David Yen and W. A. Kuo and C. S. Fu and Y. S. Tsai and M. Z. Lin and Y. H. Fang and M. J. Lin}, title = {Fast chip aging prediction by product-like {VMIN} drift characterization on test structures}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353569}, doi = {10.1109/IRPS.2018.8353569}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LiuCCYKFTLFL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LiuKGO18, author = {Wen Liu and Andreas Kerber and Fernando Guarin and Claude Ortolland}, title = {Cap layer and multi-work-function tuning impact on {TDDB/BTI} in {SOI} FinFET devices}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353542}, doi = {10.1109/IRPS.2018.8353542}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LiuKGO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LiuLJWTLCL18, author = {Y. H. Liu and H. Y. Lin and C. M. Jiang and Tahui Wang and W. J. Tsai and T. C. Lu and K. C. Chen and Chih{-}Yuan Lu}, title = {Investigation of data pattern effects on nitride charge lateral migration in a charge trap flash memory by using a random telegraph signal method}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353632}, doi = {10.1109/IRPS.2018.8353632}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LiuLJWTLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/Mariani18, author = {Riccardo Mariani}, title = {An overview of autonomous vehicles safety}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353618}, doi = {10.1109/IRPS.2018.8353618}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/Mariani18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/McNairy18, author = {Cameron McNairy}, title = {Exascale fault tolerance challenge and approaches}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353563}, doi = {10.1109/IRPS.2018.8353563}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/McNairy18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/McPherson18, author = {J. W. McPherson}, title = {Brief history of {JEDEC} qualification standards for silicon technology and their applicability(?) to {WBG} semiconductors}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353556}, doi = {10.1109/IRPS.2018.8353556}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/McPherson18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MengMTM18, author = {Kuo{-}Hsuan Meng and Mohamed Moosa and Cynthia A. Torres and James W. Miller}, title = {A case study of {ESD} trigger circuit: Time-out and stability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353660}, doi = {10.1109/IRPS.2018.8353660}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/MengMTM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MhiraHAFB18, author = {Souhir Mhira and Vincent Huard and D. Arora and Philippe Flatresse and Alain Bravaix}, title = {Resilient automotive products through process, temperature and aging compensation schemes}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353568}, doi = {10.1109/IRPS.2018.8353568}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MhiraHAFB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MitaniHN18, author = {Yuichiro Mitani and Yusuke Higashi and Yasushi Nakasaki}, title = {Study on mechanism of thermal curing in ultra-thin gate dielectrics}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353554}, doi = {10.1109/IRPS.2018.8353554}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MitaniHN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MonachonZBPGBK18, author = {C. Monachon and Marcin Stefan Zielinski and J. Berney and D. Poppitz and Andreas Graff and Steffen Breuer and Lutz Kirste}, title = {Cathodoluminescence spectroscopy for failure analysis and process development of GaN-based microelectronic devices}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353623}, doi = {10.1109/IRPS.2018.8353623}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MonachonZBPGBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MukherjeeDCML18, author = {Kalparupa Mukherjee and Fr{\'{e}}d{\'{e}}ric Darracq and Arnaud Curutchet and Nathalie Malbert and Nathalie Labat}, title = {Comprehensive study into underlying mechanisms of anomalous gate leakage degradation in GaN high electron mobility transistors}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353581}, doi = {10.1109/IRPS.2018.8353581}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MukherjeeDCML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MurugesanFBHK18, author = {Mariappan Murugesan and Takafumi Fukushima and Ji Chel Bea and Hiroyuki Hashimoto and Mitsu Koyanagi}, title = {Intra- and inter-chip electrical interconnection formed by directed self assembly of nanocomposite containing diblock copolymer and nanometal}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353589}, doi = {10.1109/IRPS.2018.8353589}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/MurugesanFBHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/NadigL18, author = {Sachin Nadig and Amit Lal}, title = {In-situ calibration Of {MEMS} inertial sensors for long-term reliability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353567}, doi = {10.1109/IRPS.2018.8353567}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/NadigL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/NarasimhamGRWHT18, author = {Balaji Narasimham and Saket Gupta and Daniel S. Reed and J. K. Wang and Nick Hendrickson and Hasan Taufique}, title = {Scaling trends and bias dependence of the soft error rate of 16 nm and 7 nm FinFET SRAMs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353583}, doi = {10.1109/IRPS.2018.8353583}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/NarasimhamGRWHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/NarasimhamWWC18, author = {Balaji Narasimham and Tim Wu and Jung K. Wang and Bruce Conway}, title = {Evaluation of the system-level {SER} performance of gigabit ethernet transceiver devices}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353587}, doi = {10.1109/IRPS.2018.8353587}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/NarasimhamWWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/NivelleBVPDCD18, author = {Philippe Nivelle and Tom Borgers and Eszter Voroshazi and Jef Poortmans and Jan D'Haen and Ward De Ceuninck and Michael Daenen}, title = {Mechanical and chemical adhesion at the encapsulant interfaces in laminated photovoltaic modules}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353630}, doi = {10.1109/IRPS.2018.8353630}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/NivelleBVPDCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/OSullivanBRRKCS18, author = {Barry J. O'Sullivan and Simon Van Beek and Philippe J. Roussel and Sidharth Rao and Wonsub Kim and S. Couet and Johan Swerts and Farrukh Yasin and Dimitri Crotti and Dimitri Linten and Gouri Sankar Kar}, title = {Extended {RVS} characterisation of {STT-MRAM} devices: Enabling detection of {AP/P} switching and breakdown}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353678}, doi = {10.1109/IRPS.2018.8353678}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/OSullivanBRRKCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/OngLO18, author = {Yi Ching Ong and Shou{-}Chung Lee and A. S. Oates}, title = {Percolation defect nucleation and growth as a description of the statistics of electrical breakdown for gate, {MOL} and {BEOL} dielectrics}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {7--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353667}, doi = {10.1109/IRPS.2018.8353667}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/OngLO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PadovaniL18, author = {Andrea Padovani and Luca Larcher}, title = {Time-dependent dielectric breakdown statistics in SiO2 and HfO2 dielectrics: Insights from a multi-scale modeling approach}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353552}, doi = {10.1109/IRPS.2018.8353552}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/PadovaniL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PaliwodaCKSM18, author = {Peter C. Paliwoda and Zakariae Chbili and A. Kerber and D. Singh and Durga Misra}, title = {Ambient temperature and layout impact on self-heating characterization in FinFET devices}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353640}, doi = {10.1109/IRPS.2018.8353640}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/PaliwodaCKSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PariharM18, author = {Narendra Parihar and Souvik Mahapatra}, title = {Prediction of {NBTI} stress and recovery time kinetics in Si capped SiGe p-MOSFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353700}, doi = {10.1109/IRPS.2018.8353700}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/PariharM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ParkKKKR18, author = {Gyusung Park and Minsu Kim and Chris H. Kim and Bongjin Kim and Vijay Reddy}, title = {All-digital {PLL} frequency and phase noise degradation measurements using simple on-chip monitoring circuits}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353613}, doi = {10.1109/IRPS.2018.8353613}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ParkKKKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PatraRKC0C18, author = {Devyani Patra and Ahmed Kamal Reza and Mehdi Katoozi and Ethan H. Cannon and Kaushik Roy and Yu Cao}, title = {Accelerated {BTI} degradation under stochastic {TDDB} effect}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353616}, doi = {10.1109/IRPS.2018.8353616}, timestamp = {Thu, 15 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/PatraRKC0C18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PaulKGS18, author = {Milova Paul and Boeila Sampath Kumar and Harald Gossner and Mayank Shrivastava}, title = {Contact and junction engineering in bulk FinFET technology for improved ESD/latch-up performance with design trade-offs and its implications on hot carrier reliability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353573}, doi = {10.1109/IRPS.2018.8353573}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/PaulKGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PedroMMRNGC18, author = {Marta Pedro and Javier Mart{\'{\i}}n{-}Mart{\'{\i}}nez and E. Miranda and Rosana Rodr{\'{\i}}guez and Montserrat Nafr{\'{\i}}a and M. B. Gonz{\'{a}}lez and Francesca Campabadal}, title = {Device variability tolerance of a RRAM-based self-organizing neuromorphic system}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353657}, doi = {10.1109/IRPS.2018.8353657}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/PedroMMRNGC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PremachandranCC18, author = {C. S. Premachandran and Seungman Choi and Salvatore Cimino and Thuy Tran{-}Quinn and Lloyd Burrell and Patrick Justison}, title = {Reliability challenges for 2.5D/3D integration: An overview}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353609}, doi = {10.1109/IRPS.2018.8353609}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/PremachandranCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PuschkarskyGAGR18, author = {Katja Puschkarsky and Tibor Grasser and Thomas Aichinger and Wolfgang Gustin and Hans Reisinger}, title = {Understanding and modeling transient threshold voltage instabilities in SiC MOSFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353560}, doi = {10.1109/IRPS.2018.8353560}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/PuschkarskyGAGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PutchaFVKSLG18, author = {Vamsi Putcha and Jacopo Franco and Abhitosh Vais and Ben Kaczer and S. Sioncke and Dimitri Linten and Guido Groeseneken}, title = {Impact of slow and fast oxide traps on In0.53Ga0.47As device operation studied using {CET} maps}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353603}, doi = {10.1109/IRPS.2018.8353603}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/PutchaFVKSLG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/QuCLLNFXCZ18, author = {Yiming Qu and Ran Cheng and Wei Liu and Junkang Li and Bich{-}Yen Nguyen and Olivier Faynot and Nuo Xu and Bing Chen and Yi Zhao}, title = {Effect of measurement speed ({\(\mu\)}s-800 ps) on the characterization of reliability behaviors for {FDSOI} nMOSFETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353644}, doi = {10.1109/IRPS.2018.8353644}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/QuCLLNFXCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/QuaxS18, author = {Guido Quax and Theo Smedes}, title = {An integral injector-victim current transfer model for latchup design rule optimization}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353549}, doi = {10.1109/IRPS.2018.8353549}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/QuaxS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RafikNGAFD18, author = {M. Rafik and A. P. Nguyen and Xavier Garros and M. Arabi and X. Federspiel and Cheikh Diouf}, title = {{AC} {TDDB} extensive study for an enlargement of its impact and benefit on circuit lifetime assessment}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353576}, doi = {10.1109/IRPS.2018.8353576}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/RafikNGAFD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RahmanDNLR18, author = {Anisur Rahman and Javier Dacu{\~{n}}a and Pinakpani Nayak and Gerald S. Leatherman and Stephen Ramey}, title = {Reliability studies of a 10nm high-performance and low-power {CMOS} technology featuring 3rd generation FinFET and 5th generation {HK/MG}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353648}, doi = {10.1109/IRPS.2018.8353648}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/RahmanDNLR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RameshRF18, author = {Anapathur V. Ramesh and Shilpa M. Reddy and Dan K. Fitzsimmons}, title = {Airplane system design for reliability and quality}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353564}, doi = {10.1109/IRPS.2018.8353564}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/RameshRF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RanjanROMBSP18, author = {Alok Ranjan and Nagarajan Raghavan and Sean J. O'Shea and Sen Mei and Michel Bosman and Kalya Shubhakar and Kin Leong Pey}, title = {Mechanism of soft and hard breakdown in hexagonal boron nitride 2D dielectrics}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353574}, doi = {10.1109/IRPS.2018.8353574}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/RanjanROMBSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RenLWZYLSWZGWXH18, author = {Pengpeng Ren and Changze Liu and Sanping Wan and Jiayang Zhang and Zhuoqing Yu and Nie Liu and Yongsheng Sun and Runsheng Wang and Canhui Zhan and Zhenghao Gan and Waisum Wong and Yu Xia and Ru Huang}, title = {New insights into the {HCI} degradation of pass-gate transistor in advanced FinFET technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353656}, doi = {10.1109/IRPS.2018.8353656}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/RenLWZYLSWZGWXH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RousselCDHLM18, author = {Philippe J. Roussel and Adrian Vaisman Chasin and Steven Demuynck and Naoto Horiguchi and Dimitri Linten and Anda Mocuta}, title = {New methodology for modelling {MOL} {TDDB} coping with variability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353555}, doi = {10.1109/IRPS.2018.8353555}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/RousselCDHLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RuzzarinMSMZSP18, author = {Maria Ruzzarin and Matteo Meneghini and Carlo De Santi and Gaudenzio Meneghesso and Enrico Zanoni and Min Sun and Tom{\'{a}}s Palacios}, title = {Degradation of vertical GaN FETs under gate and drain stress}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353579}, doi = {10.1109/IRPS.2018.8353579}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/RuzzarinMSMZSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SagongKCYSHJCPS18, author = {Hyun{-}Chul Sagong and Hyunjin Kim and Seungjin Choo and Sungyoung Yoon and Hyewon Shim and Sangsu Ha and Tae{-}Young Jeong and Minhyeok Choe and Junekyun Park and Sangchul Shin and Sangwoo Pae}, title = {Effects of Far-BEOL anneal on the {WLR} and product reliability characterization of FinFET process technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353649}, doi = {10.1109/IRPS.2018.8353649}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SagongKCYSHJCPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SantenDAMRCRFHN18, author = {Victor M. van Santen and Javier Diaz{-}Fortuny and Hussam Amrouch and Javier Mart{\'{\i}}n{-}Mart{\'{\i}}nez and Rosana Rodr{\'{\i}}guez and Rafael Castro{-}L{\'{o}}pez and Elisenda Roca and Francisco V. Fern{\'{a}}ndez and J{\"{o}}rg Henkel and Montserrat Nafr{\'{\i}}a}, title = {Weighted time lag plot defect parameter extraction and GPU-based {BTI} modeling for {BTI} variability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353659}, doi = {10.1109/IRPS.2018.8353659}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SantenDAMRCRFHN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SarkarPS18, author = {Jay Sarkar and Cory Peterson and Amir Sanayei}, title = {Machine-learned assessment and prediction of robust solid state storage system reliability physics}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353565}, doi = {10.1109/IRPS.2018.8353565}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SarkarPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/Sasse18, author = {Guido T. Sasse}, title = {Hot carrier induced {TDDB} in {HV} {MOS:} Lifetime model and extrapolation to use conditions}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353698}, doi = {10.1109/IRPS.2018.8353698}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/Sasse18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SassineNTRLCHNV18, author = {Gilbert Sassine and Cecile Nail and Luc Tillie and Diego Alfaro Robayo and Alexandre Levisse and Carlo Cagli and Khalil El Hajjam and Jean{-}Francois Nodin and Elisa Vianello and Mathieu Bernard and Gabriel Molas and Etienne Nowak}, title = {Sub-pJ consumption and short latency time in {RRAM} arrays for high endurance applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353675}, doi = {10.1109/IRPS.2018.8353675}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SassineNTRLCHNV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SaxenaMS18, author = {Nirmal R. Saxena and Sanu Mathew and Krishna Saraswat}, title = {Keynote 1: The road to resilient computing in autonomous driving is paved with redundancy}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353536}, doi = {10.1109/IRPS.2018.8353536}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SaxenaMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SenzakiHYO18, author = {Junji Senzaki and Shohei Hayashi and Yoshiyuki Yonezawa and Hajime Okumura}, title = {Challenges to realize highly reliable SiC power devices: From the current status and issues of SiC wafers}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353558}, doi = {10.1109/IRPS.2018.8353558}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SenzakiHYO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SeokKYLK18, author = {Mingoo Seok and Peter R. Kinget and Teng Yang and Jiangyi Li and Doyun Kim}, title = {Recent advances in in-situ and in-field aging monitoring and compensation for integrated circuits: Invited paper}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353612}, doi = {10.1109/IRPS.2018.8353612}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SeokKYLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ShahCHMAAKBSA18, author = {Riddhi Jitendrakumar Shah and Florian Cacho and Vincent Huard and Souhir Mhira and D. Arora and Pankaj Agarwal and Shubham Kumar and S. Balaraman and Bijoy Kumar Singh and Lorena Anghel}, title = {Investigation of speed sensors accuracy for process and aging compensation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353617}, doi = {10.1109/IRPS.2018.8353617}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ShahCHMAAKBSA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ShankarSGS18, author = {Bhawani Shankar and Ankit Soni and Sayak Dutta Gupta and Mayank Shrivastava}, title = {Safe Operating Area {(SOA)} reliability of Polarization Super Junction {(PSJ)} GaN FETs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353595}, doi = {10.1109/IRPS.2018.8353595}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ShankarSGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ShankarSGSKMRS18, author = {Bhawani Shankar and Ankit Soni and Sayak Dutta Gupta and R. Sengupta and Heena Khand and N. Mohan and Srinivasan Raghavan and Mayank Shrivastava}, title = {On the trap assisted stress induced safe operating area limits of AlGaN/GaN HEMTs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353596}, doi = {10.1109/IRPS.2018.8353596}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ShankarSGSKMRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ShenYOCJ18, author = {Tian Shen and Kong Boon Yeap and Sean P. Ogden and Cathryn Christiansen and Patrick Justison}, title = {New insight on {TDDB} area scaling methodology of non-Poisson systems}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353661}, doi = {10.1109/IRPS.2018.8353661}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ShenYOCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ShinCAGWWBVKA18, author = {SangHoon Shin and Yen{-}Pu Chen and Woojin Ahn and Honglin Guo and Byron Williams and Jeff West and Tom Bonifield and Dhanoop Varghese and Srikanth Krishnan and Muhammad Ashraful Alam}, title = {High voltage time-dependent dielectric breakdown in stacked intermetal dielectrics}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {9--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353669}, doi = {10.1109/IRPS.2018.8353669}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ShinCAGWWBVKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SilvermanJ18, author = {Timothy J. Silverman and Steve Johnston}, title = {Permanent shunts from passing shadows: Reverse-bias damage in thin-film photovoltaic modules}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353627}, doi = {10.1109/IRPS.2018.8353627}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SilvermanJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SinghRMMZPPDSJB18, author = {D. Singh and Oscar D. Restrepo and P. P. Manik and N. Rao Mavilla and H. Zhang and Peter C. Paliwoda and S. Pinkett and Y. Deng and Eduardo Cruz Silva and Jeffrey B. Johnson and M. Bajaj and S. Furkay and Z. Chbili and A. Kerber and C. Christiansen and S. Narasimha and E. Maciejewski and S. Samavedam and C.{-}H. Lin}, title = {Bottom-up methodology for predictive simulations of self-heating in aggressively scaled process technologies}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353650}, doi = {10.1109/IRPS.2018.8353650}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SinghRMMZPPDSJB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SinhaBSS18, author = {Rajat Sinha and Prasenjit Bhattacharya and Sanjiv Sambandan and Mayank Shrivastava}, title = {On the {ESD} behavior of a-Si: {H} based thin film transistors: Physical insights, design and technological implications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353572}, doi = {10.1109/IRPS.2018.8353572}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SinhaBSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SmitheZBPY18, author = {Kirby K. H. Smithe and Zhongwei Zhu and Connor S. Bailey and Eric Pop and Alex Yoon}, title = {Investigation of monolayer {MX2} as sub-nanometer copper diffusion barriers}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353681}, doi = {10.1109/IRPS.2018.8353681}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SmitheZBPY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SrinivasanRCZKP18, author = {P. Srinivasan and Rakesh Ranjan and S. Cimino and A. Zainuddin and B. Kannan and L. Pantisano and I. Mahmud and G. Dilliway and Tanya Nigam}, title = {Understanding gate metal work function (mWF) impact on device reliability - {A} holistic approach}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353646}, doi = {10.1109/IRPS.2018.8353646}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SrinivasanRCZKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/StahbushM18, author = {R. E. Stahbush and Nadeemullah A. Mahadik}, title = {Defects affecting SiC power device reliability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353546}, doi = {10.1109/IRPS.2018.8353546}, timestamp = {Mon, 09 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/StahbushM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/Stathis18, author = {James H. Stathis}, title = {The physics of {NBTI:} What do we really know?}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353539}, doi = {10.1109/IRPS.2018.8353539}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/Stathis18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/StellariWJRLS18, author = {Franco Stellari and Alan J. Weger and Keith A. Jenkins and Giuseppe La Rosa and Barry P. Linder and Peilin Song}, title = {Estimating transistor channel temperature using time-resolved and time-integrated {NIR} emission}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353625}, doi = {10.1109/IRPS.2018.8353625}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/StellariWJRLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/StockmanCTMMZMB18, author = {Arno Stockman and Eleonora Canato and Alaleh Tajalli and Matteo Meneghini and Gaudenzio Meneghesso and Enrico Zanoni and Peter Moens and Benoit Bakeroot}, title = {On the origin of the leakage current in p-gate AlGaN/GaN HEMTs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353582}, doi = {10.1109/IRPS.2018.8353582}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/StockmanCTMMZMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SuAJKLLMPPPSSSW18, author = {Chen{-}Yi Su and M. Armstrong and Lei Jiang and S. A. Kumar and C. D. Landon and S. Liu and Inanc Meric and K. W. Park and Leif Paulson and K. Phoa and Bernhard Sell and Jihan Standfest and Ketul B. Sutaria and J. Wan and D. Young and Stephen Ramey}, title = {Transistor reliability characterization and modeling of the 22FFL FinFET technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353652}, doi = {10.1109/IRPS.2018.8353652}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SuAJKLLMPPPSSSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SuJWW18, author = {P. C. Su and C. M. Jiang and C. W. Wang and Tahui Wang}, title = {Correlation between SET-state current level and read-disturb failure time in a resistive switching memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353674}, doi = {10.1109/IRPS.2018.8353674}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SuJWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SugiyamaOSYKSOH18, author = {Toru Sugiyama and Kohei Oasa and Yasunobu Saito and Akira Yoshioka and Takuo Kikuchi and Aya Shindome and Tatsuya Ohguro and Takeshi Hamamoto}, title = {Evaluation methodology for current collapse phenomenon of GaN HEMTs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353559}, doi = {10.1109/IRPS.2018.8353559}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SugiyamaOSYKSOH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SuzukiDNMT18, author = {Shun Suzuki and Yoshiaki Deguchi and Toshiki Nakamura and Kyoji Mizoguchi and Ken Takeuchi}, title = {Error elimination {ECC} by horizontal error detection and vertical-LDPC {ECC} to increase data-retention time by 230{\%} and acceptable bit-error rate by 90{\%} for 3D-NAND flash SSDs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {7--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353680}, doi = {10.1109/IRPS.2018.8353680}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SuzukiDNMT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/TatenoKYN18, author = {Yasunori Tateno and Yasuyo Kurachi and Hiroshi Yamamoto and Takashi Nakabayashi}, title = {Investigation of the pulsed-IV degradation mechanism of GaN-HEMT under high temperature storage tests}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353705}, doi = {10.1109/IRPS.2018.8353705}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/TatenoKYN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/TeramotoHSTHPS18, author = {Akinobu Teramoto and Keiichi Hashimoto and Tomoyuki Suwa and Jun{-}ichi Tsuchimoto and Marie Hayashi and Hyeonwoo Park and Shigetoshi Sugawa}, title = {Reliability of MgO in magnetic tunnel junctions formed by MgO sputtering and Mg oxidation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353664}, doi = {10.1109/IRPS.2018.8353664}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/TeramotoHSTHPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/UemuraLMMLLSP18, author = {Taiki Uemura and Soonyoung Lee and Dahye Min and Ihlhwa Moon and Jungman Lim and Seungbae Lee and Hyun{-}Chul Sagong and Sangwoo Pae}, title = {Investigation of alpha-induced single event transient {(SET)} in 10 nm FinFET logic circuit}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353689}, doi = {10.1109/IRPS.2018.8353689}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/UemuraLMMLLSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/VandendaeleGLMT18, author = {William Vandendaele and Xavier Garros and Thomas Lorin and Erwan Morvan and A. Torres and Ren{\'{e}} Escoffier and Marie{-}Anne Jaud and Marc Plissonnier and Fred Gaillard}, title = {A novel insight of pBTI degradation in GaN-on-Si E-mode MOSc-HEMT}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353580}, doi = {10.1109/IRPS.2018.8353580}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/VandendaeleGLMT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/VerdyNBCCNGNBSC18, author = {Anthonin Verdy and Gabriele Navarro and Mathieu Bernard and Sophie Chevalliez and Niccolo Castellani and Emmanuel Nolot and Julien Garrione and Pierre Noe and Guillaume Bourgeois and Veronique Sousa and Marie Claire Cyrille and Etienne Nowak}, title = {Carbon electrode for Ge-Se-Sb based {OTS} selector for ultra low leakage current and outstanding endurance}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353635}, doi = {10.1109/IRPS.2018.8353635}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/VerdyNBCCNGNBSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/WaltersCGNSRA18, author = {Grant Walters and Paul Chojecki and Alexandra Garraud and Toshikazu Nishida and Scott R. Summerfelt and J. A. Rodriguez and A. G. Acosta}, title = {High-temperature and high-field cycling reliability of {PZT} films embedded within 130 nm {CMOS}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353676}, doi = {10.1109/IRPS.2018.8353676}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/WaltersCGNSRA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/WangSCS18, author = {Miaomiao Wang and Richard G. Southwick and Kangguo Cheng and James H. Stathis}, title = {Lateral profiling of {HCI} induced damage in ultra-scaled FinFET devices with Id-Vd characteristics}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353639}, doi = {10.1109/IRPS.2018.8353639}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/WangSCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/WeiMP18, author = {Pengyu Wei and Javad Meiguni and David J. Pommerenke}, title = {System-level design for {ESD} protection on multiple {IO} interfaces}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353547}, doi = {10.1109/IRPS.2018.8353547}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/WeiMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/WuKLS18, author = {Ernest Y. Wu and Andrew Kim and Baozhen Li and James H. Stathis}, title = {Elapsed-time statistics of successive breakdown in the presence of variability for dielectric breakdown in {BEOL/MOL/FEOL} applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353553}, doi = {10.1109/IRPS.2018.8353553}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/WuKLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/WuPLLCTC18, author = {Chen Wu and O. Varela Pedreira and Alicja Lesniewska and Yunlong Li and Ivan Ciofi and Zsolt T{\"{o}}kei and Kris Croes}, title = {Insights into metal drift induced failure in {MOL} and {BEOL}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353551}, doi = {10.1109/IRPS.2018.8353551}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/WuPLLCTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/XiuSBMRR18, author = {Yang Xiu and Samuel Sagan and Advika Battini and Xiao Ma and Maxim Raginsky and Elyse Rosenbaum}, title = {Stochastic modeling of air electrostatic discharge parameters}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353548}, doi = {10.1109/IRPS.2018.8353548}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/XiuSBMRR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/YamadaMFK18, author = {Kodai Yamada and Haruki Maruoka and Jun Furuta and Kazutoshi Kobayashi}, title = {Sensitivity to soft errors of {NMOS} and {PMOS} transistors evaluated by latches with stacking structures in a 65 nm {FDSOI} process}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353691}, doi = {10.1109/IRPS.2018.8353691}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/YamadaMFK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/YamaguchiFKKINT18, author = {Marina Yamaguchi and Shosuke Fujii and Yuuichi Kamimuta and Shoichi Kabuyanagi and Tsunehiro Ino and Yasushi Nakasaki and Riichiro Takaishi and Reika Ichihara and Masumi Saitoh}, title = {Impact of specific failure mechanisms on endurance improvement for HfO2-based ferroelectric tunnel junction memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353633}, doi = {10.1109/IRPS.2018.8353633}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/YamaguchiFKKINT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/YangWHC18, author = {Chih{-}Yi Yang and Tian{-}Li Wu and Tin{-}En Hsieh and Edward Yi Chang}, title = {Investigation of degradation phenomena in GaN-on-Si power MIS-HEMTs under source current and drain bias stresses}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353708}, doi = {10.1109/IRPS.2018.8353708}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/YangWHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/YokogawaT18, author = {Shinji Yokogawa and Kazuki Tate}, title = {Reliability evaluation of defect accounted time-dependent dielectric breakdown with competing-mixture distribution}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353662}, doi = {10.1109/IRPS.2018.8353662}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/YokogawaT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/YoshimuraOS18, author = {Yoko Yoshimura and Kensuke Ota and Masumi Saitoh}, title = {Hot carrier degradation, TDDB, and 1/f noise in Poly-Si Tri-gate nanowire transistor}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353606}, doi = {10.1109/IRPS.2018.8353606}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/YoshimuraOS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/YunPLB18, author = {Donghyuk Yun and Myungsang Park and Chul Seung Lim and Sanghyeon Baeg}, title = {Study of {TID} effects on one row hammering using gamma in {DDR4} SDRAMs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {2--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353690}, doi = {10.1109/IRPS.2018.8353690}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/YunPLB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ZhangJELNMMB18, author = {H. Zhang and H. Jiang and M. R. Eaker and Kurt J. Lezon and Balaji Narasimham and Nihaar N. Mahatme and Lloyd W. Massengill and Bharat L. Bhuva}, title = {Evaluation on flip-flop physical unclonable functions in a 14/16-nm bulk FinFET technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353682}, doi = {10.1109/IRPS.2018.8353682}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ZhangJELNMMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ZhouAKG18, author = {Yu Zhou and Diing Shenp Ang and Pranav Sairam Kalaga and Sankara Rao Gollu}, title = {Oxide breakdown path for optical sensing at the nanoscale level}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {8--1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353668}, doi = {10.1109/IRPS.2018.8353668}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ZhouAKG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ZhouJCV18, author = {C. Zhou and Keith A. Jenkins and P. I. Chuang and Christos Vezyrtzis}, title = {Effect of {HCI} degradation on the variability of {MOSFETS}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353684}, doi = {10.1109/IRPS.2018.8353684}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ZhouJCV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ZhuHCZYZZMH18, author = {Jiejie Zhu and Bin Hou and Lixiang Chen and Qing Zhu and Ling Yang and Xiaowei Zhou and Peng Zhang and Xiaohua Ma and Yue Hao}, title = {Threshold voltage shift and interface/border trapping mechanism in Al2O3/AlGaN/GaN MOS-HEMTs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353704}, doi = {10.1109/IRPS.2018.8353704}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ZhuHCZYZZMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irps/2018, title = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8345372/proceeding}, isbn = {978-1-5386-5479-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.