Search dblp for Publications

export results for "toc:db/conf/islped/islped2003.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/AcarDRLSNB03,
  author       = {Emrah Acar and
                  Anirudh Devgan and
                  Rahul M. Rao and
                  Ying Liu and
                  Haihua Su and
                  Sani R. Nassif and
                  Jeffrey L. Burns},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Leakage and leakage sensitivity computation for combinational circuits},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {96--99},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871532},
  doi          = {10.1145/871506.871532},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AcarDRLSNB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AgarwalR03,
  author       = {Amit Agarwal and
                  Kaushik Roy},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A noise tolerant cache design to reduce gate and sub-threshold leakage
                  in the nanometer regime},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {18--21},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871514},
  doi          = {10.1145/871506.871514},
  timestamp    = {Wed, 17 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AgarwalR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AhmadiL03,
  author       = {Mohammad M. Ahmadi and
                  Reza Lotfi},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A new architecture for rail-to-rail input constant-gm {CMOS} operational
                  transconductance amplifiers},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {353--358},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871594},
  doi          = {10.1145/871506.871594},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AhmadiL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AslanzadehMVSL03,
  author       = {Hesam Amir Aslanzadeh and
                  Saeid Mehrmanesh and
                  Mohammad B. Vahidfar and
                  Amin Quasem Safarian and
                  Reza Lotfi},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A 1-V 1-mW high-speed class {AB} operational amplifier for high-speed
                  low power pipelined {A/D} converters using "Slew Boost" technique},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {340--344},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871591},
  doi          = {10.1145/871506.871591},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AslanzadehMVSL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BeniniGMMP03,
  author       = {Luca Benini and
                  Angelo Galati and
                  Alberto Macii and
                  Enrico Macii and
                  Massimo Poncino},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy-efficient data scrambling on memory-processor interfaces},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {26--29},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871517},
  doi          = {10.1145/871506.871517},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BeniniGMMP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BuyuksahinPN03,
  author       = {Kavel M. B{\"{u}}y{\"{u}}ksahin and
                  Priyadarsan Patra and
                  Farid N. Najm},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {{ESTIMA:} an architectural-level power estimator for multi-ported
                  pipelined register files},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {294--297},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871579},
  doi          = {10.1145/871506.871579},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BuyuksahinPN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CalhounHC03,
  author       = {Benton H. Calhoun and
                  Frank Honor{\'{e}} and
                  Anantha P. Chandrakasan},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Design methodology for fine-grained leakage control in {MTCMOS}},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {104--109},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871535},
  doi          = {10.1145/871506.871535},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/CalhounHC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CarballoBYVN03,
  author       = {Juan Antonio Carballo and
                  Jeffrey L. Burns and
                  Seung{-}Moon Yoo and
                  Ivan Vo and
                  V. Robert Norman},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A semi-custom voltage-island technique and its application to high-speed
                  serial links},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {60--65},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871525},
  doi          = {10.1145/871506.871525},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/CarballoBYVN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChangYL03,
  author       = {Yen{-}Jen Chang and
                  Chia{-}Lin Yang and
                  Feipei Lai},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A power-aware {SWDR} cell for reducing cache write power},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {14--17},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871513},
  doi          = {10.1145/871506.871513},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChangYL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChatterjeeSHKB03,
  author       = {Bhaskar Chatterjee and
                  Manoj Sachdev and
                  Steven Hsu and
                  Ram Krishnamurthy and
                  Shekhar Borkar},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Effectiveness and scaling trends of leakage control techniques for
                  sub-130nm {CMOS} technologies},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {122--127},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871538},
  doi          = {10.1145/871506.871538},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChatterjeeSHKB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChaverPPTH03,
  author       = {Daniel Chaver and
                  Luis Pi{\~{n}}uel and
                  Manuel Prieto and
                  Francisco Tirado and
                  Michael C. Huang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Branch prediction on demand: an energy-efficient solution},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {390--395},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871603},
  doi          = {10.1145/871506.871603},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChaverPPTH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenCF03,
  author       = {Deming Chen and
                  Jason Cong and
                  Yiping Fan},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Low-power high-level synthesis for {FPGA} architectures},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {134--139},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871541},
  doi          = {10.1145/871506.871541},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenCF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenP03,
  author       = {Xuning Chen and
                  Li{-}Shiuan Peh},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Leakage power modeling and optimization in interconnection networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {90--95},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871531},
  doi          = {10.1145/871506.871531},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenRK03,
  author       = {Yiran Chen and
                  Kaushik Roy and
                  Cheng{-}Kok Koh},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Integrated architectural/physical planning approach for minimization
                  of current surge in high performance clock-gated microprocessors},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {229--234},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871563},
  doi          = {10.1145/871506.871563},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChenRK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoiC03,
  author       = {Kyu{-}won Choi and
                  Abhijit Chatterjee},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {{UDSM} (ultra-deep sub-micron)-aware post-layout power optimization
                  for ultra low-power {CMOS} {VLSI}},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {72--77},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871527},
  doi          = {10.1145/871506.871527},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChoiC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoiKSC03,
  author       = {Inseok Choi and
                  Hyung Soo Kim and
                  Heonshik Shin and
                  Naehyuck Chang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {{LPBP:} low-power basis profile of the Java 2 Micro Edition},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {36--39},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871519},
  doi          = {10.1145/871506.871519},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChoiKSC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoiLP03,
  author       = {Woo Young Choi and
                  Jong Duk Lee and
                  Byung{-}Gook Park},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Reverse-order source/drain formation with double offset spacer {(RODOS)}
                  for {CMOS} low-power, high-speed and low-noise amplifiers},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {189--192},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871554},
  doi          = {10.1145/871506.871554},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChoiLP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChouPPPL03,
  author       = {Pai H. Chou and
                  Chulsung Park and
                  Jae Park and
                  Kien Pham and
                  Jinfeng Liu},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {B{\#}: a battery emulator and power profiling instrument},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {288--293},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871578},
  doi          = {10.1145/871506.871578},
  timestamp    = {Tue, 11 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChouPPPL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ClarkCW03,
  author       = {Lawrence T. Clark and
                  Byungwoo Choi and
                  Michael W. Wilkerson},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Reducing translation lookaside buffer active power},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {10--13},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871512},
  doi          = {10.1145/871506.871512},
  timestamp    = {Wed, 02 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ClarkCW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CookeMR03,
  author       = {Matthew Cooke and
                  Hamid Mahmoodi{-}Meimand and
                  Kaushik Roy},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy recovery clocking scheme and flip-flops for ultra low-energy
                  applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {54--59},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871524},
  doi          = {10.1145/871506.871524},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/CookeMR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DasJCCB03,
  author       = {Koushik K. Das and
                  Rajiv V. Joshi and
                  Ching{-}Te Chuang and
                  Peter W. Cook and
                  Richard B. Brown},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {New optimal design strategies and analysis of ultra-low leakage circuits
                  for nano-scale {SOI} technology},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {168--171},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871548},
  doi          = {10.1145/871506.871548},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DasJCCB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DavoodiS03,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Effective graph theoretic techniques for the generalized low power
                  binding problem},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {152--157},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871544},
  doi          = {10.1145/871506.871544},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DavoodiS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DavoodiS03a,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Voltage scheduling under unpredictabilities: a risk management paradigm},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {302--305},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871581},
  doi          = {10.1145/871506.871581},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DavoodiS03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DuongNL03,
  author       = {Quoc{-}Hoang Duong and
                  Trung{-}Kien Nguyen and
                  Sang{-}Gug Lee},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Low-voltage low-power high dB-linear {CMOS} exponential function generator
                  using highly-linear {V-I} converter},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {349--352},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871593},
  doi          = {10.1145/871506.871593},
  timestamp    = {Wed, 02 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DuongNL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GaoH03,
  author       = {Feng Gao and
                  John P. Hayes},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {ILP-based optimization of sequential circuits for low power},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {140--145},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871542},
  doi          = {10.1145/871506.871542},
  timestamp    = {Thu, 09 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GaoH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GruianK03,
  author       = {Flavius Gruian and
                  Krzysztof Kuchcinski},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Uncertainty-based scheduling: energy-efficient ordering for tasks
                  with variable execution time},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {465--468},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871621},
  doi          = {10.1145/871506.871621},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GruianK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuckenbergerK03,
  author       = {Drew Guckenberger and
                  Kevin T. Kornegay},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Integrated {DC-DC} converter design for improved {WCDMA} power amplifier
                  efficiency in SiGe BiCMOS technology},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {449--454},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871617},
  doi          = {10.1145/871506.871617},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GuckenbergerK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Heath03,
  author       = {James R. Heath},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A systems approach to molecular electronics},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {359},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871596},
  doi          = {10.1145/871506.871596},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Heath03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HeoBA03,
  author       = {Seongmoo Heo and
                  Kenneth C. Barr and
                  Krste Asanovic},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Reducing power density through activity migration},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {217--222},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871561},
  doi          = {10.1145/871506.871561},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/HeoBA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HeydariZ03,
  author       = {Payam Heydari and
                  Ying Zhang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A novel high frequency, high-efficiency, differential class-E power
                  amplifier in 0.18mum {CMOS}},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {455--458},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871618},
  doi          = {10.1145/871506.871618},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HeydariZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HuNVIK03,
  author       = {Jie S. Hu and
                  A. Nadgir and
                  Narayanan Vijaykrishnan and
                  Mary Jane Irwin and
                  Mahmut T. Kandemir},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Exploiting program hotspots and code sequentiality for instruction
                  cache leakage management},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {402--407},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871606},
  doi          = {10.1145/871506.871606},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HuNVIK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/IshiharaSN03,
  author       = {Fujio Ishihara and
                  Farhana Sheikh and
                  Borivoje Nikolic},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Level conversion for dual-supply systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {164--167},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871547},
  doi          = {10.1145/871506.871547},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/IshiharaSN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JayakumarK03,
  author       = {Nikhil Jayakumar and
                  Sunil P. Khatri},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {An {ASIC} design methodology with predictably low leakage, using leakage-immune
                  standard cells},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {128--133},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871539},
  doi          = {10.1145/871506.871539},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JayakumarK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Kang03,
  author       = {Sung{-}Mo Kang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Elements of low power design for integrated systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {205--210},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871558},
  doi          = {10.1145/871506.871558},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Kang03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KansalS03,
  author       = {Aman Kansal and
                  Mani B. Srivastava},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {An environmental energy harvesting framework for sensor networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {481--486},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871624},
  doi          = {10.1145/871506.871624},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KansalS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KhanWM03,
  author       = {Qadeer Ahmad Khan and
                  Sanjay Kumar Wadhwa and
                  Kulbhushan Misri},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Low power startup circuits for voltage and current reference with
                  zero steady state current},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {184--188},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871553},
  doi          = {10.1145/871506.871553},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KhanWM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimJC03,
  author       = {Keunwoo Kim and
                  Rajiv V. Joshi and
                  Ching{-}Te Chuang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Strained-si devices and circuits for low-power applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {180--183},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871551},
  doi          = {10.1145/871506.871551},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimJC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimKK03,
  author       = {Suhwan Kim and
                  Stephen V. Kosonocky and
                  Daniel R. Knebel},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Understanding and minimizing ground bounce during mode transition
                  of power gating structures},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {22--25},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871515},
  doi          = {10.1145/871506.871515},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimKK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimKM03,
  author       = {Woonseok Kim and
                  Jihong Kim and
                  Sang Lyul Min},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Dynamic voltage scaling algorithm for fixed-priority real-time systems
                  using work-demand analysis},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {396--401},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871605},
  doi          = {10.1145/871506.871605},
  timestamp    = {Thu, 13 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimKM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimKMR03,
  author       = {Chris H. Kim and
                  Jae{-}Joon Kim and
                  Saibal Mukhopadhyay and
                  Kaushik Roy},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A forward body-biased low-leakage {SRAM} cache: device and architecture
                  considerations},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {6--9},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871511},
  doi          = {10.1145/871506.871511},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimKMR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimM03,
  author       = {Nam Sung Kim and
                  Trevor N. Mudge},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {The microarchitecture of a low power register file},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {384--389},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871602},
  doi          = {10.1145/871506.871602},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimPZSTYTTSRW03,
  author       = {Jonghae Kim and
                  Jean{-}Olivier Plouchart and
                  Noah Zamdmer and
                  Melanie Sherony and
                  Yue Tan and
                  Meeyoung Yoon and
                  Robert Trzcinski and
                  Mohamed Talbi and
                  John Safran and
                  Asit Ray and
                  Lawrence F. Wagner},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A power-optimized widely-tunable 5-GHz monolithic {VCO} in a digital
                  {SOI} {CMOS} technology on high resistivity substrate},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {434--439},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871614},
  doi          = {10.1145/871506.871614},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimPZSTYTTSRW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimTMW03,
  author       = {Jason Sungtae Kim and
                  Michael Bedford Taylor and
                  Jason E. Miller and
                  David Wentzlaff},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy characterization of a tiled architecture processor with on-chip
                  networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {424--427},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871610},
  doi          = {10.1145/871506.871610},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimTMW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimVIJ03,
  author       = {Soontae Kim and
                  Narayanan Vijaykrishnan and
                  Mary Jane Irwin and
                  Lizy Kurian John},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {On load latency in low-power caches},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {258--261},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871570},
  doi          = {10.1145/871506.871570},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimVIJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimVKBCI03,
  author       = {Hyun Suk Kim and
                  Narayanan Vijaykrishnan and
                  Mahmut T. Kandemir and
                  Erik Brockmeyer and
                  Francky Catthoor and
                  Mary Jane Irwin},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Estimating influence of data layout optimizations on {SDRAM} energy
                  consumption},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {40--43},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871520},
  doi          = {10.1145/871506.871520},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimVKBCI03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimYLVKIYD03,
  author       = {Eun Jung Kim and
                  Ki Hwan Yum and
                  Greg M. Link and
                  Narayanan Vijaykrishnan and
                  Mahmut T. Kandemir and
                  Mary Jane Irwin and
                  Mazin S. Yousif and
                  Chita R. Das},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy optimization techniques in cluster interconnects},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {459--464},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871620},
  doi          = {10.1145/871506.871620},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimYLVKIYD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KoushanfarDNPS03,
  author       = {Farinaz Koushanfar and
                  Abhijit Davare and
                  Dai Tho Nguyen and
                  Miodrag Potkonjak and
                  Alberto L. Sangiovanni{-}Vincentelli},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Low power coordination in wireless ad-hoc networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {475--480},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871623},
  doi          = {10.1145/871506.871623},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KoushanfarDNPS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KucukPEG03,
  author       = {Gurhan Kucuk and
                  Dmitry Ponomarev and
                  Oguz Ergin and
                  Kanad Ghose},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Reducing reorder buffer complexity through selective operand caching},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {235--240},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871564},
  doi          = {10.1145/871506.871564},
  timestamp    = {Thu, 25 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KucukPEG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Lee03,
  author       = {Ki Won Lee},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Low power requirements for future digital life style},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871508},
  doi          = {10.1145/871506.871508},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Lee03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeB03,
  author       = {Hsien{-}Hsin S. Lee and
                  Chinnakrishnan S. Ballapuram},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy efficient {D-TLB} and data cache using semantic-aware multilateral
                  partitioning},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {306--311},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871583},
  doi          = {10.1145/871506.871583},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeC03,
  author       = {Hyung Gyu Lee and
                  Naehyuck Chang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy-aware memory allocation in heterogeneous non-volatile memory
                  systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {420--423},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871609},
  doi          = {10.1145/871506.871609},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeCD03,
  author       = {Jong{-}eun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy-efficient instruction set synthesis for application-specific
                  processors},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {330--333},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871588},
  doi          = {10.1145/871506.871588},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeCD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeePPK03,
  author       = {Jung{-}Hoon Lee and
                  Gi{-}Ho Park and
                  Sung{-}Bae Park and
                  Shin{-}Dug Kim},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A selective filter-bank {TLB} system},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {312--317},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871584},
  doi          = {10.1145/871506.871584},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeePPK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeSS03,
  author       = {Seok{-}Jun Lee and
                  Naresh R. Shanbhag and
                  Andrew C. Singer},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A low-power {VLSI} architecture for turbo decoding},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {366--371},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871599},
  doi          = {10.1145/871506.871599},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeSS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Leenaerts03,
  author       = {Domine Leenaerts},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Low power {RF} {IC} design for wireless communication},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {428--433},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871612},
  doi          = {10.1145/871506.871612},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Leenaerts03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiJ03,
  author       = {Tao Li and
                  Lizy Kurian John},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Routine based OS-aware microprocessor resource adaptation for run-time
                  operating system power saving},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {241--246},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871565},
  doi          = {10.1145/871506.871565},
  timestamp    = {Tue, 15 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiaoLH03,
  author       = {Weiping Liao and
                  Fei Li and
                  Lei He},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Microarchitecture level power and thermal simulation considering temperature
                  dependent leakage model},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {211--216},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871560},
  doi          = {10.1145/871506.871560},
  timestamp    = {Fri, 22 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiaoLH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LotfiTAS03,
  author       = {Reza Lotfi and
                  Mohammad Taherzadeh{-}Sani and
                  M. Yaser Azizi and
                  Omid Shoaei},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A low-power design methodology for high-resolution pipelined analog-to-digital
                  converters},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {334--339},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871590},
  doi          = {10.1145/871506.871590},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LotfiTAS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MakimotoS03,
  author       = {Tsugio Makimoto and
                  Yoshio Sakai},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Evolution of low power electronics and its future applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {2--5},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871509},
  doi          = {10.1145/871506.871509},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MakimotoS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MemikRM03,
  author       = {Gokhan Memik and
                  Glenn Reinman and
                  William H. Mangione{-}Smith},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Reducing energy and delay using efficient victim caches},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {262--265},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871571},
  doi          = {10.1145/871506.871571},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MemikRM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MenonNM03,
  author       = {Amitabh Menon and
                  S. K. Nandy and
                  Mahesh Mehendale},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Multivoltage scheduling with voltage-partitioned variable storage},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {298--301},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871580},
  doi          = {10.1145/871506.871580},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MenonNM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MiyazakiTONOKY03,
  author       = {Masayuki Miyazaki and
                  Hidetoshi Tanaka and
                  Goichi Ono and
                  Tomohiro Nagano and
                  Norio Ohkubo and
                  Takayuki Kawahara and
                  Kazuo Yano},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Electric-energy generation using variable-capacitive resonator for
                  power-free {LSI:} efficiency analysis and fundamental experiment},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {193--198},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871555},
  doi          = {10.1145/871506.871555},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MiyazakiTONOKY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MolinaAGGT03,
  author       = {Carlos Molina and
                  Carles Aliagas and
                  Montse Garcia and
                  Antonio Gonz{\'{a}}lez and
                  Jordi Tubella},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Non redundant data cache},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {274--277},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871574},
  doi          = {10.1145/871506.871574},
  timestamp    = {Mon, 04 Feb 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MolinaAGGT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Moshovos03,
  author       = {Andreas Moshovos},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Checkpointing alternatives for high performance, power-aware processors},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {318--321},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871585},
  doi          = {10.1145/871506.871585},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Moshovos03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MukhopadhyayR03,
  author       = {Saibal Mukhopadhyay and
                  Kaushik Roy},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Modeling and estimation of total leakage current in nano-scaled {CMOS}
                  devices considering the effect of parameter variation},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {172--175},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871549},
  doi          = {10.1145/871506.871549},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MukhopadhyayR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NatarajanHKMB03,
  author       = {Karthik Natarajan and
                  Heather Hanson and
                  Stephen W. Keckler and
                  Charles R. Moore and
                  Doug Burger},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Microprocessor pipeline energy analysis},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {282--287},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871577},
  doi          = {10.1145/871506.871577},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NatarajanHKMB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NeauR03,
  author       = {Cassondra Neau and
                  Kaushik Roy},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Optimal body bias selection for leakage improvement and process compensation
                  over different technology generations},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {116--121},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871537},
  doi          = {10.1145/871506.871537},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NeauR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NguyenDOCTK03,
  author       = {David Nguyen and
                  Abhijit Davare and
                  Michael Orshansky and
                  David G. Chinnery and
                  Brandon Thompson and
                  Kurt Keutzer},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Minimization of dynamic and static power through joint assignment
                  of threshold voltages and sizing optimization},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {158--163},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871545},
  doi          = {10.1145/871506.871545},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NguyenDOCTK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NicolaescuVN03,
  author       = {Dan Nicolaescu and
                  Alexander V. Veidenbaum and
                  Alexandru Nicolau},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Reducing data cache energy consumption via cached load/store queue},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {252--257},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871569},
  doi          = {10.1145/871506.871569},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NicolaescuVN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/OhK03,
  author       = {Kwang{-}Il Oh and
                  Lee{-}Sup Kim},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A clock delayed sleep mode domino logic for wide dynamic {OR} gate},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {176--179},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871550},
  doi          = {10.1145/871506.871550},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/OhK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkRS03,
  author       = {Sung I. Park and
                  Vijay Raghunathan and
                  Mani B. Srivastava},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy efficiency and fairness tradeoffs in multi-resource, multi-tasking
                  embedded systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {469--474},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871622},
  doi          = {10.1145/871506.871622},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ParkRS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PlouchartKRZTSRW03,
  author       = {Jean{-}Olivier Plouchart and
                  Jonghae Kim and
                  Hector Recoules and
                  Noah Zamdmer and
                  Yue Tan and
                  Melanie Sherony and
                  Asit Ray and
                  Lawrence F. Wagner},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A 0.123 mW 7.25 GHz static frequency divider by 8 in a 120-nm {SOI}
                  technology},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {440--442},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871615},
  doi          = {10.1145/871506.871615},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PlouchartKRZTSRW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PonomarevKEG03,
  author       = {Dmitry Ponomarev and
                  Gurhan Kucuk and
                  Oguz Ergin and
                  Kanad Ghose},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Power efficient comparators for long arguments in superscalar processors},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {378--383},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871601},
  doi          = {10.1145/871506.871601},
  timestamp    = {Thu, 25 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/PonomarevKEG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PotlapallyRRJ03,
  author       = {Nachiketh R. Potlapally and
                  Srivaths Ravi and
                  Anand Raghunathan and
                  Niraj K. Jha},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Analyzing the energy consumption of security protocols},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {30--35},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871518},
  doi          = {10.1145/871506.871518},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PotlapallyRRJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PowellV03,
  author       = {Michael D. Powell and
                  T. N. Vijaykumar},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Pipeline muffling and a priori current ramping: architectural techniques
                  to reduce high-frequency inductive noise},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {223--228},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871562},
  doi          = {10.1145/871506.871562},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PowellV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaoBDB03,
  author       = {Rahul M. Rao and
                  Jeffrey L. Burns and
                  Anirudh Devgan and
                  Richard B. Brown},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Efficient techniques for gate leakage estimation},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {100--103},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871533},
  doi          = {10.1145/871506.871533},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaoBDB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaoSBS03,
  author       = {Rajeev R. Rao and
                  Ashish Srivastava and
                  David T. Blaauw and
                  Dennis Sylvester},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Statistical estimation of leakage current considering inter- and intra-die
                  process variation},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {84--89},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871530},
  doi          = {10.1145/871506.871530},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaoSBS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaoVR03,
  author       = {Ravishankar Rao and
                  Sarma B. K. Vrudhula and
                  Daler N. Rakhmatov},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Analysis of discharge techniques for multiple battery systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {44--47},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871521},
  doi          = {10.1145/871506.871521},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaoVR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RapakaM03,
  author       = {Venkata Syam P. Rapaka and
                  Diana Marculescu},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A mixed-clock issue queue design for globally asynchronous, locally
                  synchronous processor cores},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {372--377},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871600},
  doi          = {10.1145/871506.871600},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RapakaM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RiversAWM03,
  author       = {Jude A. Rivers and
                  Sameh W. Asaad and
                  John{-}David Wellman and
                  Jaime H. Moreno},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Reducing instruction fetch energy with backwards branch control information
                  and buffering},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {322--325},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871586},
  doi          = {10.1145/871506.871586},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RiversAWM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShimadaAS03,
  author       = {Hajime Shimada and
                  Hideki Ando and
                  Toshio Shimada},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Pipeline stage unification: a low-energy consumption technique for
                  future mobile processors},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {326--329},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871587},
  doi          = {10.1145/871506.871587},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ShimadaAS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShinK03,
  author       = {Dongkun Shin and
                  Jihong Kim},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Power-aware scheduling of conditional task graphs in real-time multiprocessor
                  systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {408--413},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871607},
  doi          = {10.1145/871506.871607},
  timestamp    = {Thu, 13 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ShinK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Srivastava03,
  author       = {Ankur Srivastava},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Simultaneous Vt selection and assignment for leakage optimization},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {146--151},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871543},
  doi          = {10.1145/871506.871543},
  timestamp    = {Fri, 19 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Srivastava03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SuLDAN03,
  author       = {Haihua Su and
                  Frank Liu and
                  Anirudh Devgan and
                  Emrah Acar and
                  Sani R. Nassif},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Full chip leakage estimation considering power supply and temperature
                  variations},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {78--83},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871529},
  doi          = {10.1145/871506.871529},
  timestamp    = {Fri, 23 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SuLDAN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TalpesM03,
  author       = {Emil Talpes and
                  Diana Marculescu},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A critical analysis of application-adaptive multiple clock processors},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {278--281},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871576},
  doi          = {10.1145/871506.871576},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/TalpesM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TangND03,
  author       = {Stephen Tang and
                  Siva G. Narendra and
                  Vivek De},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Temperature and process invariant MOS-based reference current generation
                  circuits for sub-1V operation},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {199--204},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871556},
  doi          = {10.1145/871506.871556},
  timestamp    = {Mon, 28 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/TangND03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ValluriJH03,
  author       = {Madhavi Gopal Valluri and
                  Lizy Kurian John and
                  Heather Hanson},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Exploiting compiler-generated schedules for energy savings in high-performance
                  processors},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {414--419},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871608},
  doi          = {10.1145/871506.871608},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ValluriJH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangC03,
  author       = {Alice Wang and
                  Anantha P. Chandrakasan},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy-aware architectures for a real-valued {FFT} implementation},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {360--365},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871598},
  doi          = {10.1145/871506.871598},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/WangC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Weber03,
  author       = {Werner Weber},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Ambient intelligence: industrial research on a visionary concept},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {247--251},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871567},
  doi          = {10.1145/871506.871567},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Weber03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WonKJPCK03,
  author       = {Hyo{-}Sig Won and
                  Kyo{-}Sun Kim and
                  Kwang{-}Ok Jeong and
                  Ki{-}Tae Park and
                  Kyu{-}Myung Choi and
                  Jeong{-}Taek Kong},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {An {MTCMOS} design methodology and its application to mobile computing},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {110--115},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871536},
  doi          = {10.1145/871506.871536},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WonKJPCK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangZ03,
  author       = {Jun Yang and
                  Youtao Zhang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Lightweight set buffer: low power data cache for multimedia application},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {270--273},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871573},
  doi          = {10.1145/871506.871573},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YangZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YavariS03,
  author       = {Mohammad Yavari and
                  Omid Shoaei},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Low-voltage low-power fast-settling {CMOS} operational transconductance
                  amplifiers for switched-capacitor applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {345--348},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871592},
  doi          = {10.1145/871506.871592},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YavariS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YenCCL03,
  author       = {Chih{-}Jen Yen and
                  Mely Chen Chi and
                  Wen{-}Yaw Chung and
                  Shing{-}Hao Lee},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A 0.75-mW analog processor {IC} for wireless biosignal monitor},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {443--448},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871616},
  doi          = {10.1145/871506.871616},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YenCCL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangY03,
  author       = {Youtao Zhang and
                  Jun Yang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Low cost instruction cache designs for tag comparison elimination},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {266--269},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871572},
  doi          = {10.1145/871506.871572},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZieslerKSP03,
  author       = {Conrad H. Ziesler and
                  Joohee Kim and
                  Visvesh S. Sathe and
                  Marios C. Papaefthymiou},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {A 225 MHz resonant clocked {ASIC} chip},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {48--53},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871523},
  doi          = {10.1145/871506.871523},
  timestamp    = {Thu, 28 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZieslerKSP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2003,
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  publisher    = {{ACM}},
  year         = {2003},
  isbn         = {1-58113-682-X},
  timestamp    = {Fri, 30 Apr 2004 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/2003.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics