Search dblp for Publications

export results for "toc:db/conf/issoc/issoc2014.bht:"

 download as .bib file

@inproceedings{DBLP:conf/issoc/AbdallahTAA14,
  author       = {Feriel Ben Abdallah and
                  Chiraz Trabelsi and
                  Rabie Ben Atitallah and
                  Mourad Abed},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Early power-aware Design Space Exploration for embedded systems: {MPEG-2}
                  case study},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972450},
  doi          = {10.1109/ISSOC.2014.6972450},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AbdallahTAA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ArnoldF14,
  author       = {Oliver Arnold and
                  Gerhard P. Fettweis},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Adaptive runtime management of heterogenous MPSoCs: Analysis, acceleration
                  and silicon prototype},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972444},
  doi          = {10.1109/ISSOC.2014.6972444},
  timestamp    = {Wed, 15 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/ArnoldF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ArnoldNF14,
  author       = {Oliver Arnold and
                  Felix Neumaerker and
                  Gerhard P. Fettweis},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {L2{\_}ISA++: Instruction set architecture extensions for 4G and LTE-advanced
                  MPSoCs},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972439},
  doi          = {10.1109/ISSOC.2014.6972439},
  timestamp    = {Wed, 15 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/ArnoldNF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BroichN14,
  author       = {Martin Broich and
                  Tobias G. Noll},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Optimal data path widths for energy- and area-efficient Max-Log-MAP
                  based {LTE} Turbo decoders},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972447},
  doi          = {10.1109/ISSOC.2014.6972447},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BroichN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BucekKLZ14,
  author       = {Jir{\'{\i}} Bucek and
                  Pavel Kubal{\'{\i}}k and
                  R{\'{o}}bert L{\'{o}}rencz and
                  Tom{\'{a}}s Zahradnick{\'{y}}},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {System on chip design of a linear system solver},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972445},
  doi          = {10.1109/ISSOC.2014.6972445},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BucekKLZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CuppiniSMC14,
  author       = {Matteo Cuppini and
                  Eleonora Franchi Scarselli and
                  Claudio Mucci and
                  Roberto Canegallo},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Soft-core eFPGA for Smart Power applications},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972431},
  doi          = {10.1109/ISSOC.2014.6972431},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CuppiniSMC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/DubrovaNCS14,
  author       = {Elena Dubrova and
                  Mats N{\"{a}}slund and
                  Gunnar Carlsson and
                  Ben J. M. Smeets},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Keyed logic {BIST} for Trojan detection in SoC},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972433},
  doi          = {10.1109/ISSOC.2014.6972433},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/DubrovaNCS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GregorekO14,
  author       = {Daniel Gregorek and
                  Alberto Garc{\'{\i}}a Ortiz},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A transaction-level framework for design-space exploration of hardware-enhanced
                  operating systems},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972432},
  doi          = {10.1109/ISSOC.2014.6972432},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/GregorekO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuntherBLA14,
  author       = {Daniel G{\"{u}}nther and
                  Andreas Bytyn and
                  Rainer Leupers and
                  Gerd Ascheid},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Energy-efficiency of floating-point and fixed-point {SIMD} cores for
                  {MIMO} processing systems},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972429},
  doi          = {10.1109/ISSOC.2014.6972429},
  timestamp    = {Thu, 26 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuntherBLA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HamalainenS14,
  author       = {Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Erno Salminen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Gamification of System-on-Chip design},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972441},
  doi          = {10.1109/ISSOC.2014.6972441},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HamalainenS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HonkonenMSH14,
  author       = {Mikko Honkonen and
                  Lauri Matilainen and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {{WOKE:} {A} novel workflow model editor},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972442},
  doi          = {10.1109/ISSOC.2014.6972442},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HonkonenMSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HussainHAN14,
  author       = {Waqar Hussain and
                  Henry Hoffmann and
                  Tapani Ahonen and
                  Jari Nurmi},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Constraint-driven frequency scaling in a Coarse Grain Reconfigurable
                  Array},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972451},
  doi          = {10.1109/ISSOC.2014.6972451},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HussainHAN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JosephP14,
  author       = {Jan Moritz Joseph and
                  Thilo Pionteck},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A cycle-accurate Network-on-Chip simulator with support for abstract
                  task graph modeling},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972440},
  doi          = {10.1109/ISSOC.2014.6972440},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JosephP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KachrisSS14,
  author       = {Christoforos Kachris and
                  Georgios Ch. Sirakoulis and
                  Dimitrios Soudris},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A Reconfigurable MapReduce accelerator for multi-core all-programmable
                  SoCs},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972430},
  doi          = {10.1109/ISSOC.2014.6972430},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/KachrisSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KellyFSACKRJS14,
  author       = {Wayne Kelly and
                  Martin Flasskamp and
                  Gregor Sievers and
                  Johannes Ax and
                  Jianing Chen and
                  Christian Klarhorst and
                  Christoph Ragg and
                  Thorsten Jungeblut and
                  Andrew Sorensen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A communication model and partitioning algorithm for streaming applications
                  for an embedded MPSoC},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972436},
  doi          = {10.1109/ISSOC.2014.6972436},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/KellyFSACKRJS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KornarosHCA14,
  author       = {George Kornaros and
                  Konstantinos Harteros and
                  Ioannis Christoforakis and
                  Maria Astrinaki},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {{I/O} virtualization utilizing an efficient hardware system-level
                  Memory Management Unit},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972448},
  doi          = {10.1109/ISSOC.2014.6972448},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KornarosHCA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LiuHP14,
  author       = {Pei Liu and
                  Ahmed Hemani and
                  Kolin Paul},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A many-core hardware acceleration platform for short read mapping
                  problem using distributed memory interface with 3D-stacked architecture},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972452},
  doi          = {10.1109/ISSOC.2014.6972452},
  timestamp    = {Wed, 04 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LiuHP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MeixnerN14,
  author       = {Michael Meixner and
                  Tobias G. Noll},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Limits of gate-level power estimation considering real delay effects
                  and glitches},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972437},
  doi          = {10.1109/ISSOC.2014.6972437},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MeixnerN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SchonbergerH14,
  author       = {Alex Sch{\"{o}}nberger and
                  Klaus Hofmann},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Fast Memory Region: 3D {DRAM} memory concept evaluated for {JPEG2000}
                  algorithm},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972443},
  doi          = {10.1109/ISSOC.2014.6972443},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SchonbergerH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ShibataTTN14,
  author       = {Yuuki Shibata and
                  Takanori Tsumura and
                  Tomoaki Tsumura and
                  Yasuhiko Nakashima},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {An implementation of Auto-Memoization mechanism on ARM-based superscalar
                  processor},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972435},
  doi          = {10.1109/ISSOC.2014.6972435},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ShibataTTN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/UsamiMKTANKN14,
  author       = {Kimiyoshi Usami and
                  Makoto Miyauchi and
                  Masaru Kudo and
                  Kazumitsu Takagi and
                  Hideharu Amano and
                  Mitaro Namiki and
                  Masaaki Kondo and
                  Hiroshi Nakamura},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Unbalanced buffer tree synthesis to suppress ground bounce for fine-grain
                  power gating},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972438},
  doi          = {10.1109/ISSOC.2014.6972438},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/UsamiMKTANKN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VirtanenMSH14,
  author       = {Janne Virtanen and
                  Lauri Matilainen and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Implementation of Multicore communications {API}},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972434},
  doi          = {10.1109/ISSOC.2014.6972434},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VirtanenMSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WehnerG14,
  author       = {Philipp Wehner and
                  Diana G{\"{o}}hringer},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Parallel and distributed simulation of networked multi-core systems},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972446},
  doi          = {10.1109/ISSOC.2014.6972446},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WehnerG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZamanH14,
  author       = {Anam Zaman and
                  Osman Hasan},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Formal verification of circuit-switched Network on chip (NoC) architectures
                  using {SPIN}},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972449},
  doi          = {10.1109/ISSOC.2014.6972449},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZamanH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2014,
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6961602/proceeding},
  isbn         = {978-1-4799-6890-9},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics