Search dblp for Publications

export results for "toc:db/conf/vts/vts2001.bht:"

 download as .bib file

@inproceedings{DBLP:conf/vts/AbadirDNPV01,
  author       = {Magdy S. Abadir and
                  Scott Davidson and
                  Vijay Nagasamy and
                  Dhiraj K. Pradhan and
                  Prab Varma},
  title        = {{ATPG} for Design Errors-Is It Possible?},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {283--285},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10019},
  doi          = {10.1109/VTS.2001.10019},
  timestamp    = {Tue, 23 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AbadirDNPV01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AbadirZW01,
  author       = {Magdy S. Abadir and
                  Juhong Zhu and
                  Li{-}C. Wang},
  title        = {Analysis of Testing Methodologies for Custom Designs in PowerPCTM
                  Microprocessor},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {252--259},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923447},
  doi          = {10.1109/VTS.2001.923447},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AbadirZW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Al-HarbiG01,
  author       = {Sultan M. Al{-}Harbi and
                  Sandeep K. Gupta},
  title        = {An Efficient Methodology for Generating Optimal and Uniform March
                  Tests},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {231--239},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923444},
  doi          = {10.1109/VTS.2001.923444},
  timestamp    = {Fri, 22 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/Al-HarbiG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AmyeenFPB01,
  author       = {M. Enamul Amyeen and
                  W. Kent Fuchs and
                  Irith Pomeranz and
                  Vamsi Boppana},
  title        = {Fault Equivalence Identification Using Redundancy Information and
                  Static and Dynamic Extraction},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {124--130},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923428},
  doi          = {10.1109/VTS.2001.923428},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AmyeenFPB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AttarhaN01,
  author       = {Amir Attarha and
                  Mehrdad Nourani},
  title        = {Built-In-Chip Testing of Voltage Overshoots in High-Speed SoCs},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {111--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923426},
  doi          = {10.1109/VTS.2001.923426},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AttarhaN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AzaisBBMR01,
  author       = {Florence Aza{\"{\i}}s and
                  Serge Bernard and
                  Yves Bertrand and
                  Xavier Michel and
                  Michel Renovell},
  title        = {A Low-Cost Adaptive Ramp Generator for Analog {BIST} Applications},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {266--271},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923449},
  doi          = {10.1109/VTS.2001.923449},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AzaisBBMR01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BaiD01,
  author       = {Xiaoliang Bai and
                  Sujit Dey},
  title        = {High-level Crosstalk Defect Simulation for System-on-Chip Interconnects},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {169--177},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923435},
  doi          = {10.1109/VTS.2001.923435},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BaiD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BarnettSN01,
  author       = {Thomas S. Barnett and
                  Adit D. Singh and
                  Victor P. Nelson},
  title        = {Burn-In Failures and Local Region Yield: An Integrated Yield-Reliability
                  Model},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {326--332},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923457},
  doi          = {10.1109/VTS.2001.923457},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BarnettSN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Bhavsar01,
  author       = {Dilip K. Bhavsar},
  title        = {Scan Wheel - {A} Technique for Interfacing a High Speed Scan-Path
                  with a Slow Speed Tester},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {94--101},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923424},
  doi          = {10.1109/VTS.2001.923424},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/Bhavsar01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BottomsCKSS01,
  author       = {Bill Bottoms and
                  Jim Chung and
                  Bernd Koenemann and
                  Glenn Shirley and
                  Lisa Spainhower},
  title        = {Guaranteeing Quality throughout the Product Life Cycle: On-Line Test
                  and Repair to the Rescue},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {153--154},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10020},
  doi          = {10.1109/VTS.2001.10020},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BottomsCKSS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BurekDKLMR01,
  author       = {Dwayne Burek and
                  Garen Darbinyan and
                  Rohit Kapur and
                  Maurice Lousberg and
                  Teresa L. McLaurin and
                  Mike Ricchetti},
  title        = {{IP} and Automation to Support {IEEE} {P1500}},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {411--412},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10016},
  doi          = {10.1109/VTS.2001.10016},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BurekDKLMR01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChandraC01,
  author       = {Anshuman Chandra and
                  Krishnendu Chakrabarty},
  title        = {Frequency-Directed Run-Length {(FDR)} Codes with Application to System-on-a-Chip
                  Test Data Compression},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {42--47},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923416},
  doi          = {10.1109/VTS.2001.923416},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChandraC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChangDRSSA01,
  author       = {Henry Chang and
                  Steve Dollens and
                  Gordon W. Roberts and
                  Charles E. Stroud and
                  Mani Soma and
                  Jacob A. Abraham},
  title        = {Analog and Mixed Signal Benchmark Circuit Development: Who Needs Them?},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {415--416},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10001},
  doi          = {10.1109/VTS.2001.10001},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChangDRSSA01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChangGB01,
  author       = {Yi{-}Shing Chang and
                  Sandeep K. Gupta and
                  Melvin A. Breuer},
  title        = {Test Generation for Maximizing Ground Bounce for Internal Circuitry
                  with Reconvergent Fan-out},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {358--367},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923463},
  doi          = {10.1109/VTS.2001.923463},
  timestamp    = {Thu, 21 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/ChangGB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/CharlotMPC01,
  author       = {Beno{\^{\i}}t Charlot and
                  Salvador Mir and
                  Fabien Parrain and
                  Bernard Courtois},
  title        = {Electrically Induced Stimuli For {MEMS} Self-Test},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {210--217},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923441},
  doi          = {10.1109/VTS.2001.923441},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/CharlotMPC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChenMRKK01,
  author       = {John T. Chen and
                  Wojciech Maly and
                  Janusz Rajski and
                  Omar Kebichi and
                  Jitendra Khare},
  title        = {Enabling Embedded Memory Diagnosis via Test Response Compression},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {292--298},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923452},
  doi          = {10.1109/VTS.2001.923452},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChenMRKK01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChenS01,
  author       = {Yue{-}Tsang Chen and
                  Chauchin Su},
  title        = {Test Waveform Shaping in Mixed Signal Test Bus by Pre-Equalization},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {260--265},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923448},
  doi          = {10.1109/VTS.2001.923448},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChenS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChengTW01,
  author       = {Kuo{-}Liang Cheng and
                  Ming{-}Fu Tsai and
                  Cheng{-}Wen Wu},
  title        = {Efficient Neighborhood Pattern-Sensitive Fault Test Algorithms for
                  Semiconductor Memories},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {225--230},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923443},
  doi          = {10.1109/VTS.2001.923443},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChengTW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChouS01,
  author       = {Richard M. Chou and
                  Kewal K. Saluja},
  title        = {Testable Sequential Circuit Design: {A} Partition and Resynthesis
                  Approach},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {62--67},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923419},
  doi          = {10.1109/VTS.2001.923419},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChouS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChungDGNTV01,
  author       = {Jim Chung and
                  N. Derhacobian and
                  Jean Gasiot and
                  Michael Nicolaidis and
                  David Towne and
                  Raoul Velazco},
  title        = {Soft Errors and Tolerance for Soft Errors},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {279--280},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10002},
  doi          = {10.1109/VTS.2001.10002},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChungDGNTV01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/DushinaBG01,
  author       = {Julia Dushina and
                  Mike Benjamin and
                  Daniel Geist},
  title        = {Semi-Formal Test Generation for a Block of Industrial {DSP}},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {131--137},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923429},
  doi          = {10.1109/VTS.2001.923429},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/DushinaBG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/El-MalehKZ01,
  author       = {Aiman El{-}Maleh and
                  Esam Khan and
                  Saif al Zahir},
  title        = {A Geometric-Primitives-Based Compression Scheme for Testing Systems-on-a-Chip},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {54--61},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923418},
  doi          = {10.1109/VTS.2001.923418},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/El-MalehKZ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/GianiSHA01,
  author       = {Ashish Giani and
                  Shuo Sheng and
                  Michael S. Hsiao and
                  Vishwani D. Agrawal},
  title        = {Novel Spectral Methods for Built-In Self-Test in a System-on-a-Chip
                  Environment},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {163--168},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923434},
  doi          = {10.1109/VTS.2001.923434},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/GianiSHA01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/GirardGLPW01,
  author       = {Patrick Girard and
                  Lo{\"{\i}}s Guiller and
                  Christian Landrault and
                  Serge Pravossoudovitch and
                  Hans{-}Joachim Wunderlich},
  title        = {A Modified Clock Scheme for a Low Power {BIST} Test Pattern Generator},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {306--311},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923454},
  doi          = {10.1109/VTS.2001.923454},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/GirardGLPW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/GizdarskiF01,
  author       = {Emil Gizdarski and
                  Hideo Fujiwara},
  title        = {{SPIRIT:} {A} Highly Robust Combinational Test Generation Algorithm},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {346--351},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923461},
  doi          = {10.1109/VTS.2001.923461},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/GizdarskiF01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/GyvezW01,
  author       = {Jos{\'{e}} Pineda de Gyvez and
                  Eric van de Wetering},
  title        = {Average Leakage Current Estimation of {CMOS} Logic Circuits},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {375--379},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923465},
  doi          = {10.1109/VTS.2001.923465},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/GyvezW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/HeraguSKB01,
  author       = {Keerthi Heragu and
                  Manish Sharma and
                  Rahul Kundu and
                  R. D. (Shawn) Blanton},
  title        = {Testing of Dynamic Logic Circuits Based on Charge Sharing},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {396--403},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923468},
  doi          = {10.1109/VTS.2001.923468},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/HeraguSKB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Huang01,
  author       = {Shi{-}Yu Huang},
  title        = {On Improving the Accuracy Of Multiple Defect Diagnosis},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {34--41},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923415},
  doi          = {10.1109/VTS.2001.923415},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/Huang01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/HuangC01,
  author       = {Jiun{-}Lang Huang and
                  Kwang{-}Ting Cheng},
  title        = {An On-Chip Short-Time Interval Measurement Technique for Testing High-Speed
                  Communication Links},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {380--387},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923466},
  doi          = {10.1109/VTS.2001.923466},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/HuangC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/HuangIC01,
  author       = {Jing{-}Reng Huang and
                  Madhu K. Iyer and
                  Kwang{-}Ting Cheng},
  title        = {A Self-Test Methodology for {IP} Cores in Bus-Based Programmable SoCs},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {198--203},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923439},
  doi          = {10.1109/VTS.2001.923439},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/HuangIC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/IyengarC01,
  author       = {Vikram Iyengar and
                  Krishnendu Chakrabarty},
  title        = {Precedence-Based, Preemptive, and Power-Constrained Test Scheduling
                  for System-on-a-Chip},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {368--374},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923464},
  doi          = {10.1109/VTS.2001.923464},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/IyengarC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/JasKT01,
  author       = {Abhijit Jas and
                  C. V. Krishna and
                  Nur A. Touba},
  title        = {Hybrid {BIST} Based on Weighted Pseudo-Random Testing: {A} New Test
                  Resource Partitioning Scheme},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {2--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923409},
  doi          = {10.1109/VTS.2001.923409},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/JasKT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KayM01,
  author       = {Douglas Kay and
                  Samiha Mourad},
  title        = {Compression Technique for Interactive {BIST} Application},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {9--14},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923411},
  doi          = {10.1109/VTS.2001.923411},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/KayM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KhalilW01,
  author       = {Mohammad Athar Khalil and
                  Chin{-}Long Wey},
  title        = {High-Voltage Stress Test Paradigms of Analog {CMOS} ICs for Gate-Oxide
                  Reliability Enhancement},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {333--338},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923458},
  doi          = {10.1109/VTS.2001.923458},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/KhalilW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LaiHC01,
  author       = {Wei{-}Cheng Lai and
                  Jing{-}Reng Huang and
                  Kwang{-}Ting (Tim) Cheng},
  title        = {Embedded-Software-Based Approach to Testing Crosstalk-Induced Faults
                  at On-Chip Buses},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {204--209},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923440},
  doi          = {10.1109/VTS.2001.923440},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LaiHC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LarrabeeC01,
  author       = {Tracy Larrabee and
                  Jon Colbum},
  title        = {Yield Optimization and Its Relation to Test},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {281--282},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10008},
  doi          = {10.1109/VTS.2001.10008},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LarrabeeC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LiM01,
  author       = {Chien{-}Mo James Li and
                  Edward J. McCluskey},
  title        = {Diagnosis of Tunneling Opens},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {22--27},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923413},
  doi          = {10.1109/VTS.2001.923413},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LiM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MakrisPO01,
  author       = {Yiorgos Makris and
                  Vishal Patel and
                  Alex Orailoglu},
  title        = {Efficient Transparency Extraction and Utilization in Hierarchical
                  Test},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {246--251},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923446},
  doi          = {10.1109/VTS.2001.923446},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MakrisPO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MitraM01,
  author       = {Subhasish Mitra and
                  Edward J. McCluskey},
  title        = {Design Diversity for Concurrent Error Detection in Sequential Logic
                  Circuts},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {178--183},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923436},
  doi          = {10.1109/VTS.2001.923436},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MitraM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MitraM01a,
  author       = {Subhasish Mitra and
                  Edward J. McCluskey},
  title        = {Design of Redundant Systems Protected Against Common-Mode Failures},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {190--197},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923438},
  doi          = {10.1109/VTS.2001.923438},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MitraM01a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MohammadS01,
  author       = {Mohammad Gh. Mohammad and
                  Kewal K. Saluja},
  title        = {Flash Memory Disturbances: Modeling and Test},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {218--224},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923442},
  doi          = {10.1109/VTS.2001.923442},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MohammadS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MonteAPSTT01,
  author       = {Ginette Mont{\'{e}} and
                  Bernard Antaki and
                  Serge Patenaude and
                  Yvon Savaria and
                  Claude Thibeault and
                  Pieter M. Trouborst},
  title        = {Tools for the Characterization of Bipolar {CML} Testability},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {388--395},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923467},
  doi          = {10.1109/VTS.2001.923467},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MonteAPSTT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MorozovGCB01,
  author       = {Andrej A. Morosov and
                  Michael G{\"{o}}ssel and
                  Krishnendu Chakrabarty and
                  Bhargab B. Bhattacharya},
  title        = {Design of Parameterizable Error-Propagating Space Compactors for Response
                  Observation},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {48--53},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923417},
  doi          = {10.1109/VTS.2001.923417},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MorozovGCB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/NiggemeyerR01,
  author       = {Dirk Niggemeyer and
                  Elizabeth M. Rudnick},
  title        = {Automatic Generation of Diagnostic March Tests},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {299--305},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923453},
  doi          = {10.1109/VTS.2001.923453},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/NiggemeyerR01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/NummerS01,
  author       = {Muhammad Nummer and
                  Manoj Sachdev},
  title        = {A Methodology for Testing High-Performance Circuits at Arbitrarily
                  Low Test Frequency},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {68--74},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923420},
  doi          = {10.1109/VTS.2001.923420},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/NummerS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ONeillRTVWW01,
  author       = {Pete O'Neill and
                  Ron Richmond and
                  Mike Tripp and
                  Barbara Vasquez and
                  Art Wager and
                  Zeev Weinberg},
  title        = {Reliability Beyond GHz},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {413--414},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10011},
  doi          = {10.1109/VTS.2001.10011},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ONeillRTVWW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/OckunzziP01,
  author       = {Kelly A. Ockunzzi and
                  Christos A. Papachristou},
  title        = {Breaking Correlation to Improve Testability},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {75--81},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923421},
  doi          = {10.1109/VTS.2001.923421},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/OckunzziP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PatelP01,
  author       = {Chintan Patel and
                  Jim Plusquellic},
  title        = {A Process and Technology-Tolerant {IDDQ} Method for {IC} Diagnosis},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {145--152},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923431},
  doi          = {10.1109/VTS.2001.923431},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PatelP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PaulRASHS01,
  author       = {Ivan de Pa{\'{u}}l and
                  M. Rosales and
                  Bartomeu Alorda and
                  Jaume Segura and
                  Charles F. Hawkins and
                  Jerry M. Soden},
  title        = {Defect Oriented Fault Diagnosis for Semiconductor Memories using Charge
                  Analysis: Theory and Experiments},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {286--291},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923451},
  doi          = {10.1109/VTS.2001.923451},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PaulRASHS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PeraliasHRH01,
  author       = {Eduardo J. Peral{\'{\i}}as and
                  Gloria Huertas and
                  Adoraci{\'{o}}n Rueda and
                  Jos{\'{e}} L. Huertas},
  title        = {Self-Testable Pipelined {ADC} with Low Hardware Overhead},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {272--278},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923450},
  doi          = {10.1109/VTS.2001.923450},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PeraliasHRH01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PolianB01,
  author       = {Ilia Polian and
                  Bernd Becker},
  title        = {Multiple Scan Chain Design for Two-Pattern Testing},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {88--93},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923423},
  doi          = {10.1109/VTS.2001.923423},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PolianB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PomeranzR01,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {On the Use of Fault Dominance in n-Detection Test Generation},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {352--357},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923462},
  doi          = {10.1109/VTS.2001.923462},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PomeranzR01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PsarakisPKGZ01,
  author       = {Mihalis Psarakis and
                  Antonis M. Paschalis and
                  Nektarios Kranitis and
                  Dimitris Gizopoulos and
                  Yervant Zorian},
  title        = {Robust and Low-Cost {BIST} Architectures for Sequential Fault Testing
                  in Datapath Multipliers},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {15--21},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923412},
  doi          = {10.1109/VTS.2001.923412},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PsarakisPKGZ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Rodgers01,
  author       = {Mike Rodgers},
  title        = {{ITRS} Test Chapter 2001: We'll Tell You What We're Doing, You Tell
                  Us What We Should Be Doing},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {155--157},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10000},
  doi          = {10.1109/VTS.2001.10000},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/Rodgers01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SankaralingamTP01,
  author       = {Ranganathan Sankaralingam and
                  Nur A. Touba and
                  Bahram Pouya},
  title        = {Reducing Power Dissipation during Test Using Scan Chain Disable},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {319--325},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923456},
  doi          = {10.1109/VTS.2001.923456},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SankaralingamTP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SchueleS01,
  author       = {Tobias Sch{\"{u}}le and
                  Albrecht P. Stroele},
  title        = {Test Scheduling for Minimal Energy Consumption under Power Constraints},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {312--318},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923455},
  doi          = {10.1109/VTS.2001.923455},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SchueleS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SinanogluO01,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {RT-level Fault Simulation Based on Symbolic Propagation},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {240--245},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923445},
  doi          = {10.1109/VTS.2001.923445},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SinanogluO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SogomonyanMRGS01,
  author       = {Egor S. Sogomonyan and
                  Andrej A. Morosov and
                  Jan Rzeha and
                  Michael G{\"{o}}ssel and
                  Adit D. Singh},
  title        = {Early Error Detection in Systems-on-Chip for Fault-Tolerance and At-Speed
                  Debugging},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {184--189},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923437},
  doi          = {10.1109/VTS.2001.923437},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SogomonyanMRGS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SunV01,
  author       = {Xiaoyun Sun and
                  Bapiraju Vinnakota},
  title        = {Current Measurement for Dynamic Idd Test},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {117--123},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923427},
  doi          = {10.1109/VTS.2001.923427},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SunV01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/TanL01,
  author       = {Tek Jau Tan and
                  Chung{-}Len Lee},
  title        = {Socillator Test: {A} Delay Test Scheme for Embedded ICs in the Boundary-Scan
                  Environment},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {158--162},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923433},
  doi          = {10.1109/VTS.2001.923433},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/TanL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/TekumallaVG01,
  author       = {Ramesh C. Tekumalla and
                  Srikanth Venkataraman and
                  Jayabrata Ghosh{-}Dastidar},
  title        = {On Diagnosing Path Delay Faults in an At-Speed Environment},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {28--33},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923414},
  doi          = {10.1109/VTS.2001.923414},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/TekumallaVG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/TsengCMN01,
  author       = {Chao{-}Wen Tseng and
                  Ray Chen and
                  Edward J. McCluskey and
                  Phil Nigh},
  title        = {{MINVDD} Testing for Weak {CMOS} ICs},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {339--345},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923459},
  doi          = {10.1109/VTS.2001.923459},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/TsengCMN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/TsengMMD01,
  author       = {Chao{-}Wen Tseng and
                  Subhasish Mitra and
                  Edward J. McCluskey and
                  Scott Davidson},
  title        = {An Evaluation of Pseudo Random Testing for Detecting Real Defects},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {404--410},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923469},
  doi          = {10.1109/VTS.2001.923469},
  timestamp    = {Sat, 16 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/TsengMMD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/XiangX01,
  author       = {Dong Xiang and
                  Yi Xu},
  title        = {Partial Reset for Synchronous Sequential Circuits Using Almost Independent
                  Reset Signals},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {82--87},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923422},
  doi          = {10.1109/VTS.2001.923422},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/XiangX01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/YamaguchiISHRN01,
  author       = {Takahiro J. Yamaguchi and
                  Masahiro Ishida and
                  Mani Soma and
                  David Halter and
                  Rajesh Raina and
                  Jim Nissen},
  title        = {A Method for Measuring the Cycle-to-Cycle Period Jitter of High-Frequency
                  Clock Signals},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {102--110},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923425},
  doi          = {10.1109/VTS.2001.923425},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/YamaguchiISHRN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ZentenoC01,
  author       = {Antonio Zenteno and
                  V{\'{\i}}ctor H. Champac},
  title        = {Resistive Opens in a Class of {CMOS} Latches: Analysis and {DFT}},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {138--144},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923430},
  doi          = {10.1109/VTS.2001.923430},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ZentenoC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/vts/2001,
  title        = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7354/proceeding},
  isbn         = {0-7695-1122-8},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/2001.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics