default search action
Search dblp for Publications
export results for "Min-Hung Chen"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/ChenCZZPLL24, author = {Pi{-}Yun Chen and Yu{-}Cheng Cheng and Zi{-}Heng Zhong and Feng{-}Zhou Zhang and Neng{-}Sheng Pai and Chien{-}Ming Li and Chia{-}Hung Lin}, title = {Information Security and Artificial Intelligence-Assisted Diagnosis in an Internet of Medical Thing System (IoMTS)}, journal = {{IEEE} Access}, volume = {12}, pages = {9757--9775}, year = {2024} }
@article{DBLP:journals/access/HungCY24, author = {Min{-}Chih Hung and An{-}Pin Chen and Wan{-}Ting Yu}, title = {AI-Driven Intraday Trading: Applying Machine Learning and Market Activity for Enhanced Decision Support in Financial Markets}, journal = {{IEEE} Access}, volume = {12}, pages = {12953--12962}, year = {2024} }
@article{DBLP:journals/access/LinCCXZC24, author = {Yi{-}Fang Lin and Chin{-}Cheng Chang and Chien{-}Hung Chen and Jia{-}You Xie and Yong{-}Xiang Zhuang and Hua{-}Ming Chen}, title = {Dual-Polarized Spline-Loop Antenna Array With Tilt Angle Radiation for 5G Base Stations}, journal = {{IEEE} Access}, volume = {12}, pages = {138280--138290}, year = {2024} }
@article{DBLP:journals/algorithms/ChenYWYCYL24, author = {Ivane Delos Santos Chen and Chieh{-}Ming Yang and Shang{-}Shu Wu and Chih{-}Kang Yang and Mei{-}Juan Chen and Chia{-}Hung Yeh and Yuan{-}Hong Lin}, title = {Continuous Recognition of Teachers' Hand Signals for Students with Attention Deficits}, journal = {Algorithms}, volume = {17}, number = {7}, pages = {300}, year = {2024} }
@article{DBLP:journals/asc/ChangCML24, author = {Jia{-}Wei Chang and Ming{-}Hung Chen and Hao{-}Shang Ma and Hao{-}Lan Liu}, title = {Human movement science-informed multi-task spatio temporal graph convolutional networks for fitness action recognition and evaluation}, journal = {Appl. Soft Comput.}, volume = {164}, pages = {111963}, year = {2024} }
@article{DBLP:journals/axioms/LoHCYY24, author = {Wei Lo and Tsun{-}Hung Huang and Kuen{-}Suan Chen and Chun{-}Min Yu and Chun{-}Ming Yang}, title = {Fuzzy Testing Model Built on Confidence Interval of Process Capability Index {CPMK}}, journal = {Axioms}, volume = {13}, number = {6}, pages = {379}, year = {2024} }
@article{DBLP:journals/biodatamining/ChungCHZCC24, author = {Chih{-}Wei Chung and Seng{-}Cho Chou and Tzu{-}Hung Hsiao and Grace Joyce Zhang and Yu{-}Fang Chung and Yi{-}Ming Chen}, title = {Machine learning approaches to identify systemic lupus erythematosus in anti-nuclear antibody-positive patients using genomic data and electronic health records}, journal = {BioData Min.}, volume = {17}, number = {1}, year = {2024} }
@article{DBLP:journals/bspc/HuangWLCYGLYQLHCP24, author = {Yueyue Huang and Minmin Wu and Ding Li and Zhiqiang Chen and Xueshu Yu and Yifan Gao and Xiaojuan Lai and Lianmin Ye and Shichao Quan and Yingru Lu and Ali Asghar Heidari and Huiling Chen and Jingye Pan}, title = {Advancing gene feature selection: Comprehensive learning modified hunger games search for high-dimensional data}, journal = {Biomed. Signal Process. Control.}, volume = {87}, number = {Part {A}}, pages = {105423}, year = {2024} }
@article{DBLP:journals/bspc/LiaoLLCHPJ24, author = {Fuyuan Liao and Yameng Li and Shaojun Lyu and Panpan Chen and Isabella Yu{-}Ju Hung and Suguna Pappu and Yih{-}Kuen Jan}, title = {Using wavelet phase coherence of heart rate variability and blood flow oscillations to compare mechanisms of action between Tai Chi mind-body exercise and brisk walking aerobic exercise}, journal = {Biomed. Signal Process. Control.}, volume = {95}, pages = {106385}, year = {2024} }
@article{DBLP:journals/ce/WenLLCCLHB24, author = {Cai{-}Ting Wen and Chen{-}Chung Liu and Ching{-}Yuan Li and Ming{-}Hua Chang and Shih{-}Hsun Fan Chiang and Hung{-}Ming Lin and Fu{-}Kwun Hwang and Gautam Biswas}, title = {The learning analytics of computational scientific modeling with self-explanation for subgoals and demonstration scaffolding}, journal = {Comput. Educ.}, volume = {215}, pages = {105043}, year = {2024} }
@article{DBLP:journals/cmpb/ChienYCCLHYLYLWHCC24, author = {Shuo{-}Chen Chien and Chia{-}Ming Yen and Yu{-}Hung Chang and Ying{-}Erh Chen and Chia{-}Chun Liu and Yu{-}Ping Hsiao and Ping{-}Yen Yang and Hong{-}Ming Lin and Tsung{-}En Yang and Xing{-}Hua Lu and I{-}Chien Wu and Chih{-}Cheng Hsu and Hung{-}Yi Chiou and Ren{-}Hua Chung}, title = {Using large language model {(LLM)} to identify high-burden informal caregivers in long-term care}, journal = {Comput. Methods Programs Biomed.}, volume = {255}, pages = {108329}, year = {2024} }
@article{DBLP:journals/iam/LiLH24, author = {Yung{-}Ming Li and Lien{-}Fa Lin and Min{-}Cheng Hung}, title = {A collective portfolio selection approach for investment clubs}, journal = {Inf. Manag.}, volume = {61}, number = {2}, pages = {103909}, year = {2024} }
@article{DBLP:journals/icl/ChianCCWWWWC24, author = {De{-}Ming Chian and Feng{-}Ji Chen and Yu{-}Chen Chang and Chao{-}Kai Wen and Chi{-}Hung Wu and Fu{-}Kang Wang and Kai{-}Kit Wong and Chan{-}Byoung Chae}, title = {Active RIS-Assisted {MIMO-OFDM} System: Analyses and Prototype Measurements}, journal = {{IEEE} Commun. Lett.}, volume = {28}, number = {1}, pages = {208--212}, year = {2024} }
@article{DBLP:journals/ijfs/TsaiCCHC24, author = {Ching{-}Chih Tsai and Hsing{-}Yi Chen and Chun{-}Chieh Chan and Chi{-}Chih Hung and Guan{-}Ming Chen}, title = {Intelligent Actor-Critic Learning Control for Collison-Free Trajectory Tracking of Mecanum-Wheeled Mobile Robots}, journal = {Int. J. Fuzzy Syst.}, volume = {26}, number = {4}, pages = {1133--1142}, year = {2024} }
@article{DBLP:journals/information/ChenYYC24, author = {Kuen{-}Suan Chen and Chun{-}Min Yu and Chun{-}Hung Yu and Yen{-}Po Chen}, title = {Performance Management Decision-Making Model: Case Study on Foreign Language Learning Curriculums}, journal = {Inf.}, volume = {15}, number = {8}, pages = {481}, year = {2024} }
@article{DBLP:journals/jaihc/ChenSCYFC24, author = {Yeh{-}Cheng Chen and Hung{-}Min Sun and Ruey{-}Shun Chen and Jyh{-}haw Yeh and Xiaopeng Fan and I{-}Hsin Chou}, title = {Intelligent radioactive waste process cloud-based system for nuclear power plant decommissioning}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {15}, number = {3}, pages = {1801--1811}, year = {2024} }
@article{DBLP:journals/jcc/WangYCHLHFCC24, author = {Shuai Wang and ChiYung Yam and Shuguang Chen and LiHong Hu and Liping Li and Faan{-}Fung Hung and Jiaqi Fan and Chi{-}Ming Che and Guanhua Chen}, title = {Predictions of photophysical properties of phosphorescent platinum(II) complexes based on ensemble machine learning approach}, journal = {J. Comput. Chem.}, volume = {45}, number = {6}, pages = {321--330}, year = {2024} }
@article{DBLP:journals/jcheminf/HuangLHLT24, author = {Wei{-}Cheng Huang and Wei{-}Ting Lin and Ming{-}Shiu Hung and Jinq{-}Chyi Lee and Chun{-}Wei Tung}, title = {Decrypting orphan {GPCR} drug discovery via multitask learning}, journal = {J. Cheminformatics}, volume = {16}, number = {1}, pages = {10}, year = {2024} }
@article{DBLP:journals/jise/ChenOHWSH24, author = {Lieu{-}Hen Chen and Wei{-}Chek Ong{-}Lim and Wei{-}Ting Huang and Hsiao{-}Kuang Wu and Eri Shimokawara and Hao{-}Ming Hung}, title = {Deep Recognition of Facial Expressions in Movies}, journal = {J. Inf. Sci. Eng.}, volume = {40}, number = {3}, pages = {661--675}, year = {2024} }
@article{DBLP:journals/jise/ChiouTYLSGCWC24, author = {Chien{-}Yu Chiou and Hung{-}Wen Tsai and Wei{-}Jong Yang and Chih{-}Hsien Lee and Ming Ting Sun and Maria Gabrani and Kuo{-}Sheng Cheng and Meng{-}Ling Wu and Pau{-}Choo Chung}, title = {{ACC-GAN:} Cross Scanner Robustness With Annotation Consistency Guided Cycle-GAN}, journal = {J. Inf. Sci. Eng.}, volume = {40}, number = {3}, pages = {455--474}, year = {2024} }
@article{DBLP:journals/ml/FuhWP24, author = {Cheng{-}Der Fuh and Chuan{-}Ju Wang and Chen{-}Hung Pai}, title = {Markov chain importance sampling for minibatches}, journal = {Mach. Learn.}, volume = {113}, number = {2}, pages = {789--814}, year = {2024} }
@article{DBLP:journals/ojcs/HungCTC24, author = {Cheng{-}Feng Hung and You{-}Run Chen and Chi{-}heng Tseng and Shin{-}Ming Cheng}, title = {Security Threats to xApps Access Control and {E2} Interface in {O-RAN}}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {5}, pages = {1197--1203}, year = {2024} }
@article{DBLP:journals/qre/WangS24, author = {To{-}Cheng Wang and Ming{-}Hung Shu}, title = {A modified reliability acceptance sampling plan with warranty return rate consideration under Weibull-distributed accelerated lifetime data}, journal = {Qual. Reliab. Eng. Int.}, volume = {40}, number = {4}, pages = {1780--1794}, year = {2024} }
@article{DBLP:journals/scientometrics/LinCCH24, author = {Ting{-}Yu Lin and Hao{-}Chien Cheng and Li{-}Fu Cheng and Tsung{-}Min Hung}, title = {Registered report adoption in academic journals: assessing rates in different research domains}, journal = {Scientometrics}, volume = {129}, number = {4}, pages = {2123--2130}, year = {2024} }
@article{DBLP:journals/sensors/ChiuCLHL24, author = {Wei{-}Chih Chiu and Wei{-}Ling Chen and Yi{-}Ting Lai and Yu{-}Han Hung and Chun{-}Min Lo}, title = {Cell-Electrode Models for Impedance Analysis of Epithelial and Endothelial Monolayers Cultured on Microelectrodes}, journal = {Sensors}, volume = {24}, number = {13}, pages = {4214}, year = {2024} }
@article{DBLP:journals/sensors/ShihYWS24, author = {Dong{-}Her Shih and Cheng{-}Yu Yang and Ting{-}Wei Wu and Ming{-}Hung Shih}, title = {Investigating a Machine Learning Approach to Predicting White Pixel Defects in Wafers - {A} Case Study of Wafer Fabrication Plant {F}}, journal = {Sensors}, volume = {24}, number = {10}, pages = {3144}, year = {2024} }
@article{DBLP:journals/sensors/YaoPCHLMP24, author = {Cheng{-}Kai Yao and Chun{-}Hsiang Peng and Hung{-}Ming Chen and Wen{-}Yang Hsu and Tzu{-}Chiao Lin and Yibeltal Chanie Manie and Peng{-}Chun Peng}, title = {One Raman {DTS} Interrogator Channel Supports a Dual Separate Path to Realize Spatial Duplexing}, journal = {Sensors}, volume = {24}, number = {16}, pages = {5277}, year = {2024} }
@article{DBLP:journals/sensors/YehYHLKML24, author = {Ming{-}Chia Yeh and Wen{-}Wen Yang and Yu{-}Hsuan Hung and Ya{-}Chen Liu and Jung{-}Tang Kung and Hsi{-}Pin Ma and Chiang Liu}, title = {Using a Sensor-Embedded Baseball to Identify Finger Characteristics Related to Spin Rate and Pitching Velocity in Pitchers}, journal = {Sensors}, volume = {24}, number = {11}, pages = {3523}, year = {2024} }
@article{DBLP:journals/tamd/SunGCC24, author = {Chi{-}Chia Sun and Jing{-}Ming Guo and Chen{-}Hung Chung and Bo{-}Yu Chen}, title = {Attention Mechanism and Out-of-Distribution Data on Cross Language Image Matching for Weakly Supervised Semantic Segmentation}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {16}, number = {4}, pages = {1604--1610}, year = {2024} }
@article{DBLP:journals/tbcas/WuHCLHK24, author = {Chung{-}Yu Wu and Chi{-}Wei Huang and Yu{-}Wei Chen and Chin{-}Kai Lai and Chung{-}Chih Hung and Ming{-}Dou Ker}, title = {Design of {CMOS} Analog Front-End Local-Field Potential Chopper Amplifier With Stimulation Artifact Tolerance for Real-Time Closed-Loop Deep Brain Stimulation SoC Applications}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {18}, number = {3}, pages = {539--551}, year = {2024} }
@article{DBLP:journals/tcbb/HuHCLPCT24, author = {Zhao{-}Qi Hu and Yuan{-}Mao Hung and Li{-}Han Chen and Liang{-}Chuan Lai and Min{-}Hsiung Pan and Eric Y. Chuang and Mong{-}Hsun Tsai}, title = {{NURECON:} {A} Novel Online System for Determining Nutrition Requirements Based on Microbial Composition}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {21}, number = {2}, pages = {254--264}, year = {2024} }
@article{DBLP:journals/tim/LinHCL24, author = {Ming{-}Yi Lin and Sheng{-}Hsien Hsieh and Ching{-}Han Chen and Chun{-}Hung Lin}, title = {High-Performance Chip Design With Parallel Architecture for Magnetic Field Imaging System}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--13}, year = {2024} }
@article{DBLP:journals/twc/ChenLLYL24, author = {Kuan{-}Fu Chen and Ming{-}Chun Lee and Chia{-}Hung Lin and Wan{-}Chi Yeh and Ta{-}Sung Lee}, title = {Multi-Fault and Severity Diagnosis for Self-Organizing Networks Using Deep Supervised Learning and Unsupervised Transfer Learning}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {23}, number = {1}, pages = {141--157}, year = {2024} }
@article{DBLP:journals/uais/TsaiLCLHL24, author = {Chia{-}Wen Tsai and Lan{-}Yu Lee and Yih{-}Ping Cheng and Chih{-}Hsien Lin and Min{-}Ling Hung and Jian{-}Wei Lin}, title = {Integrating online meta-cognitive learning strategy and team regulation to develop students' programming skills, academic motivation, and refusal self-efficacy of Internet use in a cloud classroom}, journal = {Univers. Access Inf. Soc.}, volume = {23}, number = {1}, pages = {395--410}, year = {2024} }
@inproceedings{DBLP:conf/aaai/ChenTM24, author = {Hongyang Chen and Hung{-}Shuo Tai and Kaisheng Ma}, title = {Guiding a Harsh-Environments Robust Detector via {RAW} Data Characteristic Mining}, booktitle = {{AAAI}}, pages = {1063--1071}, publisher = {{AAAI} Press}, year = {2024} }
@inproceedings{DBLP:conf/aaai/WangHCCSL24, author = {Yu{-}Hsiang Wang and Jun{-}Wei Hsieh and Ping{-}Yang Chen and Ming{-}Ching Chang and Hung{-}Hin So and Xin Li}, title = {SMILEtrack: SiMIlarity LEarning for Occlusion-Aware Multiple Object Tracking}, booktitle = {{AAAI}}, pages = {5740--5748}, publisher = {{AAAI} Press}, year = {2024} }
@inproceedings{DBLP:conf/aaim/ChenHK24, author = {Li{-}Hsuan Chen and Ling{-}Ju Hung and Ralf Klasing}, title = {Improved Approximation Algorithms for Patrol-Scheduling with Min-Max Latency Using Multiclass Minimum Spanning Forests}, booktitle = {{AAIM} {(2)}}, series = {Lecture Notes in Computer Science}, volume = {15180}, pages = {99--110}, publisher = {Springer}, year = {2024} }
@inproceedings{DBLP:conf/acl/AroraPCHSJDCSLL24, author = {Siddhant Arora and Ankita Pasad and Chung{-}Ming Chien and Jionghao Han and Roshan S. Sharma and Jee{-}weon Jung and Hira Dhamyal and William Chen and Suwon Shon and Hung{-}yi Lee and Karen Livescu and Shinji Watanabe}, title = {On the Evaluation of Speech Foundation Models for Spoken Language Understanding}, booktitle = {{ACL} (Findings)}, pages = {11923--11938}, publisher = {Association for Computational Linguistics}, year = {2024} }
@inproceedings{DBLP:conf/acl/HuangLHCLHTL24, author = {Shih{-}Cheng Huang and Pin{-}Zu Li and Yu{-}Chi Hsu and Kuang{-}Ming Chen and Yu{-}Tung Lin and Shih{-}Kai Hsiao and Richard Tzong{-}Han Tsai and Hung{-}yi Lee}, title = {Chat Vector: {A} Simple Approach to Equip LLMs with Instruction Following and Model Alignment in New Languages}, booktitle = {{ACL} {(1)}}, pages = {10943--10959}, publisher = {Association for Computational Linguistics}, year = {2024} }
@inproceedings{DBLP:conf/aicas/LinLHC24, author = {Cheng{-}Chen Lin and Wei Lu and Po{-}Tsang Huang and Hung{-}Ming Chen}, title = {A 28nm 343.5fps/W Vision Transformer Accelerator with Integer-Only Quantized Attention Block}, booktitle = {{AICAS}}, pages = {80--84}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/avss/HsuCYC24, author = {Hung{-}Min Hsu and Zhongwei Cheng and Xinyu Yuan and Lin Chen}, title = {2D-to-3D Mutual Iterative Optimization for 3D Multi-camera Multiple People Tracking}, booktitle = {{AVSS}}, pages = {1--7}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/chi/LinLHCCYBCJLHY24, author = {Hung{-}Wen Lin and Chun{-}Huang Lin and Chi{-}Hung Huang and Hsin{-}Ai Chen and Hsiang{-}Chih Chiu and Tina Chien{-}Wen Yuan and Nanyi Bi and Su{-}Yi Chao and Zih{-}Yun Jheng and Chun Lin and Ming{-}Chyi Huang and Chuang{-}Wen You}, title = {Navigating the Design Implications for Integrating Virtual-Reality Cues Clinically in Drug Psychotherapy}, booktitle = {{CHI} Extended Abstracts}, pages = {250:1--250:6}, publisher = {{ACM}}, year = {2024} }
@inproceedings{DBLP:conf/compsac/MoiLCHTC24, author = {Sin{-}Hua Moi and Yu{-}Da Lin and Yi{-}Ling Chen and Chao{-}Ming Hung and Shin{-}Jiun Tsai and Wei{-}Hong Cheng}, title = {Driver Gene Expression Clustering Model for Prognostic Risk Estimation Using Cancer Genomic Data}, booktitle = {{COMPSAC}}, pages = {1576--1577}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/cvpr/UmamYCCL24, author = {Ardian Umam and Cheng{-}Kun Yang and Min{-}Hung Chen and Jen{-}Hui Chuang and Yen{-}Yu Lin}, title = {PartDistill: 3D Shape Part Segmentation by Vision-Language Model Distillation}, booktitle = {{CVPR}}, pages = {3470--3479}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/cvpr/WuCCC0CHCL24, author = {Ji{-}Jia Wu and Andy Chia{-}Hao Chang and Chieh{-}Yu Chuang and Chun{-}Pei Chen and Yu{-}Lun Liu and Min{-}Hung Chen and Hou{-}Ning Hu and Yung{-}Yu Chuang and Yen{-}Yu Lin}, title = {Image-Text Co-Decomposition for Text-Supervised Semantic Segmentation}, booktitle = {{CVPR}}, pages = {26784--26793}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/date/TiCYCL24, author = {Shang{-}Hung Ti and Tseng{-}Yi Chen and Tsung Tai Yeh and Shuo{-}Han Chen and Yu{-}Pei Liang}, title = {{OC-DLRM:} Minimizing the {I/O} Traffic of {DLRM} Between Main Memory and {OCSSD}}, booktitle = {{DATE}}, pages = {1--2}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/hpca/TsengCY24, author = {Shih{-}Hung Tseng and Tseng{-}Yi Chen and Ming{-}Chang Yang}, title = {Are Superpages Super-fast? Distilling Flash Blocks to Unify Flash Pages of a Superpage in an {SSD}}, booktitle = {{HPCA}}, pages = {630--642}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/iccae/ChiuALH24, author = {Chui{-}Hong Chiu and Lia Astuti and Yu{-}Chen Lin and Ming{-}Ku Hung}, title = {Dual-Attention Mechanism for Monocular Depth Estimation}, booktitle = {{ICCAE}}, pages = {456--460}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/iccel/ChouHC24, author = {Ying{-}Nien Chou and Lien{-}Chiang Hung and Ming{-}Che Chen}, title = {A Stretchable Conductive Fiber for Wearable Strain Sensor Application}, booktitle = {{ICCE}}, pages = {1--2}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/iccel/YangWCLWC24, author = {Chang{-}Ming Yang and Shu{-}Cing Wu and Shih{-}Hung Chen and Kuo{-}Cheng Liao and Ze{-}We Wu and Chi{-}Chun Chen}, title = {A smart clothing sensing system for long-term {ECG} Monitoring}, booktitle = {{ICCE}}, pages = {1--3}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/iccel/YangWCWLC24, author = {Chang{-}Ming Yang and Shu{-}Cing Wu and Shih{-}Hung Chen and Ze{-}We Wu and Kuo{-}Cheng Liao and Chi{-}Chun Chen}, title = {Using textile capacitive sensors to train synchronized movement of hands}, booktitle = {{ICCE}}, pages = {1--3}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/iccel/YangWKLWCC24, author = {Chang{-}Ming Yang and Shu{-}Cing Wu and Rally Kao and Kuo{-}Cheng Liao and Ze{-}We Wu and Shih{-}Hung Chen and Chi{-}Chun Chen}, title = {10-point connector formed by two snap buttons}, booktitle = {{ICCE}}, pages = {1--4}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/iccel/YangWLWCC24, author = {Chang{-}Ming Yang and Shu{-}Cing Wu and Kuo{-}Cheng Liao and Ze{-}We Wu and Shih{-}Hung Chen and Chi{-}Chun Chen}, title = {A multi-point capacitive sensing smart clothing applied to posture detection}, booktitle = {{ICCE}}, pages = {1--3}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/iccel/YangWWLCC24, author = {Chang{-}Ming Yang and Shu{-}Cing Wu and Ze{-}We Wu and Kuo{-}Cheng Liao and Shih{-}Hung Chen and Chi{-}Chun Chen}, title = {A smart capacitive sensing garment can detect respiratory signals in various situations}, booktitle = {{ICCE}}, pages = {1--3}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/iclr/ChenSZ0YCYLHQQC24, author = {Weize Chen and Yusheng Su and Jingwei Zuo and Cheng Yang and Chenfei Yuan and Chi{-}Min Chan and Heyang Yu and Yaxi Lu and Yi{-}Hsin Hung and Chen Qian and Yujia Qin and Xin Cong and Ruobing Xie and Zhiyuan Liu and Maosong Sun and Jie Zhou}, title = {AgentVerse: Facilitating Multi-Agent Collaboration and Exploring Emergent Behaviors}, booktitle = {{ICLR}}, publisher = {OpenReview.net}, year = {2024} }
@inproceedings{DBLP:conf/icmcs/HsuCYC24, author = {Hung{-}Min Hsu and Zhongwei Cheng and Xinyu Yuan and Lin Chen}, title = {Learning to Learn Multiview Detection by Camera-Aware Attention}, booktitle = {{ICME} Workshops}, pages = {1--4}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/icmhi/ChengCLFCL24, author = {Shin{-}Ming Cheng and Bo{-}Han Chang Chien and Wei{-}Hung Lin and Chin{-}Chi Fan and Chia{-}Yi Chen and Chen{-}Chien Liao}, title = {A Highly Scalable Automated Universal Binary Malware Analysis Framework for Healthcare and Medical SaaS}, booktitle = {{ICMHI}}, pages = {102--107}, publisher = {{ACM}}, year = {2024} }
@inproceedings{DBLP:conf/icml/LiuWY0WCC24, author = {Shih{-}Yang Liu and Chien{-}Yi Wang and Hongxu Yin and Pavlo Molchanov and Yu{-}Chiang Frank Wang and Kwang{-}Ting Cheng and Min{-}Hung Chen}, title = {DoRA: Weight-Decomposed Low-Rank Adaptation}, booktitle = {{ICML}}, publisher = {OpenReview.net}, year = {2024} }
@inproceedings{DBLP:conf/icoin/HuangHHZYLC24, author = {Chih{-}Ming Huang and Chuan{-}Sheng Hung and Yao{-}Yuan Hsu and You{-}Cheng Zheng and Cheng{-}Han Yu and Chun{-}Hung Richard Lin and Shi{-}Huang Chen}, title = {A K-means Clustering Based Under-Sampling Method for Imbalanced Dataset Classification}, booktitle = {{ICOIN}}, pages = {708--713}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/icra/ChiuWCS24, author = {Hsu{-}Kuang Chiu and Chien{-}Yi Wang and Min{-}Hung Chen and Stephen F. Smith}, title = {Probabilistic 3D Multi-Object Cooperative Tracking for Autonomous Driving via Differentiable Multi-Sensor Kalman Filter}, booktitle = {{ICRA}}, pages = {18458--18464}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/icra/JingYCZSGCLWDLS24, author = {Longlong Jing and Ruichi Yu and Xu Chen and Zhengli Zhao and Shiwei Sheng and Colin Graber and Qi Chen and Qinru Li and Shangxuan Wu and Han Deng and Sangjin Lee and Chris Sweeney and Qiurui He and Wei{-}Chih Hung and Tong He and Xingyi Zhou and Farshid Moussavi and James Guo and Yin Zhou and Mingxing Tan and Weilong Yang and Congcong Li}, title = {{STT:} Stateful Tracking with Transformers for Autonomous Driving}, booktitle = {{ICRA}}, pages = {4442--4449}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/imw2/ChenLWLWL24, author = {Wei{-}Chen Chen and Hang{-}Ting Lue and Ming{-}Hung Wu and Yu{-}Tang Lin and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {Improved 3D {DRAM} Design Based on Gate-Controlled Thyristor Featuring Two Asymmetrical Horizontal WL's and Vertical {BL} for Better Cell Size Scaling and Array Selection}, booktitle = {{IMW}}, pages = {1--4}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/irps/KuoCDWLKGZSHCCLHCSLX24, author = {Hsi{-}Yu Kuo and Yu{-}Lin Chu and Hung{-}Da Dai and Chun{-}Chi Wang and Pei{-}Jung Lin and Shu{-}Cheng Kuo and Ethan Guo and Ya{-}Min Zhang and Yu{-}Ti Su and Chia{-}Lin Hsu and Kuan{-}Hung Chen and Tsung{-}Yuan Chen and Te{-}Liang Li and Ray Huang and Kuo{-}Ji Chen and Ming{-}Hsiang Song and Ryan Lu and Kejun Xia}, title = {Layout Guidelines against Charging Damage from the Well-Side Antennas in Separated Power Domains}, booktitle = {{IRPS}}, pages = {1--6}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/iscas/LuPYCH24, author = {Wei Lu and Han{-}Hsiang Pei and Jheng{-}Rong Yu and Hung{-}Ming Chen and Po{-}Tsang Huang}, title = {A 28nm Energy-Area-Efficient Row-based pipelined Training Accelerator with Mixed {FXP4/FP16} for On-Device Transfer Learning}, booktitle = {{ISCAS}}, pages = {1--5}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/ispd/Chen24a, author = {Hung{-}Ming Chen}, title = {Enabling System Design in 3D Integration: Technologies and Methodologies}, booktitle = {{ISPD}}, pages = {217}, publisher = {{ACM}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/HaraguchiFYCHCNWC24, author = {Masaru Haraguchi and Yorinobu Fujino and Yoshisato Yokoyama and Ming{-}Hung Chang and Yu{-}Hao Hsu and Hong{-}Chen Cheng and Koji Nii and Yih Wang and Tsung{-}Yung Jonathan Chang}, title = {15.3 {A} 3nm FinFET 4.3GHz 21.1Mb/mm2 Double-Pumping 1-Read and 1-Write Pseudo-2-Port {SRAM} with Folded-Bitline Multi-Bank Architecture}, booktitle = {{ISSCC}}, pages = {280--282}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/ShihHTLTCLCHNWKXJFMCHJCLWCJ24, author = {Ming{-}En Shih and Shih{-}Wei Hsieh and Ping{-}Yuan Tsai and Ming{-}Hung Lin and Pei{-}Kuei Tsung and En{-}Jui Chang and Jenwei Liang and Shu{-}Hsin Chang and Chung{-}Lun Huang and You{-}Yu Nian and Zhe Wan and Sushil Kumar and Cheng{-}Xin Xue and Gajanan Jedhe and Hidehiro Fujiwara and Haruki Mori and Chih{-}Wei Chen and Po{-}Hua Huang and Chih{-}Feng Juan and Chung{-}Yi Chen and Tsung{-}Yao Lin and Ch Wang and Chih{-}Cheng Chen and Kevin Jou}, title = {20.1 {NVE:} {A} 3nm 23.2TOPS/W 12b-Digital-CIM-Based Neural Engine for High-Resolution Visual-Quality Enhancement on Smart Devices}, booktitle = {{ISSCC}}, pages = {360--362}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/WenHHLCCTCC24, author = {Shon{-}Hang Wen and Chuan{-}Hung Hsiao and Yi{-}Wei Huang and Kuan{-}Yu Lin and You{-}Shin Chen and Ya{-}Chi Chen and Ming{-}Chung Tsai and Kuan{-}Hung Chen and Kuan{-}Dar Chen}, title = {21.4 {A} -108dBc THD+N, 2.3mW Class-H Headphone Amplifier with Power-Aware {SIMO} Supply Modulator}, booktitle = {{ISSCC}}, pages = {384--386}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/kdd/BorisyukZSZTPDH24, author = {Fedor Borisyuk and Mingzhou Zhou and Qingquan Song and Siyu Zhu and Birjodh Tiwana and Ganesh Parameswaran and Siddharth Dangi and Lars Hertel and Qiang Charles Xiao and Xiaochen Hou and Yunbo Ouyang and Aman Gupta and Sheallika Singh and Dan Liu and Hailing Cheng and Lei Le and Jonathan Hung and S. Sathiya Keerthi and Ruoyan Wang and Fengyu Zhang and Mohit Kothari and Chen Zhu and Daqi Sun and Yun Dai and Xun Luan and Sirou Zhu and Zhiwei Wang and Neil Daftary and Qianqi Shen and Chengming Jiang and Haichao Wei and Maneesh Varshney and Amol Ghoting and Souvik Ghosh}, title = {LiRank: Industrial Large Scale Ranking Models at LinkedIn}, booktitle = {{KDD}}, pages = {4804--4815}, publisher = {{ACM}}, year = {2024} }
@inproceedings{DBLP:conf/mmm/HsuLCC24, author = {Chan{-}Ming Hsu and Tse{-}Hung Lin and Yu{-}Hsien Chen and Chih{-}Yi Chiu}, title = {{PDTW150K:} {A} Dataset for Patent Drawing Retrieval}, booktitle = {{MMM} {(5)}}, series = {Lecture Notes in Computer Science}, volume = {14565}, pages = {57--67}, publisher = {Springer}, year = {2024} }
@inproceedings{DBLP:conf/mwscas/LiLZPCJLL24, author = {Bo{-}Han Li and Kuan{-}Chih Lin and Hao Zuo and Po{-}Cheng Pan and Hung{-}Ming Chen and Shyh{-}Jye Jou and Chien{-}Nan Jimmy Liu and Bo{-}Cheng Lai}, title = {Efficient Analog Layout Generation for In-RRAM Computing Circuits via Area and Wire Optimization}, booktitle = {{MWSCAS}}, pages = {1085--1090}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/naacl/HuangWSSL24, author = {Shih{-}Cheng Huang and Shih{-}Heng Wang and Min{-}Han Shih and Saurav Sahay and Hung{-}yi Lee}, title = {Systematic Analysis for Pretrained Language Model Priming for Parameter-Efficient Fine-tuning}, booktitle = {{NAACL} (Student Research Workshop)}, pages = {1--7}, publisher = {Association for Computational Linguistics}, year = {2024} }
@inproceedings{DBLP:conf/newcas/KangCCL24, author = {Bo{-}Kai Kang and Hao{-}Ju Chang and Hung{-}Ming Chen and Chien{-}Nan Jimmy Liu}, title = {ML/DL-Based Signal Integrity Optimization for InFO Routing}, booktitle = {NewCAS}, pages = {343--347}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/podc/ChangMNYY24, author = {Yi{-}Jun Chang and Gopinath Mishra and Hung Thuan Nguyen and Mingyang Yang and Yu{-}Cheng Yeh}, title = {A Tight Lower Bound for 3-Coloring Grids in the Online-LOCAL Model}, booktitle = {{PODC}}, pages = {106--116}, publisher = {{ACM}}, year = {2024} }
@inproceedings{DBLP:conf/vr/ChenTLK0C24, author = {Yen{-}Ru Chen and Tsung{-}Hsun Tsai and Tica Lin and Calvin Ku and Min{-}Chun Hu and Hung{-}Kuo Chu}, title = {DepBoxia: Depth Perception Training in Boxing, an Immersive Approach}, booktitle = {{VR} Workshops}, pages = {739--740}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/wacv/ChenS0YC24, author = {Cheng{-}Hsiu Chen and Jheng{-}Wei Su and Min{-}Chun Hu and Chih{-}Yuan Yao and Hung{-}Kuo Chu}, title = {Panelformer: Sewing Pattern Reconstruction from 2D Garment Images}, booktitle = {{WACV}}, pages = {443--452}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/www/KuoWKD24, author = {Kuan{-}Hung Kuo and Ming{-}Hung Wang and Hung{-}Yu Kao and Yu{-}Chen Dai}, title = {Advancing Stance Detection of Political Fan Pages: {A} Multimodal Approach}, booktitle = {{WWW} (Companion Volume)}, pages = {702--705}, publisher = {{ACM}}, year = {2024} }
@article{DBLP:journals/corr/abs-2401-01921, author = {Kai{-}Hsin Wu and Chang{-}Teng Lin and Ke Hsu and Hao{-}Ti Hung and Manuel Schneider and Chia{-}Min Chung and Ying{-}Jer Kao and Pochung Chen}, title = {The Cytnx Library for Tensor Networks}, journal = {CoRR}, volume = {abs/2401.01921}, year = {2024} }
@article{DBLP:journals/corr/abs-2401-10787, author = {Hong{-}Sheng Huang and Zhe{-}Yi Jiang and Hsuan{-}Tung Cheng and Hung{-}Min Sun}, title = {Hybrid Online Certificate Status Protocol with Certificate Revocation List for Smart Grid Public Key Infrastructure}, journal = {CoRR}, volume = {abs/2401.10787}, year = {2024} }
@article{DBLP:journals/corr/abs-2401-11791, author = {Ci{-}Siang Lin and Chien{-}Yi Wang and Yu{-}Chiang Frank Wang and Min{-}Hung Chen}, title = {SemPLeS: Semantic Prompt Learning for Weakly-Supervised Semantic Segmentation}, journal = {CoRR}, volume = {abs/2401.11791}, year = {2024} }
@article{DBLP:journals/corr/abs-2402-00362, author = {Buo{-}Fu Chen and Boyo Chen and Chun{-}Min Hsiao and Hsu{-}Feng Teng and Cheng{-}Shang Lee and Hung{-}Chi Kuo}, title = {Climate Trends of Tropical Cyclone Intensity and Energy Extremes Revealed by Deep Learning}, journal = {CoRR}, volume = {abs/2402.00362}, year = {2024} }
@article{DBLP:journals/corr/abs-2402-03860, author = {Jia{-}Fong Yeh and Kuo{-}Han Hung and Pang{-}Chi Lo and Chi{-}Ming Chung and Tsung{-}Han Wu and Hung{-}Ting Su and Yi{-}Ting Chen and Winston H. Hsu}, title = {{AED:} Adaptable Error Detection for Few-shot Imitation Policy}, journal = {CoRR}, volume = {abs/2402.03860}, year = {2024} }
@article{DBLP:journals/corr/abs-2402-06859, author = {Fedor Borisyuk and Mingzhou Zhou and Qingquan Song and Siyu Zhu and Birjodh Tiwana and Ganesh Parameswaran and Siddharth Dangi and Lars Hertel and Qiang Charles Xiao and Xiaochen Hou and Yunbo Ouyang and Aman Gupta and Sheallika Singh and Dan Liu and Hailing Cheng and Lei Le and Jonathan Hung and S. Sathiya Keerthi and Ruoyan Wang and Fengyu Zhang and Mohit Kothari and Chen Zhu and Daqi Sun and Yun Dai and Xun Luan and Sirou Zhu and Zhiwei Wang and Neil Daftary and Qianqi Shen and Chengming Jiang and Haichao Wei and Maneesh Varshney and Amol Ghoting and Souvik Ghosh}, title = {LiRank: Industrial Large Scale Ranking Models at LinkedIn}, journal = {CoRR}, volume = {abs/2402.06859}, year = {2024} }
@article{DBLP:journals/corr/abs-2402-09353, author = {Shih{-}Yang Liu and Chien{-}Yi Wang and Hongxu Yin and Pavlo Molchanov and Yu{-}Chiang Frank Wang and Kwang{-}Ting Cheng and Min{-}Hung Chen}, title = {DoRA: Weight-Decomposed Low-Rank Adaptation}, journal = {CoRR}, volume = {abs/2402.09353}, year = {2024} }
@article{DBLP:journals/corr/abs-2403-02522, author = {Sebastien Baur and Zaid Nabulsi and Wei{-}Hung Weng and Jake Garrison and Louis Blankemeier and Sam Fishman and Christina Chen and Sujay Kakarmath and Minyoi Maimbolwa and Nsala Sanjase and Brian Shuma and Yossi Matias and Gregory S. Corrado and Shwetak N. Patel and Shravya Shetty and Shruthi Prabhakara and Monde Muyoyeta and Diego Ardila}, title = {HeAR - Health Acoustic Representations}, journal = {CoRR}, volume = {abs/2403.02522}, year = {2024} }
@article{DBLP:journals/corr/abs-2403-04785, author = {Jun{-}En Ding and Phan Nguyen Minh Thao and Wen{-}Chih Peng and Jian{-}Zhe Wang and Chun{-}Cheng Chug and Min{-}Chen Hsieh and Yun{-}Chien Tseng and Ling Chen and Dongsheng Luo and Chi{-}Te Wang and Pei{-}fu Chen and Feng Liu and Fang{-}Ming Hung}, title = {Large Language Multimodal Models for 5-Year Chronic Disease Cohort Prediction Using {EHR} Data}, journal = {CoRR}, volume = {abs/2403.04785}, year = {2024} }
@article{DBLP:journals/corr/abs-2404-04231, author = {Ji{-}Jia Wu and Andy Chia{-}Hao Chang and Chieh{-}Yu Chuang and Chun{-}Pei Chen and Yu{-}Lun Liu and Min{-}Hung Chen and Hou{-}Ning Hu and Yung{-}Yu Chuang and Yen{-}Yu Lin}, title = {Image-Text Co-Decomposition for Text-Supervised Semantic Segmentation}, journal = {CoRR}, volume = {abs/2404.04231}, year = {2024} }
@article{DBLP:journals/corr/abs-2405-00236, author = {Longlong Jing and Ruichi Yu and Xu Chen and Zhengli Zhao and Shiwei Sheng and Colin Graber and Qi Chen and Qinru Li and Shangxuan Wu and Han Deng and Sangjin Lee and Chris Sweeney and Qiurui He and Wei{-}Chih Hung and Tong He and Xingyi Zhou and Farshid Moussavi and Zijian Guo and Yin Zhou and Mingxing Tan and Weilong Yang and Congcong Li}, title = {{STT:} Stateful Tracking with Transformers for Autonomous Driving}, journal = {CoRR}, volume = {abs/2405.00236}, year = {2024} }
@article{DBLP:journals/corr/abs-2405-06373, author = {Li{-}Chun Lu and Shou{-}Jen Chen and Tsung{-}Min Pai and Chan{-}Hung Yu and Hung{-}yi Lee and Shao{-}Hua Sun}, title = {{LLM} Discussion: Enhancing the Creativity of Large Language Models via Discussion Framework and Role-Play}, journal = {CoRR}, volume = {abs/2405.06373}, year = {2024} }
@article{DBLP:journals/corr/abs-2405-16194, author = {Chun{-}Mao Lai and Hsiang{-}Chun Wang and Ping{-}Chun Hsieh and Yu{-}Chiang Frank Wang and Min{-}Hung Chen and Shao{-}Hua Sun}, title = {Diffusion-Reward Adversarial Imitation Learning}, journal = {CoRR}, volume = {abs/2405.16194}, year = {2024} }
@article{DBLP:journals/corr/abs-2405-20175, author = {Kuang{-}Ming Chen and Hung{-}yi Lee}, title = {InstructionCP: {A} fast approach to transfer Large Language Models into target language}, journal = {CoRR}, volume = {abs/2405.20175}, year = {2024} }
@article{DBLP:journals/corr/abs-2406-01436, author = {Cheng{-}Hsun Hsueh and Paul Kuo{-}Ming Huang and Tzu{-}Han Lin and Che{-}Wei Liao and Hung{-}Chieh Fang and Chao{-}Wei Huang and Yun{-}Nung Chen}, title = {Editing the Mind of Giants: An In-Depth Exploration of Pitfalls of Knowledge Editing in Large Language Models}, journal = {CoRR}, volume = {abs/2406.01436}, year = {2024} }
@article{DBLP:journals/corr/abs-2406-10083, author = {Siddhant Arora and Ankita Pasad and Chung{-}Ming Chien and Jionghao Han and Roshan S. Sharma and Jee{-}weon Jung and Hira Dhamyal and William Chen and Suwon Shon and Hung{-}yi Lee and Karen Livescu and Shinji Watanabe}, title = {On the Evaluation of Speech Foundation Models for Spoken Language Understanding}, journal = {CoRR}, volume = {abs/2406.10083}, year = {2024} }
@article{DBLP:journals/corr/abs-2406-12834, author = {Ci{-}Siang Lin and I{-}Jieh Liu and Min{-}Hung Chen and Chien{-}Yi Wang and Sifei Liu and Yu{-}Chiang Frank Wang}, title = {GroPrompt: Efficient Grounded Prompting and Adaptation for Referring Video Object Segmentation}, journal = {CoRR}, volume = {abs/2406.12834}, year = {2024} }
@article{DBLP:journals/corr/abs-2406-18087, author = {Chun{-}Chieh Liao and Wei{-}Ting Kuo and I{-}Hsuan Hu and Yen{-}Chen Shih and Jun{-}En Ding and Feng Liu and Fang{-}Ming Hung}, title = {EHR-Based Mobile and Web Platform for Chronic Disease Risk Prediction Using Large Language Multimodal Models}, journal = {CoRR}, volume = {abs/2406.18087}, year = {2024} }
@article{DBLP:journals/corr/abs-2407-04557, author = {Ryotaro Okabe and Mouyang Cheng and Abhijatmedhi Chotrattanapituk and Nguyen Tuan Hung and Xiang Fu and Bowen Han and Yao Wang and Weiwei Xie and Robert J. Cava and Tommi S. Jaakkola and Yongqiang Cheng and Mingda Li}, title = {Structural Constraint Integration in Generative Model for Discovery of Quantum Material Candidates}, journal = {CoRR}, volume = {abs/2407.04557}, year = {2024} }
@article{DBLP:journals/corr/abs-2407-05467, author = {Talia Gershon and Seetharami Seelam and Brian Belgodere and Milton Bonilla and Lan Hoang and Danny Barnett and I{-}Hsin Chung and Apoorve Mohan and Ming{-}Hung Chen and Lixiang Luo and Robert Walkup and Constantinos Evangelinos and Shweta Salaria and Marc Dombrowa and Yoonho Park and Apo Kayi and Liran Schour and Alim Alim and Ali Sydney and Pavlos Maniotis and Laurent Schares and Bernard Metzler and Bengi Karacali{-}Akyamac and Sophia Wen and Tatsuhiro Chiba and Sunyanan Choochotkaew and Takeshi Yoshimura and Claudia Misale and Tonia Elengikal and Kevin O. Connor and Zhuoran Liu and Richard Molina and Lars Schneidenbach and James Caden and Christopher Laibinis and Carlos Fonseca and Vasily Tarasov and Swaminathan Sundararaman and Frank B. Schmuck and Scott Guthridge and Jeremy Cohn and Marc Eshel and Paul Muench and Runyu Liu and William Pointer and Drew Wyskida and Bob Krull and Ray Rose and Brent Wolfe and William Cornejo and John Walter and Colm Malone and Clifford Perucci and Frank Franco and Nigel Hinds and Bob Calio and Pavel Druyan and Robert Kilduff and John Kienle and Connor McStay and Andrew Figueroa and Matthew Connolly and Edie Fost and Gina Roma and Jake Fonseca and Ido Levy and Michele Payne and Ryan Schenkel and Amir Malki and Lion Schneider and Aniruddha Narkhede and Shekeba Moshref and Alexandra Kisin and Olga Dodin and Bill Rippon and Henry Wrieth and John Ganci and Johnny Colino and Donna Habeger{-}Rose and Rakesh Pandey and Aditya Gidh and Aditya Gaur and Dennis Patterson and Samsuddin Salmani and Rambilas Varma and Rumana Rumana and Shubham Sharma and Mayank Mishra and Rameswar Panda and Aditya Prasad and Matt Stallone and Gaoyuan Zhang and Yikang Shen and David Cox and Ruchir Puri and Dakshi Agrawal and Drew Thorstensen and Joel Belog and Brent Tang}, title = {The infrastructure powering IBM's Gen {AI} model development}, journal = {CoRR}, volume = {abs/2407.05467}, year = {2024} }
@article{DBLP:journals/corr/abs-2407-12309, author = {Thao Minh Nguyen Phan and Cong{-}Tinh Dao and Chenwei Wu and Jian{-}Zhe Wang and Shun Liu and Jun{-}En Ding and David Restrepo and Feng Liu and Fang{-}Ming Hung and Wen{-}Chih Peng}, title = {MEDFuse: Multimodal {EHR} Data Fusion with Masked Lab-Test Modeling and Large Language Models}, journal = {CoRR}, volume = {abs/2407.12309}, year = {2024} }
@article{DBLP:journals/corr/abs-2408-10202, author = {Yusuke Hirota and Min{-}Hung Chen and Chien{-}Yi Wang and Yuta Nakashima and Yu{-}Chiang Frank Wang and Ryo Hachiuma}, title = {{SANER:} Annotation-free Societal Attribute Neutralizer for Debiasing {CLIP}}, journal = {CoRR}, volume = {abs/2408.10202}, year = {2024} }
@article{DBLP:journals/corr/abs-2408-15996, author = {Wei{-}Jhe Huang and Min{-}Hung Chen and Shang{-}Hong Lai}, title = {Spatio-Temporal Context Prompting for Zero-Shot Action Detection}, journal = {CoRR}, volume = {abs/2408.15996}, year = {2024} }
@article{DBLP:journals/corr/abs-2408-17443, author = {Gueter Josmy Faure and Jia{-}Fong Yeh and Min{-}Hung Chen and Hung{-}Ting Su and Winston H. Hsu and Shang{-}Hong Lai}, title = {Bridging Episodes and Semantics: {A} Novel Framework for Long-Form Video Understanding}, journal = {CoRR}, volume = {abs/2408.17443}, year = {2024} }
@article{DBLP:journals/corr/abs-2409-01545, author = {Chien{-}Chun Wang and Li{-}Wei Chen and Hung{-}Shin Lee and Berlin Chen and Hsin{-}Min Wang}, title = {Effective Noise-aware Data Simulation for Domain-adaptive Speech Enhancement Leveraging Dynamic Stochastic Perturbation}, journal = {CoRR}, volume = {abs/2409.01545}, year = {2024} }
@article{DBLP:journals/corr/abs-2409-04837, author = {Hung{-}Ting Su and Ching{-}Yuan Chen and Po{-}Chen Ko and Jia{-}Fong Yeh and Min Sun and Winston H. Hsu}, title = {Context-Aware Replanning with Pre-explored Semantic Map for Object Navigation}, journal = {CoRR}, volume = {abs/2409.04837}, year = {2024} }
@article{DBLP:journals/corr/abs-2409-08731, author = {Jiawei Du and I{-}Ming Lin and I{-}Hsiang Chiu and Xuanjun Chen and Haibin Wu and Wenze Ren and Yu Tsao and Hung{-}yi Lee and Jyh{-}Shing Roger Jang}, title = {{DFADD:} The Diffusion and Flow-Matching Based Audio Deepfake Dataset}, journal = {CoRR}, volume = {abs/2409.08731}, year = {2024} }
@article{DBLP:journals/corr/abs-2409-08872, author = {Yao{-}Fei Cheng and Li{-}Wei Chen and Hung{-}Shin Lee and Hsin{-}Min Wang}, title = {Exploring the Impact of Data Quantity on {ASR} in Extremely Low-resource Languages}, journal = {CoRR}, volume = {abs/2409.08872}, year = {2024} }
@article{DBLP:journals/access/ChenHCLLK23, author = {Jiann{-}Jong Chen and Yuh{-}Shyan Hwang and Hung{-}Wei Chiu and Ming{-}Dao Luo and Chien{-}Hung Lai and Joshua Ku}, title = {A New Low-Noise I-Squared Buck Converter Suitable for Wireless Sensor Networks With Dual-Current-Acceleration Techniques}, journal = {{IEEE} Access}, volume = {11}, pages = {41644--41653}, year = {2023} }
@article{DBLP:journals/access/LinHHC23, author = {Faa{-}Jeng Lin and Ming{-}Shi Huang and Chung{-}Yu Hung and Yu{-}Chen Chien}, title = {Intelligent Computed Torque Control With Recurrent Legendre Fuzzy Neural Network for Permanent-Magnet Assisted Synchronous Reluctance Motor}, journal = {{IEEE} Access}, volume = {11}, pages = {54017--54028}, year = {2023} }
@article{DBLP:journals/access/LinWLTHCL23, author = {Yu{-}Ming Lin and Hung{-}Wei Wu and Jia{-}Hao Lin and Wei{-}Chen Tien and Cheng{-}Yuan Hung and Shoou{-}Jinn Chang and Ran Liu}, title = {High-Quality Nanocrystalline Silicon (nc-Si:H) Thin Film With Mixed-Phase and Its Application of Circulating Tumor Cell {DEP} Biochip}, journal = {{IEEE} Access}, volume = {11}, pages = {16057--16065}, year = {2023} }
@article{DBLP:journals/algorithms/ChenKH23, author = {Jong{-}Shin Chen and Chun{-}Ming Kuo and Ruo{-}Wei Hung}, title = {An Efficient {GNSS} Coordinate Recognition Algorithm for Epidemic Management}, journal = {Algorithms}, volume = {16}, number = {3}, pages = {132}, year = {2023} }
@article{DBLP:journals/bspc/FangPLHL23, author = {Ming Fang and Siyu Peng and Yujia Liang and Chih{-}Cheng Hung and Shuhua Liu}, title = {A multimodal fusion model with multi-level attention mechanism for depression detection}, journal = {Biomed. Signal Process. Control.}, volume = {82}, pages = {104561}, year = {2023} }
@article{DBLP:journals/cea/LeeYTHSC23, author = {Cheng{-}Ju Lee and Ming{-}Der Yang and Hsin{-}Hung Tseng and Yu{-}Chun Hsu and Yu Sung and Wei{-}Ling Chen}, title = {Single-plant broccoli growth monitoring using deep learning with {UAV} imagery}, journal = {Comput. Electron. Agric.}, volume = {207}, pages = {107739}, year = {2023} }
@article{DBLP:journals/cmig/LoYLLCYCWLLHCJL23, author = {Chung{-}Ming Lo and Yi{-}Wen Yang and Jen{-}Kou Lin and Tzu{-}Chen Lin and Wei{-}Shone Chen and Shung{-}Haur Yang and Shih{-}Ching Chang and Huann{-}Sheng Wang and Yuan{-}Tzu Lan and Hung{-}Hsin Lin and Sheng{-}Chieh Huang and Hou{-}Hsuan Cheng and Jeng{-}Kai Jiang and Chun{-}Chi Lin}, title = {Modeling the survival of colorectal cancer patients based on colonoscopic features in a feature ensemble vision transformer}, journal = {Comput. Medical Imaging Graph.}, volume = {107}, pages = {102242}, year = {2023} }
@article{DBLP:journals/eswa/WangCKL23, author = {Xi Wang and Zhichao Chen and Mingming Kong and Bo Li}, title = {A hunger-based scheduling strategy for distributed crawler}, journal = {Expert Syst. Appl.}, volume = {222}, pages = {119798}, year = {2023} }
@article{DBLP:journals/hisas/HuangWKTCCCCTCLCPW23, author = {Chun{-}Te Huang and Tsai{-}Jung Wang and Li{-}Kuo Kuo and Ming{-}Ju Tsai and Cong{-}Tat Cia and Dung{-}Hung Chiang and Po{-}Jen Chang and Inn{-}Wen Chong and Yi{-}Shan Tsai and Yuan{-}Chia Chu and Chia{-}Jen Liu and Cheng{-}Hsu Chen and Kai{-}Chih Pai and Chieh{-}Liang Wu}, title = {Federated machine learning for predicting acute kidney injury in critically ill patients: a multicenter study in Taiwan}, journal = {Health Inf. Sci. Syst.}, volume = {11}, number = {1}, pages = {48}, year = {2023} }
@article{DBLP:journals/ieicetb/YehYLLH23, author = {Kuan{-}Cheng Yeh and Chia{-}Hsing Yang and Ming{-}Chun Lee and Ta{-}Sung Lee and Hsiang{-}Hsuan Hung}, title = {Parameter Selection and Radar Fusion for Tracking in Roadside Units}, journal = {{IEICE} Trans. Commun.}, volume = {106}, number = {9}, pages = {855--863}, year = {2023} }
@article{DBLP:journals/iet-spr/LinLHCL23, author = {Chia{-}Hung Lin and Hsiang{-}Yueh Lai and Ping{-}Tzan Huang and Pi{-}Yun Chen and Chien{-}Ming Li}, title = {Vowel classification with combining pitch detection and one-dimensional convolutional neural network based classifier for gender identification}, journal = {{IET} Signal Process.}, volume = {17}, number = {5}, year = {2023} }
@article{DBLP:journals/ijmi/YangWLCTHCC23, author = {Ying Yang and Yi{-}Min Wang and Chun{-}Hung Richard Lin and Chi{-}Yung Cheng and Chi{-}Ming Tsai and Ying{-}Hsien Huang and Tien{-}Yu Chen and I{-}Min Chiu}, title = {Explainable deep learning model to predict invasive bacterial infection in febrile young infants: {A} retrospective study}, journal = {Int. J. Medical Informatics}, volume = {172}, pages = {105007}, year = {2023} }
@article{DBLP:journals/ijpr/WangS23, author = {To{-}Cheng Wang and Ming{-}Hung Shu}, title = {Development of an adaptive sampling system based on a process capability index with flexible switching mechanism}, journal = {Int. J. Prod. Res.}, volume = {61}, number = {21}, pages = {7233--7247}, year = {2023} }
@article{DBLP:journals/iotm/KaliskiCH23, author = {Rafael Kaliski and Shin{-}Ming Cheng and Cheng{-}Feng Hung}, title = {Supporting 6G Mission-Critical Services on {O-RAN}}, journal = {{IEEE} Internet Things Mag.}, volume = {6}, number = {3}, pages = {32--37}, year = {2023} }
@article{DBLP:journals/ipm/HungWCXHLH23, author = {Chih{-}Lung Hung and Jen{-}Her Wu and Pei{-}Yu Chen and Xiaoyu Xu and Wan{-}Ling Hsu and Li{-}Min Lin and Ming{-}Che Hsieh}, title = {Enhancing healthcare services and brand engagement through social media marketing: Integration of Kotler's 5A framework with {IDEA} process}, journal = {Inf. Process. Manag.}, volume = {60}, number = {4}, pages = {103379}, year = {2023} }
@article{DBLP:journals/jcn/ChengHCT23, author = {Jay Cheng and Shin{-}Shiang Huang and Hsin{-}Hung Chou and Ming{-}Che Tang}, title = {On the maximum buffer size achieved in a class of constructions of optical priority queues}, journal = {J. Commun. Networks}, volume = {25}, number = {4}, pages = {429--439}, year = {2023} }
@article{DBLP:journals/jise/ChuDLHW23, author = {Hsiu{-}Ling Chu and Yu{-}Chen Dai and Wei{-}Bin Lee and Ting{-}Shen Hsieh and Ming{-}Hung Wang}, title = {Characterizing Behaviors of Sockpuppets in Online Political Discourses}, journal = {J. Inf. Sci. Eng.}, volume = {39}, number = {3}, pages = {489--506}, year = {2023} }
@article{DBLP:journals/jssc/HungWHHCSKLLHTC23, author = {Je{-}Min Hung and Tai{-}Hao Wen and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {8-b Precision 8-Mb ReRAM Compute-in-Memory Macro Using Direct-Current-Free Time-Domain Readout Scheme for {AI} Edge Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {303--315}, year = {2023} }
@article{DBLP:journals/jstsp/HsuWYHHK23, author = {Hung{-}Min Hsu and Yizhou Wang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Le Uyen Thuc Hoang and Kwang{-}Ju Kim}, title = {Learning Temporal Attention Based Keypoint-Guided Embedding for Gait Recognition}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {17}, number = {3}, pages = {689--698}, year = {2023} }
@article{DBLP:journals/mta/TsaiC23, author = {Ming{-}Fong Tsai and Chiung{-}Hung Chen}, title = {Enhancing the accuracy of a human emotion recognition method using spatial temporal graph convolutional networks}, journal = {Multim. Tools Appl.}, volume = {82}, number = {8}, pages = {11285--11303}, year = {2023} }
@article{DBLP:journals/nca/FangPZYHL23, author = {Ming Fang and Siyu Peng and Yang Zhao and Haibo Yuan and Chih{-}Cheng Hung and Shuhua Liu}, title = {3 s-STNet: three-stream spatial-temporal network with appearance and skeleton information learning for action recognition}, journal = {Neural Comput. Appl.}, volume = {35}, number = {2}, pages = {1835--1848}, year = {2023} }
@article{DBLP:journals/npl/LiuLFHY23, author = {Shuhua Liu and Lanting Li and Ming Fang and Chih{-}Cheng Hung and Shihao Yang}, title = {A Prompt Learning Based Intent Recognition Method on a Chinese Implicit Intent Dataset {CIID}}, journal = {Neural Process. Lett.}, volume = {55}, number = {8}, pages = {11017--11034}, year = {2023} }
@article{DBLP:journals/qre/ShuWH23, author = {Ming{-}Hung Shu and To{-}Cheng Wang and Bi{-}Min Hsu}, title = {Integrated green-and-quality inspection schemes for green product quality with six-sigma yield assurance and risk management: {A} graphical web-based tool for verifying green product quality efficiently}, journal = {Qual. Reliab. Eng. Int.}, volume = {39}, number = {7}, pages = {2720--2735}, year = {2023} }
@article{DBLP:journals/ral/HsiehLWVLLHC23, author = {Yu{-}Ming Hsieh and Chin{-}Yi Lin and Jan Wilch and Birgit Vogel{-}Heuser and Yu{-}Chen Lin and Yu{-}Chuan Lin and Min{-}Hsiung Hung and Fan{-}Tien Cheng}, title = {An Intelligent Factory Automation System With Multivariate Time Series Algorithm for Chip Probing Process}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {9}, pages = {5464--5471}, year = {2023} }
@article{DBLP:journals/remotesensing/JengYC23, author = {Yih Jeng and Hung{-}Ming Yu and Chih{-}Sung Chen}, title = {Algorithm Fusion for 3D Ground-Penetrating Radar Imaging with Field Examples}, journal = {Remote. Sens.}, volume = {15}, number = {11}, pages = {2886}, year = {2023} }
@article{DBLP:journals/remotesensing/LinMXDZGC23, author = {Kai Lin and Zhiqiang Mao and Ziniu Xu and Lei Dong and Xuemin Zhang and Yongxin Gao and Chieh{-}Hung Chen}, title = {Numerical Solution of the Atmospheric Perturbations Triggered by Persistent Lithospheric Vibrations}, journal = {Remote. Sens.}, volume = {15}, number = {13}, pages = {3336}, year = {2023} }
@article{DBLP:journals/remotesensing/WangZDLMLC23, author = {Fei Wang and Xuemin Zhang and Lei Dong and Jing Liu and Zhiqiang Mao and Kai Lin and Chieh{-}Hung Chen}, title = {Monitoring Seismo-TEC Perturbations Utilizing the Beidou Geostationary Satellites}, journal = {Remote. Sens.}, volume = {15}, number = {10}, pages = {2608}, year = {2023} }
@article{DBLP:journals/sensors/ChuWCL23, author = {Hung{-}Chi Chu and Chia{-}En Wong and Wei{-}Min Cheng and Hong{-}Cheng Lai}, title = {User QoS-Based Optimized Handover Algorithm for Wireless Networks}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4877}, year = {2023} }
@article{DBLP:journals/sensors/HsiehLH23, author = {Hung{-}Chih Hsieh and Yi{-}Ming Lu and Ke{-}Cheng Huang}, title = {Glucose Concentration Measurement by All-Grating-Based System}, journal = {Sensors}, volume = {23}, number = {9}, pages = {4216}, year = {2023} }
@article{DBLP:journals/sensors/LeeJKCH23, author = {Jeng{-}Dao Lee and En{-}Shuo Jheng and Chia{-}Chen Kuo and Hong{-}Ming Chen and Ying{-}Hsiu Hung}, title = {Novel Robotic Arm Working-Area {AI} Protection System}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2765}, year = {2023} }
@article{DBLP:journals/sensors/LiangCTLC23, author = {Min{-}Chih Liang and Hung{-}En Chen and Samkele S. Tfwala and Yu{-}Feng Lin and Su{-}Chin Chen}, title = {The Application of Wireless Underground Sensor Networks to Monitor Seepage inside an Earth Dam}, journal = {Sensors}, volume = {23}, number = {8}, pages = {3795}, year = {2023} }
@article{DBLP:journals/sensors/LiuWCSC23, author = {Shing{-}Hong Liu and Yan{-}Rong Wu and Wenxi Chen and Chun{-}Hung Su and Chiun{-}Li Chin}, title = {Using Ballistocardiogram and Impedance Plethysmogram for Minimal Contact Measurement of Blood Pressure Based on a Body Weight-Fat Scale}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2318}, year = {2023} }
@article{DBLP:journals/sj/YangCLVS23, author = {Ming{-}Hour Yang and Chien{-}Hung Chen and Jia{-}Ning Luo and M. Vijayalakshmi and S. Mercy Shalinie}, title = {An Efficient and Secure Method for Simultaneous Ownership Transfer of Multiple Mobile Readers}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {3}, pages = {3611--3622}, year = {2023} }
@article{DBLP:journals/spl/ChengLTW23, author = {Chin{-}Yi Cheng and Hung{-}Shin Lee and Yu Tsao and Hsin{-}Min Wang}, title = {Multi-Target Extractor and Detector for Unknown-Number Speaker Diarization}, journal = {{IEEE} Signal Process. Lett.}, volume = {30}, pages = {638--642}, year = {2023} }
@article{DBLP:journals/tase/LiJHLWLL23, author = {Wenzhe Li and Xiaodong Jia and Yuan{-}Ming Hsu and Chen{-}Hung Liao and Yunfei Wang and Mong{-}Tung Lin and Jay Lee}, title = {A Novel Methodology for Lens Matching in Compact Lens Module Assembly}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {20}, number = {2}, pages = {741--750}, year = {2023} }
@article{DBLP:journals/tbe/LinHLL23, author = {Yu{-}Min Lin and Ji{-}Yan Han and Cheng{-}Hung Lin and Ying{-}Hui Lai}, title = {Optical Microphone-Based Speech Reconstruction System With Deep Learning for Individuals With Hearing Loss}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {70}, number = {12}, pages = {3330--3341}, year = {2023} }
@article{DBLP:journals/tcad/PatyalCLFC23, author = {Abhishek Patyal and Hung{-}Ming Chen and Mark Po{-}Hung Lin and Guan{-}Qi Fang and Simon Yi{-}Hung Chen}, title = {Pole-Aware Analog Layout Synthesis Considering Monotonic Current Flows and Wire Crossings}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {1}, pages = {266--279}, year = {2023} }
@article{DBLP:journals/tcad/ThasreefaPCLC23, author = {A. K. Thasreefa and Abhishek Patyal and Hao{-}Yu Chi and Mark Po{-}Hung Lin and Hung{-}Ming Chen}, title = {On Reducing {LDE} Variations in Modern Analog Placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {4}, pages = {1268--1279}, year = {2023} }
@article{DBLP:journals/tcc/ChenLCC23, author = {Hung{-}Hsin Chen and En{-}Te Lin and Yu{-}Min Chou and Jerry Chou}, title = {Gemini: Enabling Multi-Tenant {GPU} Sharing Based on Kernel Burst Estimation}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {11}, number = {1}, pages = {854--867}, year = {2023} }
@article{DBLP:journals/tches/BeullensCHKPSY23, author = {Ward Beullens and Ming{-}Shing Chen and Shih{-}Hao Hung and Matthias J. Kannwischer and Bo{-}Yuan Peng and Cheng{-}Jhih Shih and Bo{-}Yin Yang}, title = {Oil and Vinegar: Modern Parameters and Implementations}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2023}, number = {3}, pages = {321--365}, year = {2023} }
@article{DBLP:journals/titb/ChangGFCCL23, author = {Yu{-}Hsuan Chang and Yi{-}Ting Guo and Li{-}Chen Fu and Ming{-}Jang Chiu and Han{-}Mo Chiu and Hung{-}Ju Lin}, title = {Interactive Healthcare Robot Using Attention-Based Question-Answer Retrieval and Medical Entity Extraction Models}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {12}, pages = {6039--6050}, year = {2023} }
@article{DBLP:journals/tlt/OwnCH23, author = {Chung{-}Ming Own and Tingting Cai and Cheng{-}Yu Hung}, title = {Exploring the Potential of Tangible and Multitouch Interfaces to Promote Learning Among Preschool Children}, journal = {{IEEE} Trans. Learn. Technol.}, volume = {16}, number = {1}, pages = {66--77}, year = {2023} }
@article{DBLP:journals/tmlr/Zheng0YYL00TZZ23, author = {Huangjie Zheng and Xu Chen and Jiangchao Yao and Hongxia Yang and Chunyuan Li and Ya Zhang and Hao Zhang and Ivor W. Tsang and Jingren Zhou and Mingyuan Zhou}, title = {Contrastive Attraction and Contrastive Repulsion for Representation Learning}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023} }
@article{DBLP:journals/vlsisp/LeeCCHL23, author = {Chao{-}Lin Lee and Chen{-}Ting Chao and Wei{-}Hsu Chu and Ming{-}Yu Hung and Jenq{-}Kuen Lee}, title = {Accelerating {AI} Applications with Sparse Matrix Compression in Halide}, journal = {J. Signal Process. Syst.}, volume = {95}, number = {5}, pages = {609--622}, year = {2023} }
@inproceedings{DBLP:conf/acl/ChenHHK23, author = {Chih{-}Yao Chen and Tun{-}Min Hung and Yi{-}Li Hsu and Lun{-}Wei Ku}, title = {Label-Aware Hyperbolic Embeddings for Fine-grained Emotion Classification}, booktitle = {{ACL} {(1)}}, pages = {10947--10958}, publisher = {Association for Computational Linguistics}, year = {2023} }
@inproceedings{DBLP:conf/acl/LuHZMC23, author = {Keming Lu and I{-}Hung Hsu and Wenxuan Zhou and Mingyu Derek Ma and Muhao Chen}, title = {Multi-hop Evidence Retrieval for Cross-document Relation Extraction}, booktitle = {{ACL} (Findings)}, pages = {10336--10351}, publisher = {Association for Computational Linguistics}, year = {2023} }
@inproceedings{DBLP:conf/apnoms/ChenCTCH23, author = {Hong{-}Jie Chen and Yi{-}Cheng Chu and Che{-}Chun Teng and Chin{-}Ping Chuang and Min{-}Han Hung}, title = {Ethernet {VPN} Provisioning Towards Slicing for 5G Transport Networks}, booktitle = {{APNOMS}}, pages = {373--376}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/apnoms/ChuangHHHLYL23, author = {Po{-}Kai Chuang and Hsiu{-}Fang Hu and Jian{-}Kai Huang and Chin{-}Cheng Hu and Ming{-}Hung Lee and Yu{-}Ping Yu and Kuo{-}Hsiang Lai}, title = {Precision Time Transfer using {ITU-T} {G.8275.1} over Optical Transport Network}, booktitle = {{APNOMS}}, pages = {369--372}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/apwcs2/ShenYCCWLT23, author = {Kuei{-}Hung Shen and Yun{-}Ju Yu and Szu{-}Yin Chen and En{-}Ming Chang and Hsiu{-}Li Wu and Cheng{-}Kuan Lin and Yu{-}Chee Tseng}, title = {Prediction of Mechanical Ventilator Weaning Outcome - {A} Deep Learning Approach}, booktitle = {{APWCS}}, pages = {1--2}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/aspdac/ChiangHLCJWCLC23, author = {Cheng{-}Yu Chiang and Chia{-}Lin Hu and Mark Po{-}Hung Lin and Yu{-}Szu Chung and Shyh{-}Jye Jou and Jieh{-}Tsorng Wu and Shiuh{-}Hua Wood Chiang and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {On Automating Finger-Cap Array Synthesis with Optimal Parasitic Matching for Custom {SAR} {ADC}}, booktitle = {{ASP-DAC}}, pages = {352--357}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/aspdac/YehCCTFKC23, author = {Yeu{-}Haw Yeh and Simon Yi{-}Hung Chen and Hung{-}Ming Chen and Deng{-}Yao Tu and Guan{-}Qi Fang and Yun{-}Chih Kuo and Po{-}Yang Chen}, title = {DPRoute: Deep Learning Framework for Package Routing}, booktitle = {{ASP-DAC}}, pages = {277--282}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/asru/ChangCLHHHLL23, author = {Kai{-}Wei Chang and Ming{-}Hsin Chen and Yun{-}Ping Lin and Jing Neng Hsu and Paul Kuo{-}Ming Huang and Chien{-}Yu Huang and Shang{-}Wen Li and Hung{-}Yi Lee}, title = {Prompting and Adapter Tuning For Self-Supervised Encoder-Decoder Speech Model}, booktitle = {{ASRU}}, pages = {1--8}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/asru/ChiangHFKTT23, author = {Hsin{-}Tien Chiang and Kuo{-}Hsuan Hung and Szu{-}Wei Fu and Heng{-}Cheng Kuo and Ming{-}Hsueh Tsai and Yu Tsao}, title = {Study on the Correlation Between Objective Evaluations and Subjective Speech Quality and Intelligibility}, booktitle = {{ASRU}}, pages = {1--7}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/asru/WangCCHL23, author = {Yu{-}Hsiang Wang and Huang{-}Yu Chen and Kai{-}Wei Chang and Winston H. Hsu and Hung{-}Yi Lee}, title = {Minisuperb: Lightweight Benchmark for Self-Supervised Speech Models}, booktitle = {{ASRU}}, pages = {1--8}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/chi/HsuCLCL23, author = {Chia Hung Hsu and Yu Chen and Yu{-}Jung Liu and Yu Cheng Chang and Min{-}Jui Lee}, title = {Spelland: Situated Language Learning with a Mixed-Reality Spelling Game through Everyday Objects}, booktitle = {{CHI} Extended Abstracts}, pages = {597:1--597:6}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/cvpr/ChangLYCWLC23, author = {Chih{-}Jung Chang and Yaw{-}Chern Lee and Shih{-}Hsuan Yao and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {A Closer Look at Geometric Temporal Dynamics for Face Anti-Spoofing}, booktitle = {{CVPR} Workshops}, pages = {1081--1091}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/cvpr/HuangYCCW23, author = {Jia{-}Hong Huang and Chao{-}Han Huck Yang and Pin{-}Yu Chen and Min{-}Hung Chen and Marcel Worring}, title = {Causalainer: Causal Explainer for Automatic Video Summarization}, booktitle = {{CVPR} Workshops}, pages = {2630--2636}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/embc/WuTCH23, author = {Ming Chi Wu and Chien{-}Hung Tsou and Wei Chen Chang and Adam Huang}, title = {Roadmaps for Guiding Chest Computed Tomography Interpretation involving Pneumonia}, booktitle = {{EMBC}}, pages = {1--4}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/emnlp/SuwonoCHHLLKS23, author = {Nicholas Collin Suwono and Justin Chih{-}Yao Chen and Tun{-}Min Hung and Ting{-}Hao Huang and I{-}Bin Liao and Yung{-}Hui Li and Lun{-}Wei Ku and Shao{-}Hua Sun}, title = {Location-Aware Visual Question Generation with Lightweight Models}, booktitle = {{EMNLP}}, pages = {1415--1432}, publisher = {Association for Computational Linguistics}, year = {2023} }
@inproceedings{DBLP:conf/esorics/LiuKHLCHCH23, author = {Tzu{-}Li Liu and Yu{-}Te Ku and Ming{-}Chien Ho and Feng{-}Hao Liu and Ming{-}Ching Chang and Chih{-}Fan Hsu and Wei{-}Chao Chen and Shih{-}Hao Hung}, title = {An Efficient {CKKS-FHEW/TFHE} Hybrid Encrypted Inference Framework}, booktitle = {{ESORICS} Workshops {(2)}}, series = {Lecture Notes in Computer Science}, volume = {14399}, pages = {535--551}, publisher = {Springer}, year = {2023} }
@inproceedings{DBLP:conf/gcce/KuoKCCLH23, author = {Ming{-}Zhang Kuo and Tung{-}Ming Koo and Shih{-}Chieh Chen and Chia{-}Hung Chang and Ming{-}Sing Lin and Chung{-}I G. Hsu}, title = {An {RFID} Reader Antenna Design with Circular Polarization for Sports-Event and -Timing Applications}, booktitle = {{GCCE}}, pages = {1133--1134}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/icce-tw/ChenLCYL23, author = {Ivane Delos Santos Chen and Chih{-}Ming Lien and Mei{-}Juan Chen and Chia{-}Hung Yeh and Yuan{-}Hong Lin}, title = {Region-of-Interest Detection Based on Graph Convolutional Network and {H.266/VVC} Encoded Video}, booktitle = {ICCE-Taiwan}, pages = {631--632}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/icce-tw/ChenLYL23, author = {Deng{-}Neng Chen and Chia{-}Yu Lai and Tzu{-}Ying Yang and Yi{-}Hung Liao}, title = {Using Patent Mining to Explore the Impacts of Patent Intensity and Diversity on the Enterprise's Financial Performance}, booktitle = {ICCE-Taiwan}, pages = {71--72}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/icce-tw/ChenWFSCLH23, author = {Han{-}Dong Chen and Liang{-}Hung Wang and Minghui Fan and Kun{-}Kun Sun and Chun{-}Hong Chen and Chia{-}Feng Liu and Pao{-}Cheng Huang}, title = {Design of {PPG} and {ECG} synchronous acquisition system based on {NRF52832}}, booktitle = {ICCE-Taiwan}, pages = {249--250}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/icce-tw/HuWKWWH23, author = {Hai{-}Yin Hu and Liang{-}Hung Wang and I{-}Chun Kuo and Min{-}Haw Wang and San{-}Fu Wang and Pao{-}Cheng Huang}, title = {A Multi-Channel {EEG} Acquisition Device Based on {BT} Microcontroller}, booktitle = {ICCE-Taiwan}, pages = {251--252}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/icce-tw/LinTCCLW23, author = {Yan{-}Heng Lin and Yu{-}Tse Tsan and Yu{-}Wei Chan and Chih{-}Hung Chang and Chia{-}Chen Lin and Hsuan{-}Fu Wang}, title = {Implementation of a Quality Evaluation System for Chest Compression based on OpenPose Model}, booktitle = {ICCE-Taiwan}, pages = {583--584}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/iccel/ChenSL23, author = {Pei{-}Hsuan Chen and Min{-}Jung Sung and Cheng{-}Hung Lin}, title = {Stage-Stopped Belief Propagation Decoding for Polar Codes}, booktitle = {{ICCE}}, pages = {1--4}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/iccv/ChenY0CHPL23, author = {Su{-}Kai Chen and Hung{-}Lin Yen and Yu{-}Lun Liu and Min{-}Hung Chen and Hou{-}Ning Hu and Wen{-}Hsiao Peng and Yen{-}Yu Lin}, title = {Learning Continuous Exposure Value Representations for Single-Image {HDR} Reconstruction}, booktitle = {{ICCV}}, pages = {12944--12954}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/iccv/XieLCCLSC23, author = {Hongxia Xie and Ming{-}Xian Lee and Tzu{-}Jui Chen and Hung{-}Jen Chen and Hou{-}I Liu and Hong{-}Han Shuai and Wen{-}Huang Cheng}, title = {Most Important Person-guided Dual-branch Cross-Patch Attention for Group Affect Recognition}, booktitle = {{ICCV}}, pages = {20541--20551}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/iccv/YangCCL23, author = {Cheng{-}Kun Yang and Min{-}Hung Chen and Yung{-}Yu Chuang and Yen{-}Yu Lin}, title = {2D-3D Interlaced Transformer for Point Cloud Segmentation with Scene-Level Supervision}, booktitle = {{ICCV}}, pages = {977--987}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/iccvw/HuangYCFL23, author = {Wei{-}Jhe Huang and Jheng{-}Hsien Yeh and Min{-}Hung Chen and Gueter Josmy Faure and Shang{-}Hong Lai}, title = {Interaction-Aware Prompting for Zero-Shot Spatio-Temporal Action Detection}, booktitle = {{ICCV} (Workshops)}, pages = {284--293}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/iccvw/LinCW23, author = {Ci{-}Siang Lin and Min{-}Hung Chen and Yu{-}Chiang Frank Wang}, title = {Frequency-Aware Self-Supervised Long-Tailed Learning}, booktitle = {{ICCV} (Workshops)}, pages = {963--972}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/iccvw/SuCWLC23, author = {Wen{-}Tai Su and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {Kinship Representation Learning with Face Componential Relation}, booktitle = {{ICCV} (Workshops)}, pages = {3097--3106}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/ickii/ChengSCCLC23, author = {Tsung{-}Hui Cheng and Chu{-}Chun Song and Chien{-}Hao Chen and Zhi{-}Hua Chen and Ming{-}Hung Lin and Wen{-}Ping Chen}, title = {Large-scale Air Purifier System with Intelligent Sensor Frequency Conversion Control}, booktitle = {{ICKII}}, pages = {151--156}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/icra/ChungTHSHYCCH23, author = {Chi{-}Ming Chung and Yang{-}Che Tseng and Ya{-}Ching Hsu and Xiang Qian Shi and Yun{-}Hung Hua and Jia{-}Fong Yeh and Wen{-}Chin Chen and Yi{-}Ting Chen and Winston H. Hsu}, title = {Orbeez-SLAM: {A} Real-time Monocular Visual {SLAM} with {ORB} Features and NeRF-realized Mapping}, booktitle = {{ICRA}}, pages = {9400--9406}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/imis/ChanCHL23, author = {Ya{-}Lan Chan and Po{-}Hung Chen and Sue{-}Ming Hsu and Mei{-}Hua Liao}, title = {Research on the Influence of On-the-go Cross-store Access through APPs on Consumer Behavior}, booktitle = {{IMIS}}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {177}, pages = {381--388}, publisher = {Springer}, year = {2023} }
@inproceedings{DBLP:conf/infocom/HuangCKH23, author = {Jun{-}Hong Huang and Shin{-}Ming Cheng and Rafael Kaliski and Cheng{-}Feng Hung}, title = {Developing xApps for Rogue Base Station Detection in SDR-Enabled {O-RAN}}, booktitle = {{INFOCOM} Workshops}, pages = {1--6}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/interspeech/ChenCL0W23, author = {Li{-}Wei Chen and Yao{-}Fei Cheng and Hung{-}Shin Lee and Yu Tsao and Hsin{-}Min Wang}, title = {A Training and Inference Strategy Using Noisy and Enhanced Speech as Target for Speech Enhancement without Clean Speech}, booktitle = {{INTERSPEECH}}, pages = {2473--2477}, publisher = {{ISCA}}, year = {2023} }
@inproceedings{DBLP:conf/ipps/ScharesTMCMSY23, author = {Laurent Schares and Asser N. Tantawi and Pavlos Maniotis and Ming{-}Hung Chen and Claudia Misale and Seetharami Seelam and Hao Yu}, title = {Chic-sched: a {HPC} Placement-Group Scheduler on Hierarchical Topologies with Constraints}, booktitle = {{IPDPS}}, pages = {424--434}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/isbi/LoLLHHSCJ23, author = {Kuang{-}Cheng Lo and Cheng{-}Wei Lin and Hsin{-}Ying Lee and Hao Hsu and Winston H. Hsu and Tung{-}Hung Su and Shih{-}Yu Chen and Yung{-}Ming Jeng}, title = {Self-Training with High-Dimensional Markers for Cell Instance Segmentation}, booktitle = {{ISBI}}, pages = {1--5}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/ispd/ChenHWLHCL23, author = {Hung{-}Ming Chen and Chu{-}Wen Ho and Shih{-}Hsien Wu and Wei Lu and Po{-}Tsang Huang and Hao{-}Ju Chang and Chien{-}Nan Jimmy Liu}, title = {Reshaping System Design in 3D Integration: Perspectives and Challenges}, booktitle = {{ISPD}}, pages = {71--77}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/ispe/FengHCCB23, author = {Pin{-}Rui Feng and Sheng{-}Po Hung and Yi{-}Husan Chen and Ming{-}Chuan Chiu and Zhe{-}Rui Bai}, title = {Developing an Intelligent Warning System to Detect Unsafe Driving Behaviors}, booktitle = {{TE}}, series = {Advances in Transdisciplinary Engineering}, volume = {41}, pages = {463--472}, publisher = {{IOS} Press}, year = {2023} }
@inproceedings{DBLP:conf/isqed/ChenLCH23, author = {Po{-}Yang Chen and Chang{-}Yun Liu and Hung{-}Ming Chen and Po{-}Tsang Huang}, title = {On-Interposer Decoupling Capacitors Placement for Interposer-based 3DIC}, booktitle = {{ISQED}}, pages = {1--6}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/isscc/HuangWHKLJHCCLLTHCCC23, author = {Wei{-}Hsing Huang and Tai{-}Hao Wen and Je{-}Min Hung and Win{-}San Khwa and Yun{-}Chen Lo and Chuan{-}Jia Jhang and Hung{-}Hsi Hsu and Yu{-}Hsiang Chin and Yu{-}Chiao Chen and Chuna{-}Chuan Lo and Ren{-}Shuo Liu and Kea{-}Tiong Tang and Chih{-}Cheng Hsieh and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A Nonvolatile Al-Edge Processor with 4MB {SLC-MLC} Hybrid-Mode ReRAM Compute-in-Memory Macro and 51.4-251TOPS/W}, booktitle = {{ISSCC}}, pages = {258--259}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/metacom/SungCTPLH23, author = {Hung{-}Ming Sung and Timothy Chen and Hung{-}Chun Tseng and Beatrice Prayogo and Jin{-}Yao Lin and Yi{-}Ping Hung}, title = {akaTick: Hybrid Mobile E-Ticketing System Based on Non-Fungible Tokens}, booktitle = {MetaCom}, pages = {686--687}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/mir/NicoPPPCC023, author = {subst Nico and Tse{-}Yu Pan and Herman Prawiro and Jian{-}Wei Peng and Wen{-}Cheng Chen and Hung{-}Kuo Chu and Min{-}Chun Hu}, title = {Offensive Tactics Recognition in Broadcast Basketball Videos Based on 2D Camera View Player Heatmaps}, booktitle = {{ICMR}}, pages = {571--575}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/mmasia/ChengTTCC023, author = {Yen{-}Pin Cheng and Tsung{-}Hsun Tsai and Tai{-}Chen Tsai and Yi{-}Hsuan Chiu and Hung{-}Kuo Chu and Min{-}Chun Hu}, title = {OmniScorer: Real-Time Shot Spot Analysis for Court View Basketball Videos}, booktitle = {MMAsia}, pages = {102:1--102:3}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/ofc/JianWWHCCLY23, author = {Yin{-}He Jian and Chih{-}Chun Wang and Tzu{-}Chieh Wei and Ying{-}Kai Hong and Huang{-}Ming Chen and Chi{-}Wai Chow and Yang Liu and Chien{-}Hung Yeh}, title = {Optical Beam Steerable and Flexible Data Rate Orthogonal Frequency Division Multiplexing Non-Orthogonal Multiple Access {(OFDM-NOMA)} Visible Light Communication}, booktitle = {{OFC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/smacd/WangLLC23, author = {Po{-}Chun Wang and Mark Po{-}Hung Lin and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {Layout Synthesis of Analog Primitive Cells with Variational Autoencoder}, booktitle = {{SMACD}}, pages = {1--4}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/vlsit/ChenLZHLTHWCXCC23, author = {Yu{-}Rui Chen and Yi{-}Chun Liu and Zefu Zhao and Wan{-}Hsuan Hsieh and Jia{-}Yang Lee and Chien{-}Te Tu and Bo{-}Wei Huang and Jer{-}Fu Wang and Shee{-}Jier Chueh and Yifan Xing and Guan{-}Hua Chen and Hung{-}Chun Chou and Dong Soo Woo and Min{-}Hung Lee and Chee Wee Liu}, title = {First Stacked Nanosheet FeFET Featuring Memory Window of 1.8V at Record Low Write Voltage of 2V and Endurance {\textgreater}1E11 Cycles}, booktitle = {{VLSI} Technology and Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/vlsit/HsiangLCLLLCLHL23, author = {Kuo{-}Yu Hsiang and J.{-}Y. Lee and F.{-}S. Chang and Z.{-}F. Lou and Z.{-}X. Li and Z.{-}H. Li and J.{-}H. Chen and C. W. Liu and T.{-}H. Hou and Min{-}Hung Lee}, title = {FeRAM Recovery up to 200 Periods with Accumulated Endurance 1012 Cycles and an Applicable Array Circuit toward Unlimited eNVM Operations}, booktitle = {{VLSI} Technology and Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/vlsit/LeeCHCLLTLL23, author = {J.{-}Y. Lee and F.{-}S. Chang and Kuo{-}Yu Hsiang and P.{-}H. Chen and Z.{-}F. Luo and Z.{-}X. Li and J.{-}H. Tsai and C. W. Liu and Min{-}Hung Lee}, title = {3D Stackable Vertical Ferroelectric Tunneling Junction {(V-FTJ)} with on/off Ratio 1500x, Applicable Cell Current, Self-Rectifying Ratio 1000x, Robust Endurance of 10{\unicode{8313}} Cycles, Multilevel and Demonstrated Macro Operation Toward High-Density {BEOL} NVMs}, booktitle = {{VLSI} Technology and Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/vlsit/WenHHWCLCSKWLLH23, author = {Tai{-}Hao Wen and Je{-}Min Hung and Hung{-}Hsi Hsu and Yuan Wu and Fu{-}Chun Chang and Chung{-}Yuan Li and Chih{-}Han Chien and Chin{-}I Su and Win{-}San Khwa and Jui{-}Jen Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Mon{-}Shu Ho and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 28nm Nonvolatile {AI} Edge Processor using 4Mb Analog-Based Near-Memory-Compute ReRAM with 27.2 {TOPS/W} for Tiny {AI} Edge Devices}, booktitle = {{VLSI} Technology and Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/vlsit/WuHSCHCCSWYCLRW23, author = {Ming{-}Hung Wu and Ming{-}Chun Hong and Ching Shih and Yao{-}Jen Chang and Yu{-}Chen Hsin and Shih{-}Ching Chiu and Kuan{-}Ming Chen and Yi{-}Hui Su and Chih{-}Yao Wang and Shan{-}Yi Yang and Guan{-}Long Chen and Hsin{-}Han Lee and Sk. Ziaur Rahaman and I{-}Jung Wang and Chen{-}Yi Shih and Tsun{-}Chun Chang and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Tuo{-}Hung Hou}, title = {{U-MRAM:} Transistor-Less, High-Speed {(10} ns), Low-Voltage {(0.6} V), Field-Free Unipolar {MRAM} for High-Density Data Memory}, booktitle = {{VLSI} Technology and Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/vr/LiuPHCLHC23, author = {Pin{-}Xuan Liu and Tse{-}Yu Pan and Min{-}Chun Hu and Hung{-}Kuo Chu and Hsin{-}Shih Lin and Wen{-}Wei Hsieh and Chih{-}Jen Cheng}, title = {An Exploratory Investigation into the Design of a Basketball Immersive Vision Training System}, booktitle = {{VR} Workshops}, pages = {725--726}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/wacv/FaureCL23, author = {Gueter Josmy Faure and Min{-}Hung Chen and Shang{-}Hong Lai}, title = {Holistic Interaction Transformer Network for Action Detection}, booktitle = {{WACV}}, pages = {3329--3339}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/wpmc/TsengHHC23, author = {Chi{-}heng Tseng and Cheng{-}Feng Hung and Bing{-}Kai Hong and Shin{-}Ming Cheng}, title = {On Manipulating Routing Table to Realize Redirect Attacks in {O-RAN} by Malicious xApp}, booktitle = {{WPMC}}, pages = {288--292}, publisher = {{IEEE}}, year = {2023} }
@article{DBLP:journals/corr/abs-2301-01596, author = {Jun{-}En Ding and Chih{-}Ho Hsu and Kuan{-}Chia Ling and Ling Chen and Fang{-}Ming Hung}, title = {Hospital transfer risk prediction for {COVID-19} patients from a medicalized hotel based on Diffusion GraphSAGE}, journal = {CoRR}, volume = {abs/2301.01596}, year = {2023} }
@article{DBLP:journals/corr/abs-2301-05639, author = {Shuai Wang and ChiYung Yam and Shuguang Chen and Lihong Hu and Liping Li and Faan{-}Fung Hung and Jiaqi Fan and Chi{-}Ming Che and Guanhua Chen}, title = {Predictions of photophysical properties of phosphorescent platinum(II) complexes based on ensemble machine learning approach}, journal = {CoRR}, volume = {abs/2301.05639}, year = {2023} }
@article{DBLP:journals/corr/abs-2303-06980, author = {Li{-}Chin Chen and Kuo{-}Hsuan Hung and Yi{-}Ju Tseng and Hsin{-}Yao Wang and Tse{-}Min Lu and Wei{-}Chieh Huang and Yu Tsao}, title = {Self-supervised based general laboratory progress pretrained model for cardiovascular event detection}, journal = {CoRR}, volume = {abs/2303.06980}, year = {2023} }
@article{DBLP:journals/corr/abs-2304-04546, author = {Weng{-}Tai Su and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {Kinship Representation Learning with Face Componential Relation}, journal = {CoRR}, volume = {abs/2304.04546}, year = {2023} }
@article{DBLP:journals/corr/abs-2304-04688, author = {Wei{-}Jhe Huang and Jheng{-}Hsien Yeh and Gueter Josmy Faure and Min{-}Hung Chen and Shang{-}Hong Lai}, title = {Interaction-Aware Prompting for Zero-Shot Spatio-Temporal Action Detection}, journal = {CoRR}, volume = {abs/2304.04688}, year = {2023} }
@article{DBLP:journals/corr/abs-2304-14404, author = {Tsai{-}Shien Chen and Chieh Hubert Lin and Hung{-}Yu Tseng and Tsung{-}Yi Lin and Ming{-}Hsuan Yang}, title = {Motion-Conditioned Diffusion Model for Controllable Video Synthesis}, journal = {CoRR}, volume = {abs/2304.14404}, year = {2023} }
@article{DBLP:journals/corr/abs-2305-00455, author = {Jia{-}Hong Huang and Chao{-}Han Huck Yang and Pin{-}Yu Chen and Min{-}Hung Chen and Marcel Worring}, title = {Causalainer: Causal Explainer for Automatic Video Summarization}, journal = {CoRR}, volume = {abs/2305.00455}, year = {2023} }
@article{DBLP:journals/corr/abs-2305-19011, author = {Yu{-}Hsiang Wang and Huang{-}Yu Chen and Kai{-}Wei Chang and Winston H. Hsu and Hung{-}yi Lee}, title = {MiniSUPERB: Lightweight Benchmark for Self-supervised Speech Models}, journal = {CoRR}, volume = {abs/2305.19011}, year = {2023} }
@article{DBLP:journals/corr/abs-2306-14313, author = {Chih{-}Jung Chang and Yaw{-}Chern Lee and Shih{-}Hsuan Yao and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {A Closer Look at Geometric Temporal Dynamics for Face Anti-Spoofing}, journal = {CoRR}, volume = {abs/2306.14313}, year = {2023} }
@article{DBLP:journals/corr/abs-2306-14822, author = {Chih{-}Yao Chen and Tun{-}Min Hung and Yi{-}Li Hsu and Lun{-}Wei Ku}, title = {Label-Aware Hyperbolic Embeddings for Fine-grained Emotion Classification}, journal = {CoRR}, volume = {abs/2306.14822}, year = {2023} }
@article{DBLP:journals/corr/abs-2306-16036, author = {Fakai Wang and Chi{-}Tung Cheng and Chien{-}Wei Peng and Ke Yan and Min Wu and Le Lu and Chien{-}Hung Liao and Ling Zhang}, title = {A Cascaded Approach for ultraly High Performance Lesion Detection and False Positive Removal in Liver {CT} Scans}, journal = {CoRR}, volume = {abs/2306.16036}, year = {2023} }
@article{DBLP:journals/corr/abs-2306-17404, author = {Hsi{-}Che Lin and Chien{-}Yi Wang and Min{-}Hung Chen and Szu{-}Wei Fu and Yu{-}Chiang Frank Wang}, title = {QuAVF: Quality-aware Audio-Visual Fusion for Ego4D Talking to Me Challenge}, journal = {CoRR}, volume = {abs/2306.17404}, year = {2023} }
@article{DBLP:journals/corr/abs-2307-15280, author = {De{-}Ming Chian and Feng{-}Ji Chen and Yu{-}Chen Chang and Chao{-}Kai Wen and Chi{-}Hung Wu and Fu{-}Kang Wang and Kai{-}Kit Wong and Chan{-}Byoung Chae}, title = {Active RIS-Assisted {MIMO-OFDM} System: Analyses and Prototype Measurements}, journal = {CoRR}, volume = {abs/2307.15280}, year = {2023} }
@article{DBLP:journals/corr/abs-2309-03900, author = {Su{-}Kai Chen and Hung{-}Lin Yen and Yu{-}Lun Liu and Min{-}Hung Chen and Hou{-}Ning Hu and Wen{-}Hsiao Peng and Yen{-}Yu Lin}, title = {Learning Continuous Exposure Value Representations for Single-Image {HDR} Reconstruction}, journal = {CoRR}, volume = {abs/2309.03900}, year = {2023} }
@article{DBLP:journals/corr/abs-2309-04723, author = {Ci{-}Siang Lin and Min{-}Hung Chen and Yu{-}Chiang Frank Wang}, title = {Frequency-Aware Self-Supervised Long-Tailed Learning}, journal = {CoRR}, volume = {abs/2309.04723}, year = {2023} }
@article{DBLP:journals/corr/abs-2309-14655, author = {Hsu{-}Kuang Chiu and Chien{-}Yi Wang and Min{-}Hung Chen and Stephen F. Smith}, title = {Probabilistic 3D Multi-Object Cooperative Tracking for Autonomous Driving via Differentiable Multi-Sensor Kalman Filter}, journal = {CoRR}, volume = {abs/2309.14655}, year = {2023} }
@article{DBLP:journals/corr/abs-2309-16509, author = {Ju{-}Hung Li and Jhih{-}Kuan Lin and Yung{-}Cheng Su and Chi{-}Wei Chu and Lai{-}Tak Kuok and Hung{-}Ming Lai and Chao{-}Lin Lee and Jenq{-}Kuen Lee}, title = {{SIMD} Everywhere Optimization from {ARM} {NEON} to {RISC-V} Vector Extensions}, journal = {CoRR}, volume = {abs/2309.16509}, year = {2023} }
@article{DBLP:journals/corr/abs-2310-02971, author = {Kai{-}Wei Chang and Ming{-}Hsin Chen and Yun{-}Ping Lin and Jing Neng Hsu and Paul Kuo{-}Ming Huang and Chien{-}Yu Huang and Shang{-}Wen Li and Hung{-}yi Lee}, title = {Prompting and Adapter Tuning for Self-supervised Encoder-Decoder Speech Model}, journal = {CoRR}, volume = {abs/2310.02971}, year = {2023} }
@article{DBLP:journals/corr/abs-2310-04799, author = {Shih{-}Cheng Huang and Pin{-}Zu Li and Yu{-}Chi Hsu and Kuang{-}Ming Chen and Yu{-}Tung Lin and Shih{-}Kai Hsiao and Richard Tzong{-}Han Tsai and Hung{-}yi Lee}, title = {Chat Vector: {A} Simple Approach to Equip LLMs With New Language Chat Capabilities}, journal = {CoRR}, volume = {abs/2310.04799}, year = {2023} }
@article{DBLP:journals/corr/abs-2310-12817, author = {Cheng{-}Kun Yang and Min{-}Hung Chen and Yung{-}Yu Chuang and Yen{-}Yu Lin}, title = {2D-3D Interlaced Transformer for Point Cloud Segmentation with Scene-Level Supervision}, journal = {CoRR}, volume = {abs/2310.12817}, year = {2023} }
@article{DBLP:journals/corr/abs-2310-15129, author = {Nicholas Collin Suwono and Justin Chih{-}Yao Chen and Tun{-}Min Hung and Ting{-}Hao Kenneth Huang and I{-}Bin Liao and Yung{-}Hui Li and Lun{-}Wei Ku and Shao{-}Hua Sun}, title = {Location-Aware Visual Question Generation with Lightweight Models}, journal = {CoRR}, volume = {abs/2310.15129}, year = {2023} }
@article{DBLP:journals/corr/abs-2311-12159, author = {Jia{-}Hong Huang and Chao{-}Han Huck Yang and Pin{-}Yu Chen and Min{-}Hung Chen and Marcel Worring}, title = {Conditional Modeling Based Automatic Video Summarization}, journal = {CoRR}, volume = {abs/2311.12159}, year = {2023} }
@article{DBLP:journals/corr/abs-2312-01384, author = {Yi{-}Jun Chang and Gopinath Mishra and Hung Thuan Nguyen and Mingyang Yang and Yu{-}Cheng Yeh}, title = {A Tight Lower Bound for 3-Coloring Grids in the Online-LOCAL Model}, journal = {CoRR}, volume = {abs/2312.01384}, year = {2023} }
@article{DBLP:journals/corr/abs-2312-04016, author = {Ardian Umam and Cheng{-}Kun Yang and Min{-}Hung Chen and Jen{-}Hui Chuang and Yen{-}Yu Lin}, title = {PartDistill: 3D Shape Part Segmentation by Vision-Language Model Distillation}, journal = {CoRR}, volume = {abs/2312.04016}, year = {2023} }
@article{DBLP:journals/iacr/BeullensCHKPSY23, author = {Ward Beullens and Ming{-}Shing Chen and Shih{-}Hao Hung and Matthias J. Kannwischer and Bo{-}Yuan Peng and Cheng{-}Jhih Shih and Bo{-}Yin Yang}, title = {Oil and Vinegar: Modern Parameters and Implementations}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {59}, year = {2023} }
@article{DBLP:journals/access/ChenHJLK22, author = {Jiann{-}Jong Chen and Yuh{-}Shyan Hwang and Wei{-}Ming Jiang and Chien{-}Hung Lai and Joshua Ku}, title = {A New Improved Ultra-Fast-Response Low-Transient-Voltage Buck Converter With Transient-Acceleration Loops and V-Cubic Techniques}, journal = {{IEEE} Access}, volume = {10}, pages = {3601--3607}, year = {2022} }
@article{DBLP:journals/access/ChenLTYYKC22, author = {Mei{-}Juan Chen and Cheng{-}An Lee and Yu{-}Hsiang Tsai and Chieh{-}Ming Yang and Chia{-}Hung Yeh and Lih{-}Jen Kau and Chuan{-}Yu Chang}, title = {Efficient Partition Decision Based on Visual Perception and Machine Learning for H.266/Versatile Video Coding}, journal = {{IEEE} Access}, volume = {10}, pages = {42127--42136}, year = {2022} }
@article{DBLP:journals/access/LinCCH22, author = {Hung{-}Hsien Lin and Ming{-}Yang Cheng and Yue{-}Ting Chen and Ching{-}Yang Huang}, title = {Contour Following Accuracy Improvement - {A} Dynamic Fast Nonsingular Terminal Sliding Mode Control Approach}, journal = {{IEEE} Access}, volume = {10}, pages = {34185--34195}, year = {2022} }
@article{DBLP:journals/access/TangCKWL22, author = {Shao{-}Chan Tang and Pei{-}Cheng Chu and Jen{-}Tsai Kuo and Lin{-}Kun Wu and Chun{-}Hung Lin}, title = {Compact Microstrip Wideband Cross-Coupled Inline Bandpass Filters With Miniaturized Stepped-Impedance Resonators (SIRs)}, journal = {{IEEE} Access}, volume = {10}, pages = {21328--21335}, year = {2022} }
@article{DBLP:journals/anor/WangWS22, author = {To{-}Cheng Wang and Chien{-}Wei Wu and Ming{-}Hung Shu}, title = {A variables-type multiple-dependent-state sampling plan based on the lifetime performance index under a Weibull distribution}, journal = {Ann. Oper. Res.}, volume = {311}, number = {1}, pages = {381--399}, year = {2022} }
@article{DBLP:journals/apin/LiuBFLH22, author = {Shuhua Liu and Xiaoying Bai and Ming Fang and Lanting Li and Chih{-}Cheng Hung}, title = {Mixed graph convolution and residual transformation network for skeleton-based action recognition}, journal = {Appl. Intell.}, volume = {52}, number = {2}, pages = {1544--1555}, year = {2022} }
@article{DBLP:journals/bspc/ChangLHZHL22, author = {Che{-}Min Chang and Chun{-}Liang Lin and Bin Huang and Yue Zhang and Pei{-}Chun Hung and Yu{-}Chen Liu}, title = {Image based control of smart workout systems}, journal = {Biomed. Signal Process. Control.}, volume = {77}, pages = {103766}, year = {2022} }
@article{DBLP:journals/candie/ShuWH22, author = {Ming{-}Hung Shu and To{-}Cheng Wang and Bi{-}Min Hsu}, title = {Generalized quick-switch sampling systems indexed by Taguchi capability with record traceability}, journal = {Comput. Ind. Eng.}, volume = {172}, number = {Part}, pages = {108577}, year = {2022} }
@article{DBLP:journals/ce/LiuLCL22, author = {Chen{-}Chung Liu and Mo{-}Gang Liao and Chia{-}Hui Chang and Hung{-}Ming Lin}, title = {An analysis of children' interaction with an {AI} chatbot and its impact on their interest in reading}, journal = {Comput. Educ.}, volume = {189}, pages = {104576}, year = {2022} }
@article{DBLP:journals/cem/ChoTTWC22, author = {Hsin{-}Hung Cho and Min{-}Yan Tsai and Fan{-}Hsun Tseng and Hsin{-}Te Wu and Chi{-}Yuan Chen}, title = {Improving Randomness of Symmetric Encryption for Consumer Privacy Using Metaheuristic-Based Framework}, journal = {{IEEE} Consumer Electron. Mag.}, volume = {11}, number = {1}, pages = {42--49}, year = {2022} }
@article{DBLP:journals/electronicmarkets/GuanHL22, author = {Chong Guan and Yu{-}Chen Hung and Wenting Liu}, title = {Cultural differences in hospitality service evaluations: mining insights of user generated content}, journal = {Electron. Mark.}, volume = {32}, number = {3}, pages = {1061--1081}, year = {2022} }
@article{DBLP:journals/entropy/ChenSPW22, author = {Jin{-}Long Chen and Hsuan{-}Shu Shen and Shih{-}Yi Peng and Hung{-}Ming Wang}, title = {Reduced System Complexity of Heart Rate Dynamics in Patients with Hyperthyroidism: {A} Multiscale Entropy Analysis}, journal = {Entropy}, volume = {24}, number = {2}, pages = {258}, year = {2022} }
@article{DBLP:journals/eswa/ChenWC22, author = {Hung{-}Ming Chen and Hung{-}Yi Wu and Pih{-}Shuw Chen}, title = {Innovative service model of information services based on the sustainability balanced scorecard: Applied integration of the fuzzy Delphi method, Kano model, and {TRIZ}}, journal = {Expert Syst. Appl.}, volume = {205}, pages = {117601}, year = {2022} }
@article{DBLP:journals/eswa/WangS22, author = {To{-}Cheng Wang and Ming{-}Hung Shu}, title = {Optimum design of generalized adaptive sampling plan for solid supplier-buyer purchasing partnership with yield-driven validation}, journal = {Expert Syst. Appl.}, volume = {203}, pages = {117388}, year = {2022} }
@article{DBLP:journals/fgcs/LiaoGCY22, author = {Chia{-}Hung Liao and Xue{-}Qin Guan and Jen{-}Hao Cheng and Shyan{-}Ming Yuan}, title = {Blockchain-based identity management and access control framework for open banking ecosystem}, journal = {Future Gener. Comput. Syst.}, volume = {135}, pages = {450--466}, year = {2022} }
@article{DBLP:journals/ieeemm/HungTHHCH22, author = {Yu{-}Hsiang Hung and Julianne Tan and Tai{-}Ming Huang and Shang{-}Che Hsu and Yi{-}Ling Chen and Kai{-}Lung Hua}, title = {Unpaired Image-to-Image Translation Using Negative Learning for Noisy Patches}, journal = {{IEEE} Multim.}, volume = {29}, number = {4}, pages = {59--68}, year = {2022} }
@article{DBLP:journals/iet-spr/LinWPCLP22, author = {Chia{-}Hung Lin and Jian{-}Xing Wu and Neng{-}Sheng Pai and Pi{-}Yun Chen and Chien{-}Ming Li and Ching Chou Pai}, title = {Cover Image}, journal = {{IET} Signal Process.}, volume = {16}, number = {3}, pages = {1}, year = {2022} }
@article{DBLP:journals/iet-spr/LinWPCLP22a, author = {Chia{-}Hung Lin and Jian{-}Xing Wu and Neng{-}Sheng Pai and Pi{-}Yun Chen and Chien{-}Ming Li and Ching Chou Pai}, title = {Intelligent physiological signal infosecurity: Case study in photoplethysmography {(PPG)} signal}, journal = {{IET} Signal Process.}, volume = {16}, number = {3}, pages = {267--280}, year = {2022} }
@article{DBLP:journals/ijcta/LinCL22, author = {Wei{-}Cheng Lin and Ming{-}Chiu Chang and Chien{-}Hung Liao}, title = {Design of 150-{\(\mu\)}V input-referred voltage 1-GHz comparison frequency dual offset cancelation comparator for pH biomarker system-on-chip}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {1}, pages = {108--121}, year = {2022} }
@article{DBLP:journals/ijcta/LinCLH22, author = {Wei{-}Cheng Lin and Ming{-}Chiu Chang and Chien{-}Hung Liao and Chun{-}Ting Hsieh}, title = {Design of 0.1-mV zero-g and 200-{\(\mu\)}g/{\(\surd\)}Hz capacitance accelerometer with high sensitivity readout circuit with time-to-digital convertor applied to finger tremor of neurodegenerative disease}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {7}, pages = {2289--2304}, year = {2022} }
@article{DBLP:journals/ijfogcomp/ChenH22, author = {Yee{-}Ming Chen and Chung{-}Hung Hsieh}, title = {Distributed Consensus Based and Network Economic Control of Energy Internet Management}, journal = {Int. J. Fog Comput.}, volume = {5}, number = {1}, pages = {1--14}, year = {2022} }
@article{DBLP:journals/ijfogcomp/ChenH22a, author = {Yee{-}Ming Chen and Chung{-}Hung Hsieh}, title = {Resource Allocation With Multiagent Trading Over the Edge Services}, journal = {Int. J. Fog Comput.}, volume = {5}, number = {1}, pages = {1--11}, year = {2022} }
@article{DBLP:journals/ijismd/ChangCCL22, author = {Tsui{-}Ping Chang and Hung{-}Ming Chen and Shih{-}Ying Chen and Wei{-}Cheng Lin}, title = {Deep Learning Model for Dynamic Hand Gesture Recognition for Natural Human-Machine Interface on End Devices}, journal = {Int. J. Inf. Syst. Model. Des.}, volume = {13}, number = {10}, pages = {1--23}, year = {2022} }
@article{DBLP:journals/ijpr/ChangSLCWCL22, author = {Kuo{-}Hao Chang and Yi{-}Jyun Sun and Chi{-}An Lai and Li{-}Der Chen and Chih{-}Hung Wang and Chung{-}Jung Chen and Chih{-}Ming Lin}, title = {Big data analytics energy-saving strategies for air compressors in the semiconductor industry - an empirical study}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {6}, pages = {1782--1794}, year = {2022} }
@article{DBLP:journals/ijpr/WangHS22, author = {To{-}Cheng Wang and Bi{-}Min Hsu and Ming{-}Hung Shu}, title = {An integrated quick-switch sampling system based on a process capability index for constructing a solid supplier-buyer relationship}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {21}, pages = {6413--6429}, year = {2022} }
@article{DBLP:journals/iotm/ChengHH22, author = {Shin{-}Ming Cheng and Bing{-}Kai Hong and Cheng{-}Feng Hung}, title = {Attack Detection and Mitigation in MEC-Enabled 5G Networks for AIoT}, journal = {{IEEE} Internet Things Mag.}, volume = {5}, number = {3}, pages = {76--81}, year = {2022} }
@article{DBLP:journals/isci/ChenY22, author = {Shyi{-}Ming Chen and Shao{-}Hung Yu}, title = {Multiattribute decision making based on novel score function and the power operator of interval-valued intuitionistic fuzzy values}, journal = {Inf. Sci.}, volume = {606}, pages = {763--785}, year = {2022} }
@article{DBLP:journals/itp/HungCL22, author = {Shiu{-}Wan Hung and Min{-}Jhih Cheng and Chia{-}Jung Lee}, title = {A new mechanism for purchasing through personal interactions: fairness, trust and social influence in online group buying}, journal = {Inf. Technol. People}, volume = {35}, number = {5}, pages = {1563--1589}, year = {2022} }
@article{DBLP:journals/jcal/LiuLCWCCTLH22, author = {Chen{-}Chung Liu and Tsun{-}Wei Lin and Chia{-}Hui Cheng and Cai{-}Ting Wen and Ming{-}Hua Chang and Shih{-}Hsun Fan Chiang and Meng{-}Jung Tsai and Hung{-}Ming Lin and Fu{-}Kwun Hwang}, title = {The impact of functional interdependencies of computer simulations on collaborative learning: Evidence from multiple sources}, journal = {J. Comput. Assist. Learn.}, volume = {38}, number = {2}, pages = {455--469}, year = {2022} }
@article{DBLP:journals/jise/LiuLTCC22, author = {Chien{-}Hung Liu and Wen{-}Yew Liang and Ming{-}Yi Tsai and Wei{-}Che Chang and Woei{-}Kae Chen}, title = {A Novel Approach to Automate IoT Testing of Gateways and Devices}, journal = {J. Inf. Sci. Eng.}, volume = {38}, number = {2}, pages = {317--341}, year = {2022} }
@article{DBLP:journals/jocnet/LeeCHL22, author = {Ming{-}Che Lee and Ching{-}Hung Chang and Guan{-}Jhang Huang and Chen{-}Wei Liou}, title = {Hybrid tree-and-multiple-ring radio-over-fiber transmission system for a 5G network in metropolitan areas}, journal = {{JOCN}}, volume = {15}, number = {10}, pages = {765--775}, year = {2022} }
@article{DBLP:journals/jors/WangSHH22, author = {To{-}Cheng Wang and Ming{-}Hung Shu and Bi{-}Min Hsu and Chih{-}Wei Hsu}, title = {Adjustable variables multiple-dependent-state sampling plans based on a process capability index}, journal = {J. Oper. Res. Soc.}, volume = {73}, number = {12}, pages = {2626--2639}, year = {2022} }
@article{DBLP:journals/jssc/ChiuCLHCXWKCHTL22, author = {Yen{-}Cheng Chiu and Tung{-}Cheng Chang and Chun{-}Ying Lee and Je{-}Min Hung and Kuang{-}Tang Chang and Cheng{-}Xin Xue and Ssu{-}Yen Wu and Hui{-}Yao Kao and Peng Chen and Hsiao{-}Yu Huang and Shih{-}Hsih Teng and Chieh{-}Pu Lo and Yi{-}Chun Shih and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Yier Jin and Meng{-}Fan Chang}, title = {A 22-nm 1-Mb 1024-b Read Data-Protected {STT-MRAM} Macro With Near-Memory Shift-and-Rotate Functionality and 42.6-GB/s Read Bandwidth for Security-Aware Mobile Device}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {6}, pages = {1936--1949}, year = {2022} }
@article{DBLP:journals/jssc/LeeASZKVCFGCMOL22, author = {Sae Kyu Lee and Ankur Agrawal and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matthew Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and Monodeep Kar and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 7-nm Four-Core Mixed-Precision {AI} Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS {INT4} Inference, and Workload-Aware Throttling}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {182--197}, year = {2022} }
@article{DBLP:journals/midm/HsuSHCLK22, author = {Shih{-}Tien Hsu and Yu{-}Jie Su and Chian{-}Huei Hung and Ming{-}Jer Chen and Chien{-}Hsing Lu and Chih{-}En Kuo}, title = {Automatic ovarian tumors recognition system based on ensemble convolutional neural network with ultrasound imaging}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {298}, year = {2022} }
@article{DBLP:journals/mta/ChenHCLLHCHCLLL22, author = {Pin{-}Hsiu Chen and Cheng{-}Hsien Huang and Wen{-}Tse Chiu and Chen{-}Mao Liao and Yu{-}Ruei Lin and Shih{-}Kai Hung and Liang{-}Cheng Chen and Hui{-}Ling Hsieh and Wen{-}Yen Chiou and Moon{-}Sing Lee and Hon{-}Yi Lin and Wei{-}Min Liu}, title = {A multiple organ segmentation system for {CT} image series using Attention-LSTM fused U-Net}, journal = {Multim. Tools Appl.}, volume = {81}, number = {9}, pages = {11881--11895}, year = {2022} }
@article{DBLP:journals/pacmhci/HungYBCLHY22, author = {Min{-}Wei Hung and Tina Chien{-}Wen Yuan and Nanyi Bi and Yi{-}Chao Chen and Wan{-}Chen Lee and Ming{-}Chyi Huang and Chuang{-}Wen You}, title = {To Use or Abuse: Opportunities and Difficulties in the Use of Multi-channel Support to Reduce Technology Abuse by Adolescents}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW1}}, pages = {125:1--125:27}, year = {2022} }
@article{DBLP:journals/remotesensing/ChenZSWLLGLJZCZ22, author = {Chieh{-}Hung Chen and Xuemin Zhang and Yang{-}Yi Sun and Fei Wang and Tien{-}Chi Liu and Chi{-}Yen Lin and Yongxin Gao and Jun Lyu and Xiaobing Jin and Xiaoli Zhao and Xiang Cheng and Pengyu Zhang and Qiyu Chen and Dixin Zhang and Zhiqiang Mao and Jann{-}Yenq Liu}, title = {Individual Wave Propagations in Ionosphere and Troposphere Triggered by the Hunga Tonga-Hunga Ha'apai Underwater Volcano Eruption on 15 January 2022}, journal = {Remote. Sens.}, volume = {14}, number = {9}, pages = {2179}, year = {2022} }
@article{DBLP:journals/remotesensing/KeSKCLCKC22, author = {Kai{-}Jun Ke and Ching{-}Lun Su and Ruey{-}Ming Kuong and Hsyang{-}Chan Chen and Hung{-}Shi Lin and Po{-}Hsun Chiu and Ching{-}Yuan Ko and Yen{-}Hsyang Chu}, title = {New Chung-Li Ionosonde in Taiwan: System Description and Preliminary Results}, journal = {Remote. Sens.}, volume = {14}, number = {8}, pages = {1913}, year = {2022} }
@article{DBLP:journals/remotesensing/LeeLWLC22, author = {Chih{-}Hung Lee and Li{-}Wei Liu and Yu{-}Min Wang and Jan{-}Mou Leu and Chung{-}Ling Chen}, title = {Drone-Based Bathymetry Modeling for Mountainous Shallow Rivers in Taiwan Using Machine Learning}, journal = {Remote. Sens.}, volume = {14}, number = {14}, pages = {3343}, year = {2022} }
@article{DBLP:journals/sensors/ChenCHZ22, author = {Yuh{-}Shyan Chen and Kuang{-}Hung Cheng and Chih{-}Shun Hsu and Hong{-}Lun Zhang}, title = {MiniDeep: {A} Standalone AI-Edge Platform with a Deep Learning-Based {MINI-PC} and {AI-QSR} System}, journal = {Sensors}, volume = {22}, number = {16}, pages = {5975}, year = {2022} }
@article{DBLP:journals/sensors/ChenCLYLZTL22, author = {Kai{-}Yu Chen and Li{-}Wei Chou and Hui{-}Min Lee and Shuenn{-}Tsong Young and Cheng{-}Hung Lin and Yi{-}Shu Zhou and Shih{-}Tsang Tang and Ying{-}Hui Lai}, title = {Human Motion Tracking Using 3D Image Features with a Long Short-Term Memory Mechanism Model - An Example of Forward Reaching}, journal = {Sensors}, volume = {22}, number = {1}, pages = {292}, year = {2022} }
@article{DBLP:journals/sensors/TsaiCLLHYHYH22, author = {Kuen{-}Jang Tsai and Mei{-}Chun Chou and Hao{-}Ming Li and Shin{-}Tso Liu and Jung{-}Hsiu Hsu and Wei{-}Cheng Yeh and Chao{-}Ming Hung and Cheng{-}Yu Yeh and Shaw{-}Hwa Hwang}, title = {A High-Performance Deep Neural Network Model for {BI-RADS} Classification of Screening Mammography}, journal = {Sensors}, volume = {22}, number = {3}, pages = {1160}, year = {2022} }
@article{DBLP:journals/sj/WuSLYCHHCTCLHLJ22, author = {Cheng{-}Wen Wu and Ming{-}Der Shieh and Jenn{-}Jier James Lien and Jar{-}Ferr Yang and Wei{-}Ta Chu and Tsang{-}Hai Huang and Han{-}Chuan Hsieh and Hung{-}Ta Chiu and Kuo{-}Cheng Tu and Yen{-}Ting Chen and Shian{-}Yu Lin and Jia{-}Jun Hu and Chen{-}Huan Lin and Cheng{-}Siang Jheng}, title = {Enhancing Fan Engagement in a 5G Stadium With AI-Based Technologies and Live Streaming}, journal = {{IEEE} Syst. J.}, volume = {16}, number = {4}, pages = {6590--6601}, year = {2022} }
@article{DBLP:journals/spl/HuPYTW22, author = {Cheng{-}Hung Hu and Yu{-}Huai Peng and Junichi Yamagishi and Yu Tsao and Hsin{-}Min Wang}, title = {SVSNet: An End-to-End Speaker Voice Similarity Assessment Model}, journal = {{IEEE} Signal Process. Lett.}, volume = {29}, pages = {767--771}, year = {2022} }
@article{DBLP:journals/tase/ChengLHMML22, author = {Fan{-}Tien Cheng and Chia{-}Yen Lee and Min{-}Hsiung Hung and Lars M{\"{o}}nch and James R. Morrison and Kaibo Liu}, title = {Special Issue on Automation Analytics Beyond Industry 4.0: From Hybrid Strategy to Zero-Defect Manufacturing}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {3}, pages = {1472--1476}, year = {2022} }
@article{DBLP:journals/tase/FanHTCJT22, author = {Shu{-}Kai S. Fan and Chia{-}Yu Hsu and Du{-}Ming Tsai and Mabel C. Chou and Chih{-}Hung Jen and Jen{-}Hsuan Tsou}, title = {Key Feature Identification for Monitoring Wafer-to-Wafer Variation in Semiconductor Manufacturing}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {3}, pages = {1530--1541}, year = {2022} }
@article{DBLP:journals/tase/HungLHCLHT0HYC22, author = {Min{-}Hsiung Hung and Yu{-}Chuan Lin and Hung{-}Chang Hsiao and Chao{-}Chun Chen and Kuan{-}Chou Lai and Yu{-}Ming Hsieh and Hao Tieng and Tsung{-}Han Tsai and Hsien{-}Cheng Huang and Haw Ching Yang and Fan{-}Tien Cheng}, title = {A Novel Implementation Framework of Digital Twins for Intelligent Manufacturing Based on Container Technology and Cloud Manufacturing Services}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {3}, pages = {1614--1630}, year = {2022} }
@article{DBLP:journals/tc/ChenCYC22, author = {Tseng{-}Yi Chen and Shao{-}Hung Chi and Ming{-}Chang Yang and Ting{-}Ying Chien}, title = {Enabling the Duo-Phase Data Management to Realize Longevity Bit-Alterable Flash Memory}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {8}, pages = {1982--1997}, year = {2022} }
@article{DBLP:journals/tem/ChengHTC22, author = {Min{-}Jhih Cheng and Shiu{-}Wan Hung and Her{-}Her Tsai and Yin{-}Chen Chou}, title = {Fostering Environmentally Responsible Consumer Behavior: {A} Hierarchical Approach Toward Smartphone Recycling}, journal = {{IEEE} Trans. Engineering Management}, volume = {69}, number = {5}, pages = {2326--2336}, year = {2022} }
@article{DBLP:journals/tim/ChiuCKHHCL22, author = {Sheng{-}Min Chiu and Yi{-}Chung Chen and Cheng{-}Ju Kuo and Li{-}Chun Hung and Min{-}Hsiung Hung and Chao{-}Chun Chen and Chiang Lee}, title = {Development of Lightweight {RBF-DRNN} and Automated Framework for {CNC} Tool-Wear Prediction}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--11}, year = {2022} }
@article{DBLP:journals/tjs/WuLLCTCCL22, author = {Fu{-}Hsing Wu and Huey{-}Jen Lai and Hsuan{-}Hung Lin and Po{-}Chou Chan and Chien{-}Ming Tseng and Kun{-}Min Chang and Yung{-}Fu Chen and Chih{-}Sheng Lin}, title = {Predictive models for detecting patients more likely to develop acute myocardial infarctions}, journal = {J. Supercomput.}, volume = {78}, number = {2}, pages = {2043--2071}, year = {2022} }
@article{DBLP:journals/tkl/YehC22, author = {Ying{-}Jung Yvonne Yeh and Min{-}Hung Chen}, title = {Examining the Primacy and Recency Effect on Learning Effectiveness with the Application of Interactive Response Systems (Irs)}, journal = {Technol. Knowl. Learn.}, volume = {27}, number = {3}, pages = {957--970}, year = {2022} }
@article{DBLP:journals/tmm/LinCYCKCL22, author = {Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Yong{-}Ci Chen and Lih{-}Jen Kau and Chuan{-}Yu Chang and Min{-}Hui Lin}, title = {Visual Perception Based Algorithm for Fast Depth Intra Coding of 3D-HEVC}, journal = {{IEEE} Trans. Multim.}, volume = {24}, pages = {1707--1720}, year = {2022} }
@article{DBLP:journals/tods/ChengYQHLNW22, author = {Ji Cheng and Da Yan and Wenwen Qu and Xiaotian Hao and Cheng Long and Wilfred Ng and Xiaoling Wang}, title = {Mining Order-preserving Submatrices under Data Uncertainty: {A} Possible-world Approach and Efficient Approximation Methods}, journal = {{ACM} Trans. Database Syst.}, volume = {47}, number = {2}, pages = {7:1--7:57}, year = {2022} }
@article{DBLP:journals/tsmc/ChiangCCT22, author = {Ming{-}Li Chiang and Yu{-}Wen Chen and Chin{-}Sheng Chen and Shun{-}Hung Tsai}, title = {Distributed Formation Control of Multiagent Systems With Specified Order}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {52}, number = {1}, pages = {301--310}, year = {2022} }
@article{DBLP:journals/tvt/ChenWCU22, author = {Yen{-}Ming Chen and Pao{-}Hung Wang and Chia{-}Sheng Cheng and Yeong{-}Luh Ueng}, title = {A Joint Design of {SCMA} Codebook and PTS-Based {PAPR} Reduction for Downlink {OFDM} Scheme}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {11}, pages = {11936--11948}, year = {2022} }
@article{DBLP:journals/uais/ChengSHTLH22, author = {Yih{-}Ping Cheng and Pei{-}Di Shen and Min{-}Ling Hung and Chia{-}Wen Tsai and Chih{-}Hsien Lin and Lynne Cheng Hsu}, title = {Applying Online Content-Based Knowledge Awareness and Team Learning to Develop Students' Programming Skills, Reduce their Anxiety, and Regulate Cognitive Load in a Cloud Classroom}, journal = {Univers. Access Inf. Soc.}, volume = {21}, number = {2}, pages = {557--572}, year = {2022} }
@inproceedings{DBLP:conf/aaai/LinL0HWTL22, author = {Li{-}Chung Lin and Cheng{-}Hung Liu and Chih{-}Ming Chen and Kai{-}Chin Hsu and I{-}Feng Wu and Ming{-}Feng Tsai and Chih{-}Jen Lin}, title = {On the Use of Unrealistic Predictions in Hundreds of Papers Evaluating Graph Representations}, booktitle = {{AAAI}}, pages = {7479--7487}, publisher = {{AAAI} Press}, year = {2022} }
@inproceedings{DBLP:conf/aaai/YehCSCH22, author = {Jia{-}Fong Yeh and Chi{-}Ming Chung and Hung{-}Ting Su and Yi{-}Ting Chen and Winston H. Hsu}, title = {Stage Conscious Attention Network {(SCAN):} {A} Demonstration-Conditioned Policy for Few-Shot Imitation}, booktitle = {{AAAI}}, pages = {8866--8873}, publisher = {{AAAI} Press}, year = {2022} }
@inproceedings{DBLP:conf/aciids/LiJHWHLHC22, author = {Pei{-}Ju Li and Lin{-}Yi Jiang and Ting{-}Yu Hu and Ding{-}Chau Wang and Gwo{-}Jiun Horng and Yu{-}Chuan Lin and Min{-}Hsiung Hung and Chao{-}Chun Chen}, title = {Expandable-Convolutional-Block Neural Inference with Spatial-Fused Multi-resolution Features and Terse Convolution for IoT-Based Intelligent Automated Systems}, booktitle = {{ACIIDS} (Companion)}, series = {Communications in Computer and Information Science}, volume = {1716}, pages = {276--286}, publisher = {Springer}, year = {2022} }
@inproceedings{DBLP:conf/aivr/KuWWWLTWCH22, author = {Calvin Ku and Jian{-}Jia Weng and Yu{-}Hsin Wang and Dong{-}Xian Wu and Yi{-}Min Lau and Wan{-}Lun Tsai and Te{-}Cheng Wu and Hung{-}Kuo Chu and Min{-}Chun Hu}, title = {Table Tennis Skill Learning in {VR} with Step by Step Guides using Forehand Drive as a Case Study}, booktitle = {{AIVR}}, pages = {275--282}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/amia/LyuLLCHSGL22, author = {Tianchu Lyu and Chen Liang and Jihong Liu and Berry Campbell and Peiyin Hung and Yi{-}Wen Shih and Nadia Ghumman and Xiaoming Li}, title = {Temporal Events Detector for Pregnancy Care {(TED-PC):} {A} Rule-based Algorithm to Infer Gestational Age and Delivery Date from Electronic Health Records of Pregnant Women with and without {COVID-19}}, booktitle = {{AMIA}}, publisher = {{AMIA}}, year = {2022} }
@inproceedings{DBLP:conf/apcc/ChengCHT22, author = {Jay Cheng and Hsin{-}Hung Chou and Shin{-}Shiang Huang and Ming{-}Che Tang}, title = {Constructions of Optical {MIMO} Priority Queues With Time-Varying Service Capacity}, booktitle = {{APCC}}, pages = {504--509}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/apnoms/HungTCHGC22, author = {Min{-}Han Hung and Che{-}Chun Teng and Chin{-}Ping Chuang and Chi{-}Sheng Hsu and Jai{-}Wei Gong and Mei{-}Chun Chen}, title = {A {SDN} Controller Monitoring Architecture for 5G Backhaul Networks}, booktitle = {{APNOMS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/apnoms/WuHHCLL22, author = {Ming{-}Yen Wu and Jiun{-}Cheng Huang and Yuan{-}Mao Hung and Cheng{-}Yi Chien and Jack Shi{-}Jie Luo and Shuo{-}Peng Liang}, title = {The Edge Cloud Implementation and Application of Transnational Smart Factory of 5G Private Network}, booktitle = {{APNOMS}}, pages = {1--6}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/bibe/ShihLLKLSC22, author = {Yu{-}Yin Shih and Chun{-}Hung Lin and Kuan{-}Ting Liu and Kai{-}Wen Kan and Hsien{-}Ya Lin and Ming{-}You Shie and Yi{-}Wen Chen}, title = {Supplement of iron abrogates SARS-CoV-2 pseudovirus infection in a 3D model of vascularized organoids}, booktitle = {{BIBE}}, pages = {134--136}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/bmvc/TiwariCTKCJVC22, author = {Hitika Tiwari and Min{-}Hung Chen and Yi{-}Min Tsai and Hsien{-}Kai Kuo and Hung{-}Jen Chen and Kevin Jou and K. S. Venkatesh and Yong{-}Sheng Chen}, title = {Self-Supervised Robustifying Guidance for Monocular 3D Face Reconstruction}, booktitle = {{BMVC}}, pages = {220}, publisher = {{BMVA} Press}, year = {2022} }
@inproceedings{DBLP:conf/chi/ChenHLYBLHY22, author = {Pin{-}Chieh Chen and Min{-}Wei Hung and Hsueh{-}Sung Lu and Tina Chien{-}Wen Yuan and Nanyi Bi and Wan{-}Chen Lee and Ming{-}Chyi Huang and Chuang{-}Wen You}, title = {This App is not for Me: Using Mobile and Wearable Technologies to Improve Adolescents' Smartphone Addiction through the Sharing of Personal Data with Parents}, booktitle = {{CHI}}, pages = {119:1--119:15}, publisher = {{ACM}}, year = {2022} }
@inproceedings{DBLP:conf/date/ChiCCLKCH22, author = {Hao{-}Yu Chi and Simon Yi{-}Hung Chen and Hung{-}Ming Chen and Chien{-}Nan Liu and Yun{-}Chih Kuo and Ya{-}Hsin Chang and Kuan{-}Hsien Ho}, title = {Practical Substrate Design Considering Symmetrical and Shielding Routes}, booktitle = {{DATE}}, pages = {951--956}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/date/LaiCKWHCLJ22, author = {Bo{-}Cheng Lai and Tzu{-}Chieh Chiang and Po{-}Shen Kuo and Wan{-}Ching Wang and Yan{-}Lin Hung and Hung{-}Ming Chen and Chien{-}Nan Liu and Shyh{-}Jye Jou}, title = {{DASC:} {A} {DRAM} Data Mapping Methodology for Sparse Convolutional Neural Networks}, booktitle = {{DATE}}, pages = {208--213}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/eccv/IgnatovSTTXYCKCCG22, author = {Andrey Ignatov and Anastasia Sycheva and Radu Timofte and Yu Tseng and Yu{-}Syuan Xu and Po{-}Hsiang Yu and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Min{-}Hung Chen and Chia{-}Ming Cheng and Luc Van Gool}, title = {MicroISP: Processing 32MP Photos on Mobile Devices with Deep Learning}, booktitle = {{ECCV} Workshops {(2)}}, series = {Lecture Notes in Computer Science}, volume = {13802}, pages = {729--746}, publisher = {Springer}, year = {2022} }
@inproceedings{DBLP:conf/embc/XueYPPCHKCTWCA22, author = {Zhiyun Xue and Kelly Yu and Paul C. Pearlman and Anabik Pal and Tseng{-}Cheng Chen and Chun{-}Hung Hua and Chung Jan Kang and Chih{-}Yen Chien and Ming{-}Hsui Tsai and Cheng{-}Ping Wang and Anil K. Chaturvedi and Sameer K. Antani}, title = {Automatic Detection of Oral Lesion Measurement Ruler Toward Computer-Aided Image-Based Oral Cancer Screening}, booktitle = {{EMBC}}, pages = {3218--3221}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/emnlp/LuHZMC22, author = {Keming Lu and I{-}Hung Hsu and Wenxuan Zhou and Mingyu Derek Ma and Muhao Chen}, title = {Summarization as Indirect Supervision for Relation Extraction}, booktitle = {{EMNLP} (Findings)}, pages = {6575--6594}, publisher = {Association for Computational Linguistics}, year = {2022} }
@inproceedings{DBLP:conf/essderc/StanojevicHTK22, author = {Zlatan Stanojevic and Lee{-}Chi Hung and Chen{-}Ming Tsai and Markus Karner}, title = {A Novel Approach to Modeling Insulator Wave-Function Penetration and Interface Roughness Scattering in MOSFETs}, booktitle = {{ESSDERC}}, pages = {273--276}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/eurosys/ChenSCHTTLLLJHH22, author = {Kai{-}Hsun Chen and Huan{-}Ping Su and Wei{-}Chiu Chuang and Hung{-}Chang Hsiao and Wangda Tan and Zhankun Tang and Xun Liu and Yanbo Liang and Wen{-}Chih Lo and Wanqiang Ji and Byron Hsu and Keqiu Hu and HuiYang Jian and Quan Zhou and Chien{-}Min Wang}, title = {Apache submarine: a unified machine learning platform made simple}, booktitle = {EuroMLSys@EuroSys}, pages = {101--108}, publisher = {{ACM}}, year = {2022} }
@inproceedings{DBLP:conf/huc/HuangLJLCYBHY22, author = {Chi{-}Hung Huang and Chun{-}Huang Lin and Zih{-}Yun Jheng and Hung{-}Wen Lin and Pin{-}Chieh Chen and Tina Chien{-}Wen Yuan and Nanyi Bi and Ming{-}Chyi Huang and Chuang{-}Wen You}, title = {Understanding How to Expose Clinical-Friendly Drug Cues with Virtual Reality and the Impact on Biofeedback Signals}, booktitle = {UbiComp/ISWC Adjunct}, pages = {47--49}, publisher = {{ACM}}, year = {2022} }
@inproceedings{DBLP:conf/icact/JianGCLL22, author = {Ming{-}Shen Jian and Jian{-}Ting Guo and Hung{-}Jen Chen and Yu{-}Zhi Luo and Yu{-}Chen Lai}, title = {Cloud Blockchain Based Multiple Inspection Information Exchanging for Agriculture and Food Safety}, booktitle = {{ICACT}}, pages = {117--124}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/icassp/WuKZHLTWM22, author = {Haibin Wu and Heng{-}Cheng Kuo and Naijun Zheng and Kuo{-}Hsuan Hung and Hung{-}Yi Lee and Yu Tsao and Hsin{-}Min Wang and Helen Meng}, title = {Partially Fake Audio Detection by Self-Attention-Based Fake Span Discovery}, booktitle = {{ICASSP}}, pages = {9236--9240}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/iccad/ChangHCW22, author = {Meng{-}Fan Chang and Je{-}Ming Hung and Ping{-}Cheng Chen and Tai{-}Hao Wen}, title = {Reliable Computing of ReRAM Based Compute-in-Memory Circuits for {AI} Edge Devices}, booktitle = {{ICCAD}}, pages = {158:1--158:6}, publisher = {{ACM}}, year = {2022} }
@inproceedings{DBLP:conf/icce-tw/SunXKFHW22, author = {Kun{-}Kun Sun and Chao{-}Xin Xie and I{-}Chun Kuo and Minghui Fan and Pao{-}Cheng Huang and Liang{-}Hung Wang}, title = {A Method for {ECG} R-wave Denoising and Detecting}, booktitle = {{ICCE-TW}}, pages = {557--558}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/icce-tw/XieFWH22, author = {Chao{-}Xin Xie and Minghui Fan and Liang{-}Hung Wang and Pao{-}Cheng Huang}, title = {An Integration Method for {ECG} Multi-Classification}, booktitle = {{ICCE-TW}}, pages = {559--560}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/icip/Hsu0YHHK22, author = {Hung{-}Min Hsu and Yizhou Wang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Le Uyen Thuc Hoang and Kwang{-}Ju Kim}, title = {{GAITTAKE:} Gait Recognition by Temporal Attention and Keypoint-Guided Embedding}, booktitle = {{ICIP}}, pages = {2546--2550}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/icitl/ChenHLP22, author = {Dyi{-}Cheng Chen and Jui{-}Chuan Hou and Shang{-}Wei Lu and Hsi{-}Hung Peng}, title = {The Application of Mind Map and Cooperative Learning Teaching Method on the Machining Technology Course}, booktitle = {{ICITL}}, series = {Lecture Notes in Computer Science}, volume = {13449}, pages = {147--156}, publisher = {Springer}, year = {2022} }
@inproceedings{DBLP:conf/icitl/SinHTCWL22, author = {Pui Fang Sin and Zeng{-}Wei Hong and Ming{-}Hsiu Michelle Tsai and Wai{-}Khuen Cheng and Hung{-}Chi Wang and Jim{-}Min Lin}, title = {{METMRS:} {A} Modular Multi-Robot System for English Class}, booktitle = {{ICITL}}, series = {Lecture Notes in Computer Science}, volume = {13449}, pages = {157--166}, publisher = {Springer}, year = {2022} }
@inproceedings{DBLP:conf/iclr/ChenHTC022, author = {Tsai{-}Shien Chen and Wei{-}Chih Hung and Hung{-}Yu Tseng and Shao{-}Yi Chien and Ming{-}Hsuan Yang}, title = {Incremental False Negative Detection for Contrastive Learning}, booktitle = {{ICLR}}, publisher = {OpenReview.net}, year = {2022} }
@inproceedings{DBLP:conf/icmcs/HsuYZCC22, author = {Hung{-}Min Hsu and Xinyu Yuan and Baohua Zhu and Zhongwei Cheng and Lin Chen}, title = {Package Theft Detection from Smart Home Security Cameras}, booktitle = {{ICME} Workshops}, pages = {1--4}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/icppw/ShihLLCL22, author = {Meng{-}Shiuan Shih and Hung{-}Ming Lai and Chao{-}Lin Lee and Chung{-}Kai Chen and Jenq{-}Kuen Lee}, title = {Register-Pressure Aware Predicator for Length Multiplier of {RVV}}, booktitle = {{ICPP} Workshops}, pages = {10:1--10:9}, publisher = {{ACM}}, year = {2022} }
@inproceedings{DBLP:conf/icpr/IgnatovMTTXYCKC22, author = {Andrey Ignatov and Grigory Malivenko and Radu Timofte and Yu Tseng and Yu{-}Syuan Xu and Po{-}Hsiang Yu and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Min{-}Hung Chen and Chia{-}Ming Cheng and Luc Van Gool}, title = {PyNet-V2 Mobile: Efficient On-Device Photo Processing With Neural Networks}, booktitle = {{ICPR}}, pages = {677--684}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/icpr/XueYPCHKCTWCA22, author = {Zhiyun Xue and Kelly Yu and Paul C. Pearlman and Tseng{-}Cheng Chen and Chun{-}Hung Hua and Chung Jan Kang and Chih{-}Yen Chien and Ming{-}Hsui Tsai and Cheng{-}Ping Wang and Anil K. Chaturvedi and Sameer K. Antani}, title = {Extraction of Ruler Markings For Estimating Physical Size of Oral Lesions}, booktitle = {{ICPR}}, pages = {4241--4247}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/icra/TsengLLS22, author = {Wei{-}Cheng Tseng and Hung{-}Ju Liao and Yen{-}Chen Lin and Min Sun}, title = {CLA-NeRF: Category-Level Articulated Neural Radiance Field}, booktitle = {{ICRA}}, pages = {8454--8460}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/ifuzzy/LinTCCTL22, author = {Jiun{-}Hung Lin and Shih{-}Tsang Tang and Chih{-}Hong Chen and Yung{-}Tsung Cheng and Cheng{-}Chi Tai and Ming{-}Hung Lin}, title = {The Prototype Design and Verification of Intelligent Variable Output Control Apply on Micro-Spray Gun Simulation Platform}, booktitle = {iFUZZY}, pages = {1--6}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/intcompsymp/HsuKHC22, author = {Chi{-}Yueh Hsu and Chun{-}Ming Kuo and Ruo{-}Wei Hung and Jong{-}Shin Chen}, title = {Research on KNN-Based {GNSS} Coordinate Classification for Epidemic Management}, booktitle = {{ICS}}, series = {Communications in Computer and Information Science}, volume = {1723}, pages = {493--500}, publisher = {Springer}, year = {2022} }
@inproceedings{DBLP:conf/intcompsymp/HuangHHLC22, author = {Chih{-}Ming Huang and Ming{-}Ya Hsu and Chuan{-}Sheng Hung and Chun{-}Hung Richard Lin and Shi{-}Huang Chen}, title = {The Enhancement of Classification of Imbalanced Dataset for Edge Computing}, booktitle = {{ICS}}, series = {Communications in Computer and Information Science}, volume = {1723}, pages = {212--222}, publisher = {Springer}, year = {2022} }
@inproceedings{DBLP:conf/intcompsymp/HungCW22, author = {Chien{-}Hui Hung and Yi{-}ming Chen and Chao{-}Ching Wu}, title = {Detecting Android Malware by Combining System Call Sequence Relationships with Local Feature Calculation}, booktitle = {{ICS}}, series = {Communications in Computer and Information Science}, volume = {1723}, pages = {362--373}, publisher = {Springer}, year = {2022} }
@inproceedings{DBLP:conf/interspeech/LeeHCW22, author = {Hung{-}Shin Lee and Pin{-}Tuan Huang and Yao{-}Fei Cheng and Hsin{-}Min Wang}, title = {Chain-based Discriminative Autoencoders for Speech Recognition}, booktitle = {{INTERSPEECH}}, pages = {2078--2082}, publisher = {{ISCA}}, year = {2022} }
@inproceedings{DBLP:conf/interspeech/LeeHLCWT22, author = {Chi{-}Chang Lee and Cheng{-}Hung Hu and Yu{-}Chen Lin and Chu{-}Song Chen and Hsin{-}Min Wang and Yu Tsao}, title = {{NASTAR:} Noise Adaptive Speech Enhancement with Target-Conditional Resampling}, booktitle = {{INTERSPEECH}}, pages = {1183--1187}, publisher = {{ISCA}}, year = {2022} }
@inproceedings{DBLP:conf/ipps/HungCCC22, author = {Chia{-}Ting Hung and Jerry Chou and Ming{-}Hung Chen and I{-}Hsin Chung}, title = {A Locality-aware Cooperative Distributed Memory Caching for Parallel Data Analytic Applications}, booktitle = {{IPDPS} Workshops}, pages = {1111--1117}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/irps/ChuKDCLLLFCL22, author = {Yu{-}Lin Chu and Hsi{-}Yu Kuo and Hung{-}Da Dai and Kuan{-}Hung Chen and Pei{-}Jung Lin and Chun{-}Ting Liao and Ta{-}Chun Lin and Ming Feng and Swercy Chiu and Victor Liang}, title = {New RC-Imbalance Failure Mechanism of Well Charging Damage and The Implemented Rule}, booktitle = {{IRPS}}, pages = {8}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/irps/HsiangLLLLLCLTW22, author = {K.{-}Y. Hsiang and C.{-}Y. Liao and Y.{-}Y. Lin and Z.{-}F. Lou and C.{-}Y. Lin and J.{-}Y. Lee and F.{-}S. Chang and Z.{-}X. Li and H.{-}C. Tseng and C.{-}C. Wang and W.{-}C. Ray and T.{-}H. Hou and T.{-}C. Chen and C.{-}S. Chang and Min{-}Hung Lee}, title = {Correlation between Access Polarization and High Endurance ({\textasciitilde} 10\({}^{\mbox{12}}\) cycling) of Ferroelectric and Anti-Ferroelectric HfZrO2}, booktitle = {{IRPS}}, pages = {9--1}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/iscas/BlissAAABBBBCCC22, author = {Daniel W. Bliss and Tutu Ajayi and Ali Akoglu and Ilkin Aliyev and Toygun Basaklar and Leul Belayneh and David T. Blaauw and John S. Brunhaver and Chaitali Chakrabarti and Liangliang Chang and Kuan{-}Yu Chen and Ming{-}Hung Chen and Xing Chen and Alex R. Chiriyath and Alhad Daftardar and Ronald G. Dreslinski and Arindam Dutta and Allen{-}Jasmin Farcas and Y. Fu and A. Alper Goksoy and X. He and Md Sahil Hassan and Andrew Herschfelt and Jacob Holtom and Hun{-}Seok Kim and A. N. Krishnakumar and Y. Li and Owen Ma and Joshua Mack and Saurav Mallik and Sumit K. Mandal and Radu Marculescu and Brittany M. McCall and Trevor N. Mudge and {\"{U}}mit Y. Ogras and Vishrut Pandey and Saquib Ahmad Siddiqui and Yu{-}Hsiu Sun and Adarsh A. Venkataramani and Xiangdong Wei and B. R. Willis and Hanguang Yu and Yufan Yue}, title = {Enabling Software-Defined {RF} Convergence with a Novel Coarse-Scale Heterogeneous Processor}, booktitle = {{ISCAS}}, pages = {443--447}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/iscopt/WuTHC22, author = {Ro{-}Yu Wu and Cheng{-}Chia Tseng and Ling{-}Ju Hung and Jou{-}Ming Chang}, title = {Generating Spanning-Tree Sequences of a Fan Graph in Lexicographic Order and Ranking/Unranking Algorithms}, booktitle = {{ISCO}}, series = {Lecture Notes in Computer Science}, volume = {13526}, pages = {201--211}, publisher = {Springer}, year = {2022} }
@inproceedings{DBLP:conf/iscslp/LeeCCTW22, author = {Hung{-}Shin Lee and Pin{-}Yuan Chen and Yao{-}Fei Cheng and Yu Tsao and Hsin{-}Min Wang}, title = {Speech-enhanced and Noise-aware Networks for Robust Speech Recognition}, booktitle = {{ISCSLP}}, pages = {145--149}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/isocc/KaoLHC22, author = {Jui{-}I Kao and Wei Lu and Po{-}Tsang Huang and Hung{-}Ming Chen}, title = {Precision-Aware Workload Distribution and Dataflow for a Hybrid Digital-CIM Deep {CNN} Accelerator}, booktitle = {{ISOCC}}, pages = {171--172}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/isocc/LuGHCH22, author = {Wei Lu and Pei{-}Yu Ge and Po{-}Tsang Huang and Hung{-}Ming Chen and Wei Hwang}, title = {Memory-Centric Fusion-based {CNN} Accelerator with 3D-NoC and 3D-DRAM}, booktitle = {{ISOCC}}, pages = {169--170}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/ispacs/GuoWCC22, author = {Jing{-}Ming Guo and KokSheik Wong and Bo{-}Ruei Cheng and Chen{-}Hung Chung}, title = {Neural Data-to-Text Generation: An Encoder-Decoder Structure with Multi-Candidate-based Context Module}, booktitle = {{ISPACS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/ispe/TrappeyHCPTLH22, author = {Amy J. C. Trappey and Andy Z. C. Huang and Neil K. T. Chen and Regan J. S. Pa and Charles V. Trappey and Kuo{-}An Li and L. P. Hung}, title = {Transdisciplinary Technology Mining of Advanced 6G Satellite Communication Innovations}, booktitle = {{TE}}, series = {Advances in Transdisciplinary Engineering}, volume = {28}, pages = {566--575}, publisher = {{IOS} Press}, year = {2022} }
@inproceedings{DBLP:conf/isscc/HuWCLLWLLHHLC0L22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Chung Kuang Chen and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Chao Lin and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Han{-}Sung Chen and Yuan{-}Hao Chang and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Keh{-}Chung Wang and Meng{-}Fan Chang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {A 512Gb In-Memory-Computing 3D-NAND Flash Supporting Similar-Vector-Matching Operations on Edge-AI Devices}, booktitle = {{ISSCC}}, pages = {138--140}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/isscc/HungHHCWSKLLHTC22, author = {Je{-}Min Hung and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Tai{-}Hao Wen and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {An 8-Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4-21.6TOPS/W for Edge-AI Devices}, booktitle = {{ISSCC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/itc/HouCTWL22, author = {Kuan{-}Wei Hou and Hsueh{-}Hung Cheng and Chi Tung and Cheng{-}Wen Wu and Juin{-}Ming Lu}, title = {Fault Modeling and Testing of Memristor-Based Spiking Neural Networks}, booktitle = {{ITC}}, pages = {92--99}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/mcsoc/YangLHC22, author = {Yun{-}Ru Yang and Wei Lu and Po{-}Tsang Huang and Hung{-}Ming Chen}, title = {Digital Computation-in-Memory Design with Adaptive Floating Point for Deep Neural Networks}, booktitle = {MCSoC}, pages = {216--223}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/micro/HuW0LLWLHLSHHLC22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Yuan{-}Hao Chang and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Ming Huang and Chong{-}Ying Lee and Tzu{-}Hsiang Su and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Chung Kuang Chen and Han{-}Sung Chen and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Meng{-}Fan Chang and Keh{-}Chung Wang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {{ICE:} An Intelligent Cognition Engine with 3D NAND-based In-Memory Computing for Vector Similarity Search Acceleration}, booktitle = {{MICRO}}, pages = {763--783}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/mm/KaoPCTC022, author = {Ting{-}Yang Kao and Tse{-}Yu Pan and Chen{-}Ni Chen and Tsung{-}Hsun Tsai and Hung{-}Kuo Chu and Min{-}Chun Hu}, title = {ScoreActuary: Hoop-Centric Trajectory-Aware Network for Fine-Grained Basketball Shot Analysis}, booktitle = {{ACM} Multimedia}, pages = {6991--6993}, publisher = {{ACM}}, year = {2022} }
@inproceedings{DBLP:conf/mm/WongCPC022, author = {Shing Ming Wong and Chien{-}Wen Chen and Tse{-}Yu Pan and Hung{-}Kuo Chu and Min{-}Chun Hu}, title = {GetWild: {A} {VR} Editing System with AI-Generated 3D Object and Terrain}, booktitle = {{ACM} Multimedia}, pages = {6988--6990}, publisher = {{ACM}}, year = {2022} }
@inproceedings{DBLP:conf/nvmsa/LinLCCCS22, author = {Hung{-}Yu Lin and Yu{-}Pei Liang and Shuo{-}Han Chen and Yuan{-}Hao Chang and Tseng{-}Yi Chen and Wei{-}Kuan Shih}, title = {Minimizing Age-of-Information of NVRAM-based Intermittent Systems}, booktitle = {{NVMSA}}, pages = {20--25}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/racs/ChenLJS22, author = {Hung{-}Ming Chen and Yung{-}Feng Lu and Jia{-}Hong Jhang and Hong{-}Sheng Sun}, title = {An OpenDDS cross-platform data exchange module for cloud-edge-based industrial internet of things}, booktitle = {{RACS}}, pages = {153--158}, publisher = {{ACM}}, year = {2022} }
@inproceedings{DBLP:conf/racs/LuCCTK22, author = {Yung{-}Feng Lu and Hung{-}Ming Chen and Chang{-}Wei Chen and Hsueh{-}Wen Tseng and Chin{-}Fu Kuo}, title = {Red-black tree {I/O} management of solid state disk with elastic striping design}, booktitle = {{RACS}}, pages = {159--164}, publisher = {{ACM}}, year = {2022} }
@inproceedings{DBLP:conf/scisisis/SuLKTCWC22, author = {Ja{-}Hwung Su and Yi{-}Wen Liao and Ming{-}Hung Kao and Yung{-}Wen Tsai and Chih{-}Jui Chang and Hsiu{-}Wei Wu and Cheng{-}Wei Chen}, title = {Alignment of Visual Senses and Acoustical Senses based on Emotion Recognitions}, booktitle = {{SCIS/ISIS}}, pages = {1--6}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/smacd/ChiangHCLJCLC22, author = {Cheng{-}Yu Chiang and Chia{-}Lin Hu and Kang{-}Yu Chang and Mark Po{-}Hung Lin and Shyh{-}Jye Jou and Hung{-}Yu Chen and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {On Optimizing Capacitor Array Design for Advanced Node {SAR} {ADC}}, booktitle = {{SMACD}}, pages = {1--4}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/snpd/ChengCLLCH22, author = {Chi{-}Yung Cheng and I{-}Min Chiu and Chun{-}Hung Richard Lin and Xin{-}Hong Lin and Fu{-}Cheng Chen and Ting{-}Yu Hsu}, title = {Stepwise Regression Machine Learning Models for In-Hospital Mortality Prediction in Patients After ST-Segment Slevation Myocardial Infarction {(STEMI)}}, booktitle = {{SNPD}}, pages = {14--18}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/snpd/ChiuCCL22, author = {I{-}Min Chiu and Yu{-}Ping Chuang and Chi{-}Yung Cheng and Chun{-}Hung Richard Lin}, title = {Development and Validation of an Explainable Deep Learning Model to Predict Adverse Event During Hospital Admission in Patients with Sepsis}, booktitle = {{SNPD}}, pages = {8--13}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/taai/ChenWSHO22, author = {Lieu{-}Hen Chen and Hsiao{-}Kuang Wu and Eri Shimokawara and Hao{-}Ming Hung and Wei{-}Chek Ong{-}Lim}, title = {Deep Recognition of Facial Expressions in Movies}, booktitle = {{TAAI}}, pages = {60--65}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/vlsi-dat/YehCCTFKC22, author = {Yeu{-}Haw Yeh and Simon Yi{-}Hung Chen and Hung{-}Ming Chen and Deng{-}Yao Tu and Guan{-}Qi Fang and Yun{-}Chih Kuo and Po{-}Yang Chen}, title = {Substrate Signal Routing Solution Exploration for High-Density Packages with Machine Learning}, booktitle = {{VLSI-DAT}}, pages = {1--4}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/vlsit/ChiangWLNWHCCZL22, author = {H.{-}L. Chiang and J.{-}F. Wang and K.{-}H. Lin and C.{-}H. Nien and J.{-}J. Wu and Kuo{-}Yu Hsiang and C.{-}P. Chuu and Y.{-}W. Chen and X. W. Zhang and C. W. Liu and Tahui Wang and C. C. Wang and Min{-}Hung Lee and M.{-}F. Chang and C.{-}S. Chang and T. C. Chen}, title = {Interfacial-Layer Design for Hf1-xZrxO2-Based {FTJ} Devices: From Atom to Array}, booktitle = {{VLSI} Technology and Circuits}, pages = {361--362}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/vlsit/HongCHLCSCYWRLC22, author = {Ming{-}Chun Hong and Yao{-}Jen Chang and Yu{-}Chen Hsin and Liang{-}Ming Liu and Kuan{-}Ming Chen and Yi{-}Hui Su and Guan{-}Long Chen and Shan{-}Yi Yang and I{-}Jung Wang and Sk. Ziaur Rahaman and Hsin{-}Han Lee and Shih{-}Ching Chiu and Chen{-}Yi Shih and Chih{-}Yao Wang and Fang{-}Ming Chen and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Minn{-}Tsong Lin and Chih{-}I Wu and Tuo{-}Hung Hou}, title = {A 4K-400K Wide Operating-Temperature-Range {MRAM} Technology with Ultrathin Composite Free Layer and Magnesium Spacer}, booktitle = {{VLSI} Technology and Circuits}, pages = {379--380}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/vlsit/LiaoHLTLLHWCRTC22, author = {C.{-}Y. Liao and K.{-}Y. Hsiang and Z.{-}F. Lou and H.{-}C. Tseng and C.{-}Y. Lin and Z.{-}X. Li and F.{-}C. Hsieh and C. C. Wang and F.{-}S. Chang and W.{-}C. Ray and Y.{-}Y. Tseng and Shu{-}Tong Chang and T. C. Chen and Min{-}Hung Lee}, title = {Endurance {\textgreater} 10\({}^{\mbox{11}}\) Cycling of 3D {GAA} Nanosheet Ferroelectric {FET} with Stacked HfZrO2 to Homogenize Corner Field Toward Mitigate Dead Zone for High-Density eNVM}, booktitle = {{VLSI} Technology and Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/vlsit/ZhangLHLHWDCCWS22, author = {Jie Zhang and Wei Lu and Po{-}Tsang Huang and Sih{-}Han Li and Tsung{-}Yi Hung and Shih{-}Hsien Wu and Ming{-}Ji Dai and I{-}Shan Chung and Wen{-}Chao Chen and Chin{-}Hung Wang and Shyh{-}Shyuan Sheu and Hung{-}Ming Chen and Kuan{-}Neng Chen and Wei{-}Chung Lo and Chih{-}I Wu}, title = {An Embedded Multi-Die Active Bridge {(EMAB)} Chip for Rapid-Prototype Programmable 2.5D/3D Packaging Technology}, booktitle = {{VLSI} Technology and Circuits}, pages = {262--263}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/vr/WengWKWLTP0CW22, author = {Jian{-}Jia Weng and Yu{-}Hsin Wang and Calvin Ku and Dong{-}Xian Wu and Yi{-}Min Lau and Wan{-}Lun Tsai and Tse{-}Yu Pan and Min{-}Chun Hu and Hung{-}Kuo Chu and Te{-}Cheng Wu}, title = {Assist Home Training Table Tennis Skill Acquisition via Immersive Learning and Web Technologies}, booktitle = {{VR} Workshops}, pages = {804--805}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/wosp/LuoCSCS22, author = {Lixiang Luo and I{-}Hsin Chung and Seetharami R. Seelam and Ming{-}Hung Chen and Yun Joon Soh}, title = {NVMe Virtualization for Cloud Virtual Machines}, booktitle = {{ICPE}}, pages = {37--46}, publisher = {{ACM}}, year = {2022} }
@article{DBLP:journals/corr/abs-2202-00181, author = {Wei{-}Cheng Tseng and Hung{-}Ju Liao and Yen{-}Chen Lin and Min Sun}, title = {CLA-NeRF: Category-Level Articulated Neural Radiance Field}, journal = {CoRR}, volume = {abs/2202.00181}, year = {2022} }
@article{DBLP:journals/corr/abs-2202-06684, author = {Haibin Wu and Heng{-}Cheng Kuo and Naijun Zheng and Kuo{-}Hsuan Hung and Hung{-}Yi Lee and Yu Tsao and Hsin{-}Min Wang and Helen Meng}, title = {Partially Fake Audio Detection by Self-attention-based Fake Span Discovery}, journal = {CoRR}, volume = {abs/2202.06684}, year = {2022} }
@article{DBLP:journals/corr/abs-2203-13687, author = {Hung{-}Shin Lee and Pin{-}Tuan Huang and Yao{-}Fei Cheng and Hsin{-}Min Wang}, title = {Chain-based Discriminative Autoencoders for Speech Recognition}, journal = {CoRR}, volume = {abs/2203.13687}, year = {2022} }
@article{DBLP:journals/corr/abs-2203-13696, author = {Hung{-}Shin Lee and Pin{-}Yuan Chen and Yu Tsao and Hsin{-}Min Wang}, title = {Speech-enhanced and Noise-aware Networks for Robust Speech Recognition}, journal = {CoRR}, volume = {abs/2203.13696}, year = {2022} }
@article{DBLP:journals/corr/abs-2203-16007, author = {Chin{-}Yi Cheng and Hung{-}Shin Lee and Yu Tsao and Hsin{-}Min Wang}, title = {Multi-Target Filter and Detector for Speaker Diarization}, journal = {CoRR}, volume = {abs/2203.16007}, year = {2022} }
@article{DBLP:journals/corr/abs-2205-09837, author = {Keming Lu and I{-}Hung Hsu and Wenxuan Zhou and Mingyu Derek Ma and Muhao Chen}, title = {Summarization as Indirect Supervision for Relation Extraction}, journal = {CoRR}, volume = {abs/2205.09837}, year = {2022} }
@article{DBLP:journals/corr/abs-2205-11804, author = {Hung{-}Min Hsu and Xinyu Yuan and Baohua Zhu and Zhongwei Cheng and Lin Chen}, title = {Package Theft Detection from Smart Home Security Cameras}, journal = {CoRR}, volume = {abs/2205.11804}, year = {2022} }
@article{DBLP:journals/corr/abs-2206-09058, author = {Chi{-}Chang Lee and Cheng{-}Hung Hu and Yu{-}Chen Lin and Chu{-}Song Chen and Hsin{-}Min Wang and Yu Tsao}, title = {{NASTAR:} Noise Adaptive Speech Enhancement with Target-Conditional Resampling}, journal = {CoRR}, volume = {abs/2206.09058}, year = {2022} }
@article{DBLP:journals/corr/abs-2207-03608, author = {Hung{-}Min Hsu and Yizhou Wang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Le Uyen Thuc Hoang and Kwang{-}Ju Kim}, title = {GaitTAKE: Gait Recognition by Temporal Attention and Keypoint-guided Embedding}, journal = {CoRR}, volume = {abs/2207.03608}, year = {2022} }
@article{DBLP:journals/corr/abs-2209-13274, author = {Chi{-}Ming Chung and Yang{-}Che Tseng and Ya{-}Ching Hsu and Xiang Qian Shi and Yun{-}Hung Hua and Jia{-}Fong Yeh and Wen{-}Chin Chen and Yi{-}Ting Chen and Winston H. Hsu}, title = {Orbeez-SLAM: {A} Real-time Monocular Visual {SLAM} with {ORB} Features and NeRF-realized Mapping}, journal = {CoRR}, volume = {abs/2209.13274}, year = {2022} }
@article{DBLP:journals/corr/abs-2210-12686, author = {Gueter Josmy Faure and Min{-}Hung Chen and Shang{-}Hong Lai}, title = {Holistic Interaction Transformer Network for Action Detection}, journal = {CoRR}, volume = {abs/2210.12686}, year = {2022} }
@article{DBLP:journals/corr/abs-2210-15368, author = {Li{-}Wei Chen and Yao{-}Fei Cheng and Hung{-}Shin Lee and Yu Tsao and Hsin{-}Min Wang}, title = {A Teacher-student Framework for Unsupervised Speech Enhancement Using Noise Remixing Training and Two-stage Inference}, journal = {CoRR}, volume = {abs/2210.15368}, year = {2022} }
@article{DBLP:journals/corr/abs-2210-15370, author = {Fan{-}Lin Wang and Yao{-}Fei Cheng and Hung{-}Shin Lee and Yu Tsao and Hsin{-}Min Wang}, title = {CasNet: Investigating Channel Robustness for Speech Separation}, journal = {CoRR}, volume = {abs/2210.15370}, year = {2022} }
@article{DBLP:journals/corr/abs-2211-06263, author = {Andrey Ignatov and Grigory Malivenko and Radu Timofte and Yu Tseng and Yu{-}Syuan Xu and Po{-}Hsiang Yu and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Min{-}Hung Chen and Chia{-}Ming Cheng and Luc Van Gool}, title = {PyNet-V2 Mobile: Efficient On-Device Photo Processing With Neural Networks}, journal = {CoRR}, volume = {abs/2211.06263}, year = {2022} }
@article{DBLP:journals/corr/abs-2211-06770, author = {Andrey Ignatov and Anastasia Sycheva and Radu Timofte and Yu Tseng and Yu{-}Syuan Xu and Po{-}Hsiang Yu and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Min{-}Hung Chen and Chia{-}Ming Cheng and Luc Van Gool}, title = {MicroISP: Processing 32MP Photos on Mobile Devices with Deep Learning}, journal = {CoRR}, volume = {abs/2211.06770}, year = {2022} }
@article{DBLP:journals/corr/abs-2211-09949, author = {Tzu{-}Quan Lin and Tsung{-}Huan Yang and Chun{-}Yao Chang and Kuang{-}Ming Chen and Tzu{-}hsun Feng and Hung{-}yi Lee and Hao Tang}, title = {Compressing Transformer-based self-supervised models for speech processing}, journal = {CoRR}, volume = {abs/2211.09949}, year = {2022} }
@article{DBLP:journals/corr/abs-2212-01032, author = {Shih{-}Cheng Huang and Shih{-}Heng Wang and Min{-}Han Shih and Saurav Sahay and Hung{-}yi Lee}, title = {General Framework for Self-Supervised Model Priming for Parameter-Efficient Fine-tuning}, journal = {CoRR}, volume = {abs/2212.01032}, year = {2022} }
@article{DBLP:journals/corr/abs-2212-07055, author = {Hongxia Xie and Ming{-}Xian Lee and Tzu{-}Jui Chen and Hung{-}Jen Chen and Hou{-}I Liu and Hong{-}Han Shuai and Wen{-}Huang Cheng}, title = {Dual-branch Cross-Patch Attention Learning for Group Affect Recognition}, journal = {CoRR}, volume = {abs/2212.07055}, year = {2022} }
@article{DBLP:journals/corr/abs-2212-10786, author = {Keming Lu and I{-}Hung Hsu and Wenxuan Zhou and Mingyu Derek Ma and Muhao Chen}, title = {Multi-hop Evidence Retrieval for Cross-document Relation Extraction}, journal = {CoRR}, volume = {abs/2212.10786}, year = {2022} }
@article{DBLP:journals/access/AhmadLKCCNLHCCG21, author = {Zohauddin Ahmad and Yan{-}Min Liao and Sheng{-}I Kuo and You{-}Chia Chang and Rui{-}Lin Chao and Naseem and Yi{-}Shan Lee and Yung{-}Jr Hung and Huang{-}Ming Chen and Jyehong Chen and Jiun{-}In Guo and Jin{-}Wei Shi}, title = {High-Power and High-Responsivity Avalanche Photodiodes for Self-Heterodyne {FMCW} Lidar System Applications}, journal = {{IEEE} Access}, volume = {9}, pages = {85661--85671}, year = {2021} }
@article{DBLP:journals/access/ChenLHCYLKC21, author = {Mei{-}Juan Chen and Jie{-}Ru Lin and Yu{-}Chih Hsu and Yi{-}Sheng Ciou and Chia{-}Hung Yeh and Min{-}Hui Lin and Lih{-}Jen Kau and Chuan{-}Yu Chang}, title = {Fast 3D-HEVC Depth Intra Coding Based on Boundary Continuity}, journal = {{IEEE} Access}, volume = {9}, pages = {79588--79599}, year = {2021} }
@article{DBLP:journals/access/LinCCYLKC21, author = {Jie{-}Ru Lin and Mei{-}Juan Chen and Yi{-}Sheng Ciou and Chia{-}Hung Yeh and Min{-}Hui Lin and Lih{-}Jen Kau and Chuan{-}Yu Chang}, title = {Fast Texture Coding Based on Spatial, Temporal and Inter-View Correlations for 3D Video Coding}, journal = {{IEEE} Access}, volume = {9}, pages = {100081--100095}, year = {2021} }
@article{DBLP:journals/access/LinWCLLKP21, author = {Chia{-}Hung Lin and Jian{-}Xing Wu and Pi{-}Yun Chen and Hsiang{-}Yueh Lai and Chien{-}Ming Li and Chao{-}Lin Kuo and Neng{-}Sheng Pai}, title = {Intelligent Symmetric Cryptography With Chaotic Map and Quantum Based Key Generator for Medical Images Infosecurity}, journal = {{IEEE} Access}, volume = {9}, pages = {118624--118639}, year = {2021} }
@article{DBLP:journals/access/LinWCLPK21, author = {Chia{-}Hung Lin and Jian{-}Xing Wu and Pi{-}Yun Chen and Chien{-}Ming Li and Neng{-}Sheng Pai and Chao{-}Lin Kuo}, title = {Symmetric Cryptography With a Chaotic Map and a Multilayer Machine Learning Network for Physiological Signal Infosecurity: Case Study in Electrocardiogram}, journal = {{IEEE} Access}, volume = {9}, pages = {26451--26467}, year = {2021} }
@article{DBLP:journals/access/NguyenLCCC21, author = {Minh{-}Tan Nguyen and Yi{-}Fang Lin and Chien{-}Hung Chen and Chun{-}Hsien Chang and Hua{-}Ming Chen}, title = {Shorted Patch Antenna With Multi Slots for a {UHF} {RFID} Tag Attached to a Metallic Object}, journal = {{IEEE} Access}, volume = {9}, pages = {111277--111292}, year = {2021} }
@article{DBLP:journals/access/ShuWH21, author = {Ming{-}Hung Shu and To{-}Cheng Wang and Bi{-}Min Hsu}, title = {An Integrated Supplier-Buyer Lots Sampling Plan With Quality Traceability Based on Process Loss Restricted Consideration}, journal = {{IEEE} Access}, volume = {9}, pages = {102687--102699}, year = {2021} }
@article{DBLP:journals/access/TsaiC21, author = {Ming{-}Fong Tsai and Chiung{-}Hung Chen}, title = {Spatial Temporal Variation Graph Convolutional Networks {(STV-GCN)} for Skeleton-Based Emotional Action Recognition}, journal = {{IEEE} Access}, volume = {9}, pages = {13870--13877}, year = {2021} }
@article{DBLP:journals/access/TsaiCPQJHZ21, author = {Chun{-}Wei Tsai and Mu{-}Yen Chen and Francesco Piccialli and Tie Qiu and Jason J. Jung and Patrick C. K. Hung and Sherali Zeadally}, title = {{IEEE} Access Special Section Editorial: Data Mining for Internet of Things}, journal = {{IEEE} Access}, volume = {9}, pages = {90418--90427}, year = {2021} }
@article{DBLP:journals/access/YangKKHCC21, author = {Yi{-}Cheng Yang and Shih{-}Shun Kao and Ralf Klasing and Sun{-}Yuan Hsieh and Hsin{-}Hung Chou and Jou{-}Ming Chang}, title = {The Construction of Multiple Independent Spanning Trees on Burnt Pancake Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {16679--16691}, year = {2021} }
@article{DBLP:journals/axioms/HuCHYH21, author = {Kuang{-}Hua Hu and Fu{-}Hsiang Chen and Ming{-}Fu Hsu and Shuyi Yao and Ming{-}Chin Hung}, title = {Identification of the Critical Factors for Global Supply Chain Management under the {COVID-19} Outbreak via a Fusion Intelligent Decision Support System}, journal = {Axioms}, volume = {10}, number = {2}, pages = {61}, year = {2021} }
@article{DBLP:journals/biodatamining/ChungHHCCLCCCYC21, author = {Chih{-}Wei Chung and Tzu{-}Hung Hsiao and Chih{-}Jen Huang and Yen{-}Ju Chen and Hsin{-}Hua Chen and Ching{-}Heng Lin and Seng{-}Cho Chou and Tzer{-}Shyong Chen and Yu{-}Fang Chung and Hwai{-}I Yang and Yi{-}Ming Chen}, title = {Machine learning approaches for the genomic prediction of rheumatoid arthritis and systemic lupus erythematosus}, journal = {BioData Min.}, volume = {14}, number = {1}, year = {2021} }
@article{DBLP:journals/cmpb/ChungHCFC21, author = {Min{-}Huey Chung and Kuo{-}Chen Hung and Jeng{-}Fong Chiou and Hui{-}Fen Fang and Chih{-}Hao Chiu}, title = {Nursing manpower forecast for cancer patients}, journal = {Comput. Methods Programs Biomed.}, volume = {201}, pages = {105967}, year = {2021} }
@article{DBLP:journals/comsis/LeeCHC21, author = {Ming{-}Che Lee and Jia{-}Wei Chang and Jason C. Hung and Bae{-}Ling Chen}, title = {Exploring the effectiveness of deep neural networks with technical analysis applied to stock market prediction}, journal = {Comput. Sci. Inf. Syst.}, volume = {18}, number = {2}, pages = {401--418}, year = {2021} }
@article{DBLP:journals/eis/TuYKLWL21, author = {Mengru Tu and Ming{-}Feng Yang and Sheng{-}Long Kao and Feng{-}Cheng Lin and Ming{-}Hung Wu and Cheng{-}Kuan Lin}, title = {Using a heuristic multi-objective genetic algorithm to solve the storage assignment problem for CPS-based pick-and-pass system}, journal = {Enterp. Inf. Syst.}, volume = {15}, number = {9}, pages = {1238--1259}, year = {2021} }
@article{DBLP:journals/ejasp/ChengHCT21, author = {Nan{-}Hung Cheng and Kai{-}Chieh Huang and Yung{-}Fang Chen and Shu{-}Ming Tseng}, title = {Maximum likelihood-based adaptive iteration algorithm design for joint {CFO} and channel estimation in {MIMO-OFDM} systems}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2021}, number = {1}, pages = {6}, year = {2021} }
@article{DBLP:journals/ejivp/HungCC21, author = {Kuo{-}Ming Hung and Li{-}Ming Chen and Ting{-}Wen Chen}, title = {Trademark infringement recognition assistance system based on human visual Gestalt psychology and trademark design}, journal = {{EURASIP} J. Image Video Process.}, volume = {2021}, number = {1}, pages = {27}, year = {2021} }
@article{DBLP:journals/esticas/LuHCH21, author = {Wei Lu and Po{-}Tsang Huang and Hung{-}Ming Chen and Wei Hwang}, title = {An Energy-Efficient 3D Cross-Ring Accelerator With 3D-SRAM Cubes for Hybrid Deep Neural Networks}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {11}, number = {4}, pages = {776--788}, year = {2021} }
@article{DBLP:journals/ijahuc/ChenCTHC21, author = {Chi{-}Yuan Chen and Hsin{-}Hung Cho and Min{-}Yan Tsai and Augustine Sii Ho Hann and Han{-}Chieh Chao}, title = {Detecting LDoS in NB-IoTs by using metaheuristic-based {CNN}}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {37}, number = {2}, pages = {74--84}, year = {2021} }
@article{DBLP:journals/ijait/ChenBMPP21, author = {Zhong Chen and Ali Bagherinia and Behrooz Minaei{-}Bidgoli and Hamid Parvin and Kim{-}Hung Pho}, title = {Fuzzy Clustering Ensemble Considering Cluster Dependability}, journal = {Int. J. Artif. Intell. Tools}, volume = {30}, number = {2}, pages = {2150007:1--2150007:20}, year = {2021} }
@article{DBLP:journals/ijghpc/JengLHCCC21, author = {Tzung{-}Han Jeng and Wen{-}Yang Luo and Chuan{-}Chiang Huang and Chien{-}Chih Chen and Kuang{-}Hung Chang and Yi{-}Ming Chen}, title = {Cloud Computing for Malicious Encrypted Traffic Analysis and Collaboration}, journal = {Int. J. Grid High Perform. Comput.}, volume = {13}, number = {3}, pages = {12--29}, year = {2021} }
@article{DBLP:journals/inffus/YehLLKHC21, author = {Chia{-}Hung Yeh and Chu{-}Han Lin and Min{-}Hui Lin and Li{-}Wei Kang and Chih{-}Hsiang Huang and Mei{-}Juan Chen}, title = {Deep learning-based compressed image artifacts reduction based on multi-scale image fusion}, journal = {Inf. Fusion}, volume = {67}, pages = {195--207}, year = {2021} }
@article{DBLP:journals/itsm/ChenHSWT21, author = {Lieu{-}Hen Chen and Hao{-}Ming Hung and Cheng{-}Yu Sun and Eric Hsiao{-}Kuang Wu and Yasufumi Takama}, title = {CANavi: Synthesizing Cartoon-Like Animation for Street Navigation Based on Google Maps}, journal = {{IEEE} Intell. Transp. Syst. Mag.}, volume = {13}, number = {4}, pages = {227--238}, year = {2021} }
@article{DBLP:journals/jetc/AshaHPC21, author = {K. A. Asha and Li En Hsu and Abhishek Patyal and Hung{-}Ming Chen}, title = {Improving the Quality of {FPGA} {RO-PUF} by Principal Component Analysis {(PCA)}}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {17}, number = {3}, pages = {34:1--34:25}, year = {2021} }
@article{DBLP:journals/jifs/FongSCTSWH21, author = {Cher{-}Min Fong and Ming{-}Hung Shu and Chao{-}Cheng Chung and Tung{-}Lin Tsai and I{-}Sheng Sun and Hui{-}Wen Wang and Pei{-}Chun Hsieh}, title = {Monolingual Consumers' Reactions in Cyber Market to GCCP, FCCP, and {LCCP} Ad Appeals in Taiwan}, journal = {J. Intell. Fuzzy Syst.}, volume = {40}, number = {4}, pages = {8623--8637}, year = {2021} }
@article{DBLP:journals/jms/HungLCCCHJLL21, author = {Pi{-}Lien Hung and Pei{-}Chin Lin and Jung{-}Yi Chen and Miaoting Chen and Ming{-}Yueh Chou and Wei{-}Chun Huang and Wang{-}Chuan Juang and Yu{-}Te Lin and Alex C. Lin}, title = {Developing an Integrated Electronic Medication Reconciliation Platform and Evaluating its Effects on Preventing Potential Duplicated Medications and Reducing 30-Day Medication-Related Hospital Revisits for Inpatients}, journal = {J. Medical Syst.}, volume = {45}, number = {4}, pages = {47}, year = {2021} }
@article{DBLP:journals/jssc/ChangCCCWLFLLWY21, author = {Tsung{-}Yung Jonathan Chang and Yen{-}Huei Chen and Wei{-}Min Chan and Hank Cheng and Po{-}Sheng Wang and Yangsyu Lin and Hidehiro Fujiwara and Robin Lee and Hung{-}Jen Liao and Ping{-}Wei Wang and Geoffrey Yeap and Quincy Li}, title = {A 5-nm 135-Mb {SRAM} in {EUV} and High-Mobility Channel FinFET Technology With Metal Coupling and Charge-Sharing Write-Assist Circuitry Schemes for High-Density and Low-V\({}_{\mbox{MIN}}\) Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {179--187}, year = {2021} }
@article{DBLP:journals/jssc/WangHCTTCLYHLKW21, author = {Sung{-}Hao Wang and Yu{-}Kai Huang and Ching{-}Yuan Chen and Li{-}Yang Tang and Yen{-}Fu Tu and Po{-}Chih Chang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design of a Bone-Guided Cochlear Implant Microsystem With Monopolar Biphasic Multiple Stimulations and Evoked Compound Action Potential Acquisition and Its In Vivo Verification}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {10}, pages = {3062--3076}, year = {2021} }
@article{DBLP:journals/neuroimage/FarrherCCGBCWWH21, author = {Ezequiel Farrher and Chia{-}Wen Chiang and Kuan{-}Hung Cho and Farida Grinberg and Richard P. Buschbeck and Ming{-}Jye Chen and Kuo{-}Jen Wu and Yun Wang and Sheng{-}Min Huang and Zaheer Abbas and Chang{-}Hoon Choi and N. Jon Shah and Li{-}Wei Kuo}, title = {Spatiotemporal characterisation of ischaemic lesions in transient stroke animal models using diffusion free water elimination and mapping {MRI} with echo time dependence}, journal = {NeuroImage}, volume = {244}, pages = {118605}, year = {2021} }
@article{DBLP:journals/qre/WangWHS21, author = {To{-}Cheng Wang and Chien{-}Wei Wu and Bi{-}Min Hsu and Ming{-}Hung Shu}, title = {Process-capability-qualified adjustable multiple-dependent-state sampling plan for a long-term supplier-buyer relationship}, journal = {Qual. Reliab. Eng. Int.}, volume = {37}, number = {2}, pages = {583--597}, year = {2021} }
@article{DBLP:journals/ral/SuJOLHC21, author = {Wei{-}Tsung Su and Lin{-}Yi Jiang and Tang{-}Hsuan O and Yu{-}Chuan Lin and Min{-}Hsiung Hung and Chao{-}Chun Chen}, title = {AIoT-Cloud-Integrated Smart Livestock Surveillance via Assembling Deep Networks with Considering Robustness and Semantics Availability}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {4}, pages = {6140--6147}, year = {2021} }
@article{DBLP:journals/remotesensing/KangCYCKYLLLLCN21, author = {Keng{-}Hao Kang and Wei{-}An Chao and Che{-}Ming Yang and Ming{-}Chien Chung and Yu{-}Ting Kuo and Chih{-}Hsiang Yeh and Hsin{-}Chang Liu and Chun{-}Hung Lin and Chih{-}Pin Lin and Jyh{-}Jong Liao and Jui{-}Ming Chang and Yin Jeh Ngui and Chien{-}Hsin Chen and Tung{-}Lin Tai}, title = {Rigidity Strengthening of Landslide Materials Measured by Seismic Interferometry}, journal = {Remote. Sens.}, volume = {13}, number = {14}, pages = {2834}, year = {2021} }
@article{DBLP:journals/sensors/ChenCCCL21, author = {Yung{-}Yao Chen and Ming{-}Hung Chen and Che{-}Ming Chang and Fu{-}Sheng Chang and Yu{-}Hsiu Lin}, title = {A Smart Home Energy Management System Using Two-Stage Non-Intrusive Appliance Load Monitoring over Fog-Cloud Analytics Based on Tridium's Niagara Framework for Residential Demand-Side Management}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2883}, year = {2021} }
@article{DBLP:journals/sensors/HuangHCCLC21, author = {Shiu{-}Ming Huang and Jai{-}Lung Hung and Mitch Chou and Chi{-}Yang Chen and Fang{-}Chen Liu and Ruei{-}San Chen}, title = {The Highly Uniform Photoresponsivity from Visible to Near {IR} Light in Sb2Te3 Flakes}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1535}, year = {2021} }
@article{DBLP:journals/sensors/LiaoCCWCPJLCH21, author = {Chien{-}Hung Liao and Chi{-}Tung Cheng and Chih{-}Chi Chen and Yu{-}Hsin Wang and Hsin{-}Tzu Chiu and Cheng{-}Chun Peng and Uei{-}Ming Jow and Yen{-}Liang Lai and Ya{-}Chuan Chen and Dong{-}Ru Ho}, title = {Systematic Review of Diagnostic Sensors for Intra-Abdominal Pressure Monitoring}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4824}, year = {2021} }
@article{DBLP:journals/sensors/NguyenWDD21, author = {Nhut{-}Lam Nguyen and Ming{-}Hung Wang and Yu{-}Chen Dai and Chyi{-}Ren Dow}, title = {Understanding Malicious Accounts in Online Political Discussions: {A} Multilayer Network Approach}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2183}, year = {2021} }
@article{DBLP:journals/soco/ChenCH21, author = {You{-}Shyang Chen and Ching{-}Hsue Cheng and Wei{-}Lun Hung}, title = {A systematic review to identify the effects of tea by integrating an intelligence-based hybrid text mining and topic model}, journal = {Soft Comput.}, volume = {25}, number = {4}, pages = {3291--3315}, year = {2021} }
@article{DBLP:journals/tcad/ChiLHLC21, author = {Hao{-}Yu Chi and Zi{-}Jun Lin and Chia{-}Hao Hung and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {A Style-Based Analog Layout Migration Technique With Complete Routing Behavior Preservation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {12}, pages = {2556--2567}, year = {2021} }
@article{DBLP:journals/tcasI/JhangXHCC21, author = {Chuan{-}Jia Jhang and Cheng{-}Xin Xue and Je{-}Min Hung and Fu{-}Chun Chang and Meng{-}Fan Chang}, title = {Challenges and Trends of SRAM-Based Computing-In-Memory for {AI} Edge Devices}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {5}, pages = {1773--1786}, year = {2021} }
@article{DBLP:journals/tgrs/HsuLKL21, author = {Chih{-}Chung Hsu and Chia{-}Hsiang Lin and Chi{-}Hung Kao and Yen{-}Cheng Lin}, title = {{DCSN:} Deep Compressed Sensing Network for Efficient Hyperspectral Data Transmission of Miniaturized Satellite}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {59}, number = {9}, pages = {7773--7789}, year = {2021} }
@article{DBLP:journals/tjs/JhengCL21, author = {Guan{-}Yi Jheng and Yi{-}Cheng Chen and Hung{-}Ming Liang}, title = {Evolution pattern mining on dynamic social network}, journal = {J. Supercomput.}, volume = {77}, number = {7}, pages = {6979--6991}, year = {2021} }
@article{DBLP:journals/wcl/ChenGWC21, author = {Yen{-}Ming Chen and Carlos D. Sagastume Gonz{\'{a}}lez and Pao{-}Hung Wang and Kai{-}Ping Chen}, title = {Reinforcement Learning-Based {SCMA} Codebook Design for Uplink Rayleigh Fading Channels}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {10}, number = {8}, pages = {1717--1721}, year = {2021} }
@inproceedings{DBLP:conf/aciids/JiangKTHC21, author = {Lin{-}Yi Jiang and Cheng{-}Ju Kuo and Tang{-}Hsuan O and Min{-}Hsiung Hung and Chao{-}Chun Chen}, title = {SE-U-Net: Contextual Segmentation by Loosely Coupled Deep Networks for Medical Imaging Industry}, booktitle = {{ACIIDS}}, series = {Lecture Notes in Computer Science}, volume = {12672}, pages = {678--691}, publisher = {Springer}, year = {2021} }
@inproceedings{DBLP:conf/aicas/ChouCWCW21, author = {Yu{-}Cheng Chou and Hsin{-}Hung Chen and Chau{-}Chang Wang and Hui{-}Min Chou and Chua{-}Chin Wang}, title = {An {AI} {AUV} Enabling Vision-based Diver-following and Obstacle Avoidance with 3D-modeling Dataset}, booktitle = {{AICAS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/aike/HoCHW21, author = {Ming{-}Chu Ho and Yu{-}Cing Chen and Chih{-}Chieh Hung and Hsien{-}Chu Wu}, title = {Deep Ensemble Learning Model for Long-Term Travel Time Prediction on Highways}, booktitle = {{AIKE}}, pages = {129--130}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/aivr/YangXWXS21, author = {Hung{-}chih Yang and Cheng{-}Ming Xie and Po{-}Chun Wang and You{-}Cheng Xiao and Wen{-}Yu Su}, title = {Building {AR} Virtual String Quartet for Live Concert}, booktitle = {{AIVR}}, pages = {313--318}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/bibm/PengCMPWL21, author = {Po{-}Cheng Peng and Hsu{-}Chun Chien and Prasenjit Mitra and Tun{-}Wen Pai and Chao{-}Hung Wang and Min{-}Hui Liu}, title = {Using machine learning approach to predict short-term mortality risk of acute myocardial infarction after emergency admission}, booktitle = {{BIBM}}, pages = {2834--2839}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/case/HsuLL21, author = {Yu{-}Cheng Hsu and Ming{-}Chang Lin and Chih{-}Hung G. Li}, title = {Mobility Improvement on the Two-Wheeled Dynamically Balanced Robot - {\textdollar}{\textbackslash}mathrm\{J\}4.{\textbackslash}beta{\textdollar}}, booktitle = {{CASE}}, pages = {442--447}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/chi/HungHHYBCHY21, author = {Min{-}Wei Hung and Chi{-}Ting Hou and Chieh{-}Jui Ho and Tina Chien{-}Wen Yuan and Nanyi Bi and Shu{-}Huei Chen and Ming{-}Chyi Huang and Chuang{-}Wen You}, title = {Exploring the Opportunities and Challenges of Enabling Clinical-friendly Drug Psychotherapy with Virtual Reality and Biofeedback Technologies}, booktitle = {{CHI} Extended Abstracts}, pages = {278:1--278:7}, publisher = {{ACM}}, year = {2021} }
@inproceedings{DBLP:conf/cvpr/HongCCXKTCJ21, author = {Min{-}Fong Hong and Hao{-}Yun Chen and Min{-}Hung Chen and Yu{-}Syuan Xu and Hsien{-}Kai Kuo and Yi{-}Min Tsai and Hung{-}Jen Chen and Kevin Jou}, title = {Network Space Search for Pareto-Efficient Spaces}, booktitle = {{CVPR} Workshops}, pages = {3053--3062}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/cvpr/HsyuLCCT21, author = {Ming{-}Chun Hsyu and Chih{-}Wei Liu and Chao{-}Hung Chen and Chao{-}Wei Chen and Wen{-}Chia Tsai}, title = {CSAnet: High Speed Channel Spatial Attention Network for Mobile {ISP}}, booktitle = {{CVPR} Workshops}, pages = {2486--2493}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/cvpr/YangHCH21, author = {Cheng{-}Yen Yang and Hung{-}Min Hsu and Jiarui Cai and Jenq{-}Neng Hwang}, title = {Long-Tailed Recognition of {SAR} Aerial View Objects by Cascading and Paralleling Experts}, booktitle = {{CVPR} Workshops}, pages = {142--148}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/dac/ChenCW21, author = {Ming{-}Hung Chen and Yao{-}Wen Chang and Jun{-}Jie Wang}, title = {Performance-Driven Simultaneous Partitioning and Routing for Multi-FPGA Systems}, booktitle = {{DAC}}, pages = {1129--1134}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/dac/YangTSCTWTYL21, author = {Kai{-}En Yang and Chia{-}Yu Tsai and Hung{-}Hao Shen and Chen{-}Feng Chiang and Feng{-}Ming Tsai and Chung{-}An Wang and Yiju Ting and Chia{-}Shun Yeh and Chin{-}Tang Lai}, title = {Trust-Region Method with Deep Reinforcement Learning in Analog Design Space Exploration}, booktitle = {{DAC}}, pages = {1225--1230}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/embc/ChiuCCH21, author = {Wei{-}Yu Chiu and Liang{-}Yu Chen and Hung{-}Ming Chi and Tzu{-}Chien Hsiao}, title = {Toward instantaneous frequency of respiration to investigate the risk of internet gaming disorder}, booktitle = {{EMBC}}, pages = {232--235}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/ets/LiCCLWHTCHCLH21, author = {Katherine Shu{-}Min Li and Leon Li{-}Yang Chen and Ken Chau{-}Cheung Cheng and Peter Yi{-}Yu Liao and Sying{-}Jyan Wang and Andrew Yi{-}Ann Huang and Nova Cheng{-}Yen Tsai and Leon Chou and Gus Chang{-}Hung Han and Jwu E. Chen and Hsing{-}Chung Liang and Chun{-}Lung Hsu}, title = {Automatic Inspection for Wafer Defect Pattern Recognition with Unsupervised Clustering}, booktitle = {{ETS}}, pages = {1--2}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/huc/ChenHLYBLHY21, author = {Pin{-}Chieh Chen and Min{-}Wei Hung and Hsueh{-}Sung Lu and Tina Chien{-}Wen Yuan and Nanyi Bi and Wan{-}Chen Lee and Ming{-}Chyi Huang and Chuang{-}Wen You}, title = {TechLifeProbe: {A} Technology Prototype to Probe How Data Sharing With Adolescents' Parents Improves Their Technology Abuse Through Mobile Phones}, booktitle = {UbiComp/ISWC Adjunct}, pages = {17--18}, publisher = {{ACM}}, year = {2021} }
@inproceedings{DBLP:conf/icassp/SunCLCW21, author = {Chung{-}En Sun and Yi{-}Wei Chen and Hung{-}Shin Lee and Yen{-}Hsing Chen and Hsin{-}Min Wang}, title = {Melody Harmonization Using Orderless Nade, Chord Balancing, and Blocked Gibbs Sampling}, booktitle = {{ICASSP}}, pages = {4145--4149}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/icc/ChenCTKHCYOC21, author = {Po{-}Yu Chen and Hao Chen and Yi{-}Min Tsai and Hsien{-}Kai Kuo and Hantao Huang and Hsin{-}Hung Chen and Sheng{-}Hong Yan and Wei{-}Lun Ou and Chia{-}Ming Cheng}, title = {Learning to Compensate: {A} Deep Neural Network Framework for 5G Power Amplifier Compensation}, booktitle = {{ICC}}, pages = {1--6}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/icc/ChenLLL21, author = {Kuan{-}Fu Chen and Chia{-}Hung Lin and Ming{-}Chun Lee and Ta{-}Sung Lee}, title = {Deep Learning-Based Multi-Fault Diagnosis for Self-Organizing Networks}, booktitle = {{ICC}}, pages = {1--6}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/iccad/LiuCCCC21, author = {Wei{-}Kai Liu and Ming{-}Hung Chen and Chia{-}Ming Chang and Chen{-}Chia Chang and Yao{-}Wen Chang}, title = {Time-Division Multiplexing Based System-Level {FPGA} Routing}, booktitle = {{ICCAD}}, pages = {1--6}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/icce-tw/ChenLLCHF21, author = {Hua{-}Luen Chen and Chi{-}Chun Lai and Jie{-}Min Lin and Kuan{-}Hung Chen and Yin{-}Tsung Hwang and Chih{-}Peng Fan}, title = {Effective Two-Stage Processing Based Lite Deep Learning Classifier for Gender Detection}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/icce-tw/LinTC21, author = {Cheng{-}Hung Lin and Min{-}Yen Tsai and Po{-}Yung Chou}, title = {A Lightweight Fine-Grained Action Recognition Network for Basketball Foul Detection}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/icce-tw/LiuWHF21, author = {Shuo Liu and Liang{-}Hung Wang and Pao{-}Cheng Huang and Minghui Fan}, title = {Heart Beat Classification Method based on Random Forest Algorithm}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/icce-tw/TsaiCYYCCY21, author = {Shu{-}Min Tsai and Ming{-}Lin Chuang and Hong{-}Wei Yan and Yung{-}Cheng Yao and Guan{-}Wei Chen and Lu{-}Hung Chen and Hao{-}An Yang}, title = {Identification System of Fish Freshness Based on Deep Learning}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/iccsa/HungCC21, author = {Ruo{-}Wei Hung and Ming{-}Jung Chiu and Jong{-}Shin Chen}, title = {The Domination and Independent Domination Problems in Supergrid Graphs}, booktitle = {{ICCSA} {(1)}}, series = {Lecture Notes in Computer Science}, volume = {12949}, pages = {631--646}, publisher = {Springer}, year = {2021} }
@inproceedings{DBLP:conf/iccv/ChenLWCWMSM21, author = {Richard J. Chen and Ming Y. Lu and Wei{-}Hung Weng and Tiffany Y. Chen and Drew F. K. Williamson and Trevor Manz and Maha Shady and Faisal Mahmood}, title = {Multimodal Co-Attention Transformer for Survival Prediction in Gigapixel Whole Slide Images}, booktitle = {{ICCV}}, pages = {3995--4005}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/iccvw/ChenWHWYZZZGHHH21, author = {Guanlin Chen and Wenguan Wang and Zhijian He and Lujia Wang and Yixuan Yuan and Dingwen Zhang and Jinglin Zhang and Pengfei Zhu and Luc Van Gool and Junwei Han and Steven Chu{-}Hong Hoi and Qinghua Hu and Ming Liu and Andrea Sciarrone and Chao Sun and Chiara Garibotto and Duong Nguyen{-}Ngoc Tran and Fabio Lavagetto and Halar Haleem and Hakki Motorcu and Hasan F. Ates and Huy{-}Hung Nguyen and Hyung{-}Joon Jeon and Igor Bisio and Jae Wook Jeon and Jiahao Li and Long Hoang Pham and Moongu Jeon and Qianyu Feng and Shengwen Li and Tai Huu{-}Phuong Tran and Xiao Pan and Young{-}Min Song and Yuehan Yao and Yunhao Du and Zhenyu Xu and Zhipeng Luo}, title = {VisDrone-MOT2021: The Vision Meets Drone Multiple Object Tracking Challenge Results}, booktitle = {{ICCVW}}, pages = {2839--2846}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/ieeesec/LiuLLCHH21, author = {Albert Chun Chen Liu and Oscar Ming Kin Law and Jeremiah Liao and Jeffrey Y. C. Chen and Andy Jia En Hsieh and Cheng Hung Hsieh}, title = {Traffic Safety System Edge {AI} Computing}, booktitle = {{SEC}}, pages = {1--2}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/igarss/ChangCHWC21, author = {Lena Chang and Yi{-}Ting Chen and Ming{-}Hung Hung and Jung{-}Hua Wang and Yang{-}Lang Chang}, title = {{YOLOV3} Based Ship Detection in Visible and Infrared Images}, booktitle = {{IGARSS}}, pages = {3549--3552}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/imaging/HuangLWH21, author = {Hsin{-}Pou Huang and Hung{-}Chung Li and Minchen Wei and Yu{-}Cheng Huang}, title = {White Appearance for Optimal Text-Background Lightness Combination Document Layout on a Tablet Display under Normal Light Levels}, booktitle = {{CIC}}, pages = {188--192}, publisher = {Society for Imaging Science and Technology}, year = {2021} }
@inproceedings{DBLP:conf/interspeech/ChengLW21, author = {Yao{-}Fei Cheng and Hung{-}Shin Lee and Hsin{-}Min Wang}, title = {AlloST: Low-Resource Speech Translation Without Source Transcription}, booktitle = {Interspeech}, pages = {2252--2256}, publisher = {{ISCA}}, year = {2021} }
@inproceedings{DBLP:conf/interspeech/WuHLPHTWT21, author = {Yi{-}Chiao Wu and Cheng{-}Hung Hu and Hung{-}Shin Lee and Yu{-}Huai Peng and Wen{-}Chin Huang and Yu Tsao and Hsin{-}Min Wang and Tomoki Toda}, title = {Relational Data Selection for Data Augmentation of Speaker-Dependent Multi-Band MelGAN Vocoder}, booktitle = {Interspeech}, pages = {3630--3634}, publisher = {{ISCA}}, year = {2021} }
@inproceedings{DBLP:conf/irps/LeeLHHNKCYCWBD21, author = {Yung{-}Huei Lee and P. J. Liao and Vincent Hou and Dawei Heh and Chih{-}Hung Nien and Wen{-}Hsien Kuo and Gary T. Chen and Shao{-}Ming Yu and Yu{-}Sheng Chen and Jau{-}Yi Wu and Xinyu Bao and Carlos H. Diaz}, title = {Composition Segregation of Ge-Rich {GST} and Its Effect on Reliability}, booktitle = {{IRPS}}, pages = {1--6}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/iscas/HsiaoCCLH21, author = {Shen{-}Fu Hsiao and Jian{-}Ming Chen and Yu{-}Hong Chen and Hung{-}Ching Li and Yi Hsu}, title = {Comparison of Digit-Serial and Bit-Level Designs for Acceleration of Convolutional Neural Network Computation}, booktitle = {{ISCAS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/ismir/ChenLCW21, author = {Yi{-}Wei Chen and Hung{-}Shin Lee and Yen{-}Hsing Chen and Hsin{-}Min Wang}, title = {SurpriseNet: Melody Harmonization Conditioning on User-controlled Surprise Contours}, booktitle = {{ISMIR}}, pages = {105--112}, year = {2021} }
@inproceedings{DBLP:conf/ismir/HungCYY21, author = {Tun{-}Min Hung and Bo{-}Yu Chen and Yen{-}Tung Yeh and Yi{-}Hsuan Yang}, title = {A Benchmarking Initiative for Audio-domain Music Generation using the FreeSound Loop Dataset}, booktitle = {{ISMIR}}, pages = {310--317}, year = {2021} }
@inproceedings{DBLP:conf/isocc/ChenNCCCCLLJ21, author = {Hung{-}Ming Chen and Cheng{-}En Ni and Kang{-}Yu Chang and Tzu{-}Chieh Chiang and Shih{-}Han Chang and Cheng{-}Yu Chiang and Bo{-}Cheng Lai and Chien{-}Nan Liu and Shyh{-}Jye Jou}, title = {On Reconfiguring Memory-Centric {AI} Edge Devices for {CIM}}, booktitle = {{ISOCC}}, pages = {262--263}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/isocc/ChungLC21, author = {Ming{-}Jie Chung and Chih{-}Lun Lo and Po{-}Hung Chen}, title = {A Single-Inductor Triple-Source Energy Harvesting Interface for Batterty-Assisted IoT Applications}, booktitle = {{ISOCC}}, pages = {63--64}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/ispacs/ChiuYCC21, author = {Hung{-}Ming Chiu and Yu{-}Wei Yang and Hsin{-}Liang Chen and Jen{-}Shiun Chiang}, title = {High Dynamic Range Audio Multi-bit 2\({}^{\mbox{nd}}\) Order {DT} {DSM} Hybrid {SAR} {ADC} with One Sharing {DAC}}, booktitle = {{ISPACS}}, pages = {1--2}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/isscc/AgrawalLSZKVCFG21, author = {Ankur Agrawal and Sae Kyu Lee and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matt Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Monodeep Kar and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {A 7nm 4-Core {AI} Chip with 25.6TFLOPS Hybrid {FP8} Training, 102.4TOPS {INT4} Inference and Workload-Aware Throttling}, booktitle = {{ISSCC}}, pages = {144--146}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/isscc/XueHKHHCCLJSKLL21, author = {Cheng{-}Xin Xue and Je{-}Min Hung and Hui{-}Yao Kao and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Peng Chen and Ta{-}Wei Liu and Chuan{-}Jia Jhang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny {AI} Edge Devices}, booktitle = {{ISSCC}}, pages = {245--247}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/mir/HsuLCHLW21, author = {Chih{-}Chung Hsu and Chieh Lee and Lin Chen and Min{-}Kai Hung and Andy Yu{-}Lun Lin and Xian{-}Yu Wang}, title = {Efficient-ROD: Efficient Radar Object Detection based on Densely Connected Residual Network}, booktitle = {{ICMR}}, pages = {526--532}, publisher = {{ACM}}, year = {2021} }
@inproceedings{DBLP:conf/ni/ChenJLH21, author = {Ming{-}Shu Chen and Mao{-}Jhen Jhou and Chi{-}Jie Lu and Chung{-}Chih Hung}, title = {The Health Check-Up Data-Analysis for Risk Assessment of Chronic Kidney Disease {(CKD)} in Taiwan}, booktitle = {Nursing Informatics}, series = {Studies in Health Technology and Informatics}, volume = {284}, pages = {77--79}, publisher = {{IOS} Press}, year = {2021} }
@inproceedings{DBLP:conf/prdc/ShenYSC21, author = {Ning Shen and Jyh{-}Haw Yeh and Hung{-}Min Sun and Chien{-}Ming Chen}, title = {A Practical and Secure Stateless Order Preserving Encryption for Outsourced Databases}, booktitle = {{PRDC}}, pages = {133--142}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/rtas/RohloffALONL021, author = {Alec Rohloff and Zackary Allen and Kung{-}Min Lin and Joshua Okrend and Chengyi Nie and Yu{-}Chia Liu and Hung{-}Wei Tseng}, title = {OpenUVR: an Open-Source System Framework for Untethered Virtual Reality Applications}, booktitle = {{RTAS}}, pages = {223--236}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/smc/ChangHLZH21, author = {Shin{-}Hung Chang and Cheng{-}Wen Hsu and Hsing{-}Ying Li and Wei{-}Sheng Zeng and Jan{-}Ming Ho}, title = {Short-Term Stock Price-Trend Prediction Using Meta-Learning}, booktitle = {{SMC}}, pages = {2900--2905}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/snpd/AlimadjiHLSC21, author = {Denata Rizky Alimadji and Min{-}Hsiung Hung and Yu{-}Chuan Lin and Benny Suryajaya and Chao{-}Chun Chen}, title = {A Novel Big Data Processing Approach to Feature Extraction for Electrical Discharge Machining based on Container Technology}, booktitle = {{SNPD}}, pages = {142--147}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/taai/HoCHW21, author = {Ming{-}Chu Ho and Yu{-}Cing Chen and Chih{-}Chieh Hung and Hsien{-}Chu Wu}, title = {A Hybrid Deep Learning Network for Long-Term Travel Time Prediction in Freeways}, booktitle = {{TAAI}}, pages = {78--83}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/vlsi-dat/HuangLLC21, author = {Ying{-}Yao Huang and Chang{-}Tzu Lin and Wei{-}Lun Liang and Hung{-}Ming Chen}, title = {Learning Based Placement Refinement to Reduce {DRC} Short Violations}, booktitle = {{VLSI-DAT}}, pages = {1--4}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/vlsic/HsuKCCSC21, author = {Ying{-}Yu Hsu and Po{-}Chun Kuo and Chih{-}Lun Chuang and Po{-}Hao Chang and Hung{-}Hao Shen and Chen{-}Feng Chiang}, title = {A 7nm 0.46pJ/bit 20Gbps with {BER} 1E-25 Die-to-Die Link Using Minimum Intrinsic Auto Alignment and Noise-Immunity Encode}, booktitle = {{VLSI} Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2021} }
@misc{DBLP:data/10/TemelACA21, author = {Dogancan Temel and Tariq Alshawi and Min{-}Hung Chen and Ghassan AlRegib}, title = {{CURE-TSD:} Challenging Unreal and Real Environment for Traffic Sign Detection}, publisher = {{IEEE} DataPort}, year = {2021}, month = sep, howpublished = {\url{https://doi.org/10.21227/en9z-mq69}}, note = {Accessed on YYYY-MM-DD.} }
@article{DBLP:journals/corr/abs-2101-07327, author = {Alec Rohloff and Zackary Allen and Kung{-}Min Lin and Joshua Okrend and Chengyi Nie and Yu{-}Chia Liu and Hung{-}Wei Tseng}, title = {OpenUVR: an Open-Source System Framework for Untethered Virtual Reality Applications}, journal = {CoRR}, volume = {abs/2101.07327}, year = {2021} }
@article{DBLP:journals/corr/abs-2102-02705, author = {Rajesh Bordawekar and B{\"{u}}lent Abali and Ming{-}Hung Chen}, title = {EFloat: Entropy-coded Floating Point Format for Deep Learning}, journal = {CoRR}, volume = {abs/2102.02705}, year = {2021} }
@article{DBLP:journals/corr/abs-2104-03009, author = {Cheng{-}Hung Hu and Yi{-}Chiao Wu and Wen{-}Chin Huang and Yu{-}Huai Peng and Yu{-}Wen Chen and Pin{-}Jui Ku and Tomoki Toda and Yu Tsao and Hsin{-}Min Wang}, title = {The {AS-NU} System for the M2VoC Challenge}, journal = {CoRR}, volume = {abs/2104.03009}, year = {2021} }
@article{DBLP:journals/corr/abs-2104-07461, author = {Min{-}Hung Chen and Baopu Li and Yingze Bao and Ghassan AlRegib}, title = {Action Segmentation with Mixed Temporal Domain Adaptation}, journal = {CoRR}, volume = {abs/2104.07461}, year = {2021} }
@article{DBLP:journals/corr/abs-2104-11014, author = {Min{-}Fong Hong and Hao{-}Yun Chen and Min{-}Hung Chen and Yu{-}Syuan Xu and Hsien{-}Kai Kuo and Yi{-}Min Tsai and Hung{-}Jen Chen and Kevin Jou}, title = {Network Space Search for Pareto-Efficient Spaces}, journal = {CoRR}, volume = {abs/2104.11014}, year = {2021} }
@article{DBLP:journals/corr/abs-2105-00171, author = {Yao{-}Fei Cheng and Hung{-}Shin Lee and Hsin{-}Min Wang}, title = {AlloST: Low-resource Speech Translation without Source Transcription}, journal = {CoRR}, volume = {abs/2105.00171}, year = {2021} }
@article{DBLP:journals/corr/abs-2105-03746, author = {Huangjie Zheng and Xu Chen and Jiangchao Yao and Hongxia Yang and Chunyuan Li and Ya Zhang and Hao Zhang and Ivor W. Tsang and Jingren Zhou and Mingyuan Zhou}, title = {Contrastive Conditional Transport for Representation Learning}, journal = {CoRR}, volume = {abs/2105.03746}, year = {2021} }
@article{DBLP:journals/corr/abs-2105-07809, author = {Andrey Ignatov and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Anastasia Sycheva and Radu Timofte and Min{-}Hung Chen and Man{-}Yu Lee and Yu{-}Syuan Xu and Yu Tseng and Shusong Xu and Jin Guo and Chao{-}Hung Chen and Ming{-}Chun Hsyu and Wen{-}Chia Tsai and Chao{-}Wei Chen and Grigory Malivenko and Minsu Kwon and Myungje Lee and Jaeyoon Yoo and Changbeom Kang and Shinjo Wang and Zheng Shaolong and Hao Dejun and Xie Fen and Feng Zhuang and Yipeng Ma and Jingyang Peng and Tao Wang and Fenglong Song and Chih{-}Chung Hsu and Kwan{-}Lin Chen and Mei{-}Hsuang Wu and Vishal M. Chudasama and Kalpesh Prajapati and Heena Patel and Anjali Sarvaiya and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch and Etienne de Stoutz}, title = {Learned Smartphone {ISP} on Mobile NPUs with Deep Learning, Mobile {AI} 2021 Challenge: Report}, journal = {CoRR}, volume = {abs/2105.07809}, year = {2021} }
@article{DBLP:journals/corr/abs-2105-13599, author = {Shin{-}Hung Chang and Cheng{-}Wen Hsu and Hsing{-}Ying Li and Wei{-}Sheng Zeng and Jan{-}Ming Ho}, title = {Short-Term Stock Price-Trend Prediction Using Meta-Learning}, journal = {CoRR}, volume = {abs/2105.13599}, year = {2021} }
@article{DBLP:journals/corr/abs-2106-03719, author = {Tsai{-}Shien Chen and Wei{-}Chih Hung and Hung{-}Yu Tseng and Shao{-}Yi Chien and Ming{-}Hsuan Yang}, title = {Incremental False Negative Detection for Contrastive Learning}, journal = {CoRR}, volume = {abs/2106.03719}, year = {2021} }
@article{DBLP:journals/corr/abs-2106-07240, author = {Yung{-}Sung Chuang and Mingye Gao and Hongyin Luo and James R. Glass and Hung{-}Yi Lee and Yun{-}Nung Chen and Shang{-}Wen Li}, title = {Mitigating Biases in Toxic Language Detection through Invariant Rationalization}, journal = {CoRR}, volume = {abs/2106.07240}, year = {2021} }
@article{DBLP:journals/corr/abs-2106-07953, author = {Po{-}Yu Chen and Hao Chen and Yi{-}Min Tsai and Hsien{-}Kai Kuo and Hantao Huang and Hsin{-}Hung Chen and Sheng{-}Hong Yan and Wei{-}Lun Ou and Chia{-}Ming Cheng}, title = {Learning to Compensate: {A} Deep Neural Network Framework for 5G Power Amplifier Compensation}, journal = {CoRR}, volume = {abs/2106.07953}, year = {2021} }
@article{DBLP:journals/corr/abs-2107-09392, author = {Cheng{-}Hung Hu and Yu{-}Huai Peng and Junichi Yamagishi and Yu Tsao and Hsin{-}Min Wang}, title = {SVSNet: An End-to-end Speaker Voice Similarity Assessment Model}, journal = {CoRR}, volume = {abs/2107.09392}, year = {2021} }
@article{DBLP:journals/corr/abs-2108-00378, author = {Yi{-}Wei Chen and Hung{-}Shin Lee and Yen{-}Hsing Chen and Hsin{-}Min Wang}, title = {SurpriseNet: Melody Harmonization Conditioning on User-controlled Surprise Contours}, journal = {CoRR}, volume = {abs/2108.00378}, year = {2021} }
@article{DBLP:journals/corr/abs-2108-01576, author = {Tun{-}Min Hung and Bo{-}Yu Chen and Yen{-}Tung Yeh and Yi{-}Hsuan Yang}, title = {A Benchmarking Initiative for Audio-Domain Music Generation Using the Freesound Loop Dataset}, journal = {CoRR}, volume = {abs/2108.01576}, year = {2021} }
@article{DBLP:journals/corr/abs-2108-09615, author = {Kai{-}Hsun Chen and Huan{-}Ping Su and Wei{-}Chiu Chuang and Hung{-}Chang Hsiao and Wangda Tan and Zhankun Tang and Xun Liu and Yanbo Liang and Wen{-}Chih Lo and Wanqiang Ji and Byron Hsu and Keqiu Hu and HuiYang Jian and Quan Zhou and Chien{-}Min Wang}, title = {Apache Submarine: {A} Unified Machine Learning Platform Made Simple}, journal = {CoRR}, volume = {abs/2108.09615}, year = {2021} }
@article{DBLP:journals/corr/abs-2110-05280, author = {Xianghua Ye and Dazhou Guo and Chen{-}Kan Tseng and Jia Ge and Tsung{-}Min Hung and Ping{-}Ching Pai and Yanping Ren and Lu Zheng and Xinli Zhu and Ling Peng and Ying Chen and Xiaohua Chen and Chen{-}Yu Chou and Danni Chen and Jiaze Yu and Yuzhen Chen and Feiran Jiao and Yi Xin and Lingyun Huang and Guotong Xie and Jing Xiao and Le Lu and Senxiang Yan and Dakai Jin and Tsung{-}Ying Ho}, title = {Multi-institutional Validation of Two-Streamed Deep Learning Method for Automated Delineation of Esophageal Gross Tumor Volume using planning-CT and {FDG-PETCT}}, journal = {CoRR}, volume = {abs/2110.05280}, year = {2021} }
@article{DBLP:journals/corr/abs-2111-01544, author = {Dazhou Guo and Jia Ge and Xianghua Ye and Senxiang Yan and Yi Xin and Yuchen Song and Bing{-}shen Huang and Tsung{-}Min Hung and Zhuotun Zhu and Ling Peng and Yanping Ren and Rui Liu and Gong Zhang and Mengyuan Mao and Xiaohua Chen and Zhongjie Lu and Wenxiang Li and Yuzhen Chen and Lingyun Huang and Jing Xiao and Adam P. Harrison and Le Lu and Chien{-}Yu Lin and Dakai Jin and Tsung{-}Ying Ho}, title = {Comprehensive and Clinically Accurate Head and Neck Organs at Risk Delineation via Stratified Deep Learning: {A} Large-scale Multi-Institutional Study}, journal = {CoRR}, volume = {abs/2111.01544}, year = {2021} }
@article{DBLP:journals/corr/abs-2112-02278, author = {Jia{-}Fong Yeh and Chi{-}Ming Chung and Hung{-}Ting Su and Yi{-}Ting Chen and Winston H. Hsu}, title = {Stage Conscious Attention Network {(SCAN)} : {A} Demonstration-Conditioned Policy for Few-Shot Imitation}, journal = {CoRR}, volume = {abs/2112.02278}, year = {2021} }
@article{DBLP:journals/corr/abs-2112-04274, author = {Li{-}Chung Lin and Cheng{-}Hung Liu and Chih{-}Ming Chen and Kai{-}Chin Hsu and I{-}Feng Wu and Ming{-}Feng Tsai and Chih{-}Jen Lin}, title = {On the Use of Unrealistic Predictions in Hundreds of Papers Evaluating Graph Representations}, journal = {CoRR}, volume = {abs/2112.04274}, year = {2021} }
@article{DBLP:journals/corr/abs-2112-14382, author = {Hitika Tiwari and Min{-}Hung Chen and Yi{-}Min Tsai and Hsien{-}Kai Kuo and Hung{-}Jen Chen and Kevin Jou and K. S. Venkatesh and Yong{-}Sheng Chen}, title = {Self-Supervised Robustifying Guidance for Monocular 3D Face Reconstruction}, journal = {CoRR}, volume = {abs/2112.14382}, year = {2021} }
@article{DBLP:journals/access/ChenWLKPL20, author = {Pi{-}Yun Chen and Jian{-}Xing Wu and Chien{-}Ming Li and Chao{-}Lin Kuo and Neng{-}Sheng Pai and Chia{-}Hung Lin}, title = {Medical Image Infosecurity Using Hash Transformation and Optimization-Based Controller in a Health Information System: Case Study in Breast Elastography and X-Ray Image}, journal = {{IEEE} Access}, volume = {8}, pages = {61340--61354}, year = {2020} }
@article{DBLP:journals/access/ChouCYH20, author = {Chia{-}Hung Chou and Po{-}Tuan Chen and Cheng{-}Jung Yang and K. David Huang}, title = {Functionalization of Wireless Control and Fuzzy Systems to Hybrid Mini-Loaders}, journal = {{IEEE} Access}, volume = {8}, pages = {80914--80921}, year = {2020} }
@article{DBLP:journals/access/DengLCLLTC20, author = {Pu{-}Hua Deng and Ming{-}Wei Li and Wei{-}Ting Chen and Chen{-}Hsiang Lin and Chieh{-}Hung Lu and Ren{-}Fu Tsai and Kai{-}Hung Chen}, title = {Designs of Branch-Line Couplers by Considering the Parasitic Effects of {P-I-N} Diodes}, journal = {{IEEE} Access}, volume = {8}, pages = {223089--223100}, year = {2020} }
@article{DBLP:journals/access/GaoCLIY20, author = {Ming Gao and Mingxia Chen and An Liu and Wai{-}Hung Ip and Kai{-}Leung Yung}, title = {Optimization of Microservice Composition Based on Artificial Immune Algorithm Considering Fuzziness and User Preference}, journal = {{IEEE} Access}, volume = {8}, pages = {26385--26404}, year = {2020} }
@article{DBLP:journals/access/MaLCSCW20, author = {Jinhua Ma and Shih{-}Ya Lin and Xin Chen and Hung{-}Min Sun and Yeh{-}Cheng Chen and Huaxiong Wang}, title = {A Blockchain-Based Application System for Product Anti-Counterfeiting}, journal = {{IEEE} Access}, volume = {8}, pages = {77642--77652}, year = {2020} }
@article{DBLP:journals/access/WuCLKPL20, author = {Jian{-}Xing Wu and Pi{-}Yun Chen and Chien{-}Ming Li and Ying{-}Che Kuo and Neng{-}Sheng Pai and Chia{-}Hung Lin}, title = {Multilayer Fractional-Order Machine Vision Classifier for Rapid Typical Lung Diseases Screening on Digital Chest X-Ray Images}, journal = {{IEEE} Access}, volume = {8}, pages = {105886--105902}, year = {2020} }
@article{DBLP:journals/candie/HsuWS20, author = {Bi{-}Min Hsu and To{-}Cheng Wang and Ming{-}Hung Shu}, title = {Lot-dependent sampling plans for qualifying long-term production capability with a one-sided specification}, journal = {Comput. Ind. Eng.}, volume = {146}, pages = {106583}, year = {2020} }
@article{DBLP:journals/cmmm/HuangCLLLSLCWCC20, author = {Po{-}Jung Huang and Jui{-}Huan Chang and Hou{-}Hsien Lin and Yu{-}Xuan Li and Chi{-}Ching Lee and Chung{-}Tsai Su and Yun{-}Lung Li and Ming{-}Tai Chang and Sid Weng and Wei{-}Hung Cheng and Cheng{-}Hsun Chiu and Petrus Tang}, title = {DeepVariant-on-Spark: Small-Scale Genome Analysis Using a Cloud-Based Computing Framework}, journal = {Comput. Math. Methods Medicine}, volume = {2020}, pages = {7231205:1--7231205:7}, year = {2020} }
@article{DBLP:journals/computing/ChenZSCYC20, author = {Lanxiang Chen and Nan Zhang and Hung{-}Min Sun and Chin{-}Chen Chang and Shui Yu and Kim{-}Kwang Raymond Choo}, title = {Secure search for encrypted personal health records from big data NoSQL databases in cloud}, journal = {Computing}, volume = {102}, number = {6}, pages = {1521--1545}, year = {2020} }
@article{DBLP:journals/ejivp/HungYYC20, author = {Kuo{-}Ming Hung and Chi{-}Hsiao Yih and Cheng{-}Hsiang Yeh and Li{-}Ming Chen}, title = {A high capacity reversible data hiding through multi-directional gradient prediction, non-linear regression analysis and embedding selection}, journal = {{EURASIP} J. Image Video Process.}, volume = {2020}, number = {1}, pages = {8}, year = {2020} }
@article{DBLP:journals/el/LianCSC20, author = {Jiunn{-}Woei Lian and Chih{-}Teng Chen and Li{-}Fang Shen and Hung{-}Ming Chen}, title = {Understanding user acceptance of blockchain-based smart locker}, journal = {Electron. Libr.}, volume = {38}, number = {2}, pages = {353--366}, year = {2020} }
@article{DBLP:journals/ijahuc/HwangPWA20, author = {Ren{-}Hung Hwang and Min{-}Chun Peng and Cheng{-}Yu Wu and Satheesh Abimannan}, title = {A novel RPL-based multicast routing mechanism for wireless sensor networks}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {33}, number = {2}, pages = {122--131}, year = {2020} }
@article{DBLP:journals/ijshc/HuiWCH20, author = {Lin Hui and Kuei Min Wang and Yung{-}Hui Chen and Fu{-}Yi Hung}, title = {Simulation analysis of the wild animal observation system}, journal = {Int. J. Soc. Humanist. Comput.}, volume = {3}, number = {3/4}, pages = {205--215}, year = {2020} }
@article{DBLP:journals/ivc/HongCHFS20, author = {Dza{-}Shiang Hong and Hung{-}Hao Chen and Pei{-}Yung Hsiao and Li{-}Chen Fu and Siang{-}Min Siao}, title = {CrossFusion net: Deep 3D object detection based on {RGB} images and point clouds in autonomous driving}, journal = {Image Vis. Comput.}, volume = {100}, pages = {103955}, year = {2020} }
@article{DBLP:journals/jmihi/ChenHLZCL20, author = {Wen{-}Chang Chen and Yen{-}Hung Ho and Song{-}Shei Lin and Lan Zhang and I{-}Tsang Chiang and Wei{-}Ming Lin}, title = {Sensitivity of Pre-Contrast Multiphase versus Conventional Liver {MRI} in Diagnosing Hepatic Hemangioma}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {11}, pages = {2728--2732}, year = {2020} }
@article{DBLP:journals/jocnet/GlickACTHJLO0JG20, author = {Madeleine Glick and Nathan C. Abrams and Qixiang Cheng and Min Yee Teh and Yu{-}Han Hung and Oscar A. Jimenez and Songtao Liu and Yoshitomo Okawachi and Xiang Meng and Leif Johansson and Manya Ghobadi and Larry Dennison and George Michelogiannakis and John Shalf and Alan Y. Liu and John E. Bowers and Alexander L. Gaeta and Michal Lipson and Keren Bergman}, title = {{PINE:} Photonic Integrated Networked Energy efficient datacenters {(ENLITENED} Program) [Invited]}, journal = {{JOCN}}, volume = {12}, number = {12}, pages = {443--456}, year = {2020} }
@article{DBLP:journals/jssc/ChengGNKZKTL20, author = {Lin Cheng and Xinyuan Ge and Wai Chiu Ng and Wing{-}Hung Ki and Jiawei Zheng and Tsz Fai Kwok and Chi{-}Ying Tsui and Ming Liu}, title = {A 6.78-MHz Single-Stage Wireless Charger With Constant-Current Constant-Voltage Charging Technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {999--1010}, year = {2020} }
@article{DBLP:journals/jssc/ChiuZCSLTSHWWHS20, author = {Yen{-}Cheng Chiu and Zhixiao Zhang and Jia{-}Jing Chen and Xin Si and Ruhui Liu and Yung{-}Ning Tu and Jian{-}Wei Su and Wei{-}Hsing Huang and Jing{-}Hong Wang and Wei{-}Chen Wei and Je{-}Min Hung and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Chih{-}I Wu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2790--2801}, year = {2020} }
@article{DBLP:journals/jssc/LinHTTHCHHCGFRL20, author = {Mu{-}Shan Lin and Tze{-}Chiang Huang and Chien{-}Chun Tsai and King{-}Ho Tam and Kenny Cheng{-}Hsiang Hsieh and Ching{-}Fang Chen and Wen{-}Hung Huang and Chi{-}Wei Hu and Yu{-}Chi Chen and Sandeep Kumar Goel and Chin{-}Ming Fu and Stefan Rusu and Chao{-}Chieh Li and Sheng{-}Yao Yang and Mei Wong and Shu{-}Chun Yang and Frank Lee}, title = {A 7-nm 4-GHz Arm{\({^1}\)}-Core-Based CoWoS{\({^1}\)} Chiplet Design for High-Performance Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {956--966}, year = {2020} }
@article{DBLP:journals/mbec/ChenLC20, author = {Xing{-}Ji Chen and Ching{-}Hsing Luo and Min{-}Hung Chen}, title = {Combination of "generalized Trotter operator splitting" and "quadratic adaptive algorithm" method for tradeoff among speedup, stability, and accuracy in the Markov chain model of sodium ion channels in the ventricular cell model}, journal = {Medical Biol. Eng. Comput.}, volume = {58}, number = {9}, pages = {2131--2141}, year = {2020} }
@article{DBLP:journals/midm/ChengKZ20, author = {Chun{-}Hung Cheng and Yong{-}Hong Kuo and Ziye Zhou}, title = {Outbreak minimization v.s. influence maximization: an optimization framework}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {20}, number = {1}, pages = {266}, year = {2020} }
@article{DBLP:journals/midm/WangLHL20, author = {Ming{-}Jye Wang and Hung{-}Ming Lin and Li{-}Chen Hung and Yi{-}Ting Lo}, title = {Non-health outcomes affecting self-care behaviors and medical decision-making preference in patients with type 2 diabetes: a cross-sectional study}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {20}, number = {1}, pages = {74}, year = {2020} }
@article{DBLP:journals/mms/FangBZYHL20, author = {Ming Fang and Xiaoying Bai and Jianwei Zhao and Fengqin Yang and Chih{-}Cheng Hung and Shuhua Liu}, title = {Integrating Gaussian mixture model and dilated residual network for action recognition in videos}, journal = {Multim. Syst.}, volume = {26}, number = {6}, pages = {715--725}, year = {2020} }
@article{DBLP:journals/nar/HuangLLHSHTCJYX20, author = {Hsi{-}Yuan Huang and Yang{-}Chi{-}Dung Lin and Jing Li and Kai{-}Yao Huang and Sirjana Shrestha and Hsiao{-}Chin Hong and Yun Tang and Yi{-}Gang Chen and Chen{-}Nan Jin and Yuan Yu and Jia{-}Tong Xu and Yue{-}Ming Li and Xiao{-}Xuan Cai and Zhen{-}Yu Zhou and Xiao{-}Hang Chen and Yuan{-}Yuan Pei and Liang Hu and Jin{-}Jiang Su and Shi{-}Dong Cui and Fei Wang and Yue{-}Yang Xie and Si{-}Yuan Ding and Meng{-}Fan Luo and Chih{-}Hung Chou and Nai{-}Wen Chang and Kai{-}Wen Chen and Yu{-}Hsiang Cheng and Xin{-}Hong Wan and Wen{-}Lian Hsu and Tzong{-}Yi Lee and Feng{-}Xiang Wei and Hsien{-}Da Huang}, title = {miRTarBase 2020: updates to the experimentally validated microRNA?target interaction database}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D148--D154}, year = {2020} }
@article{DBLP:journals/neuroimage/ChenHYTLLHHT20, author = {Chang{-}Le Chen and Yung{-}Chin Hsu and Li{-}Ying Yang and Yu{-}Hung Tung and Wen{-}Bin Luo and Chih{-}Min Liu and Tzung{-}Jeng Hwang and Hai{-}Gwo Hwu and Wen{-}Yih Isaac Tseng}, title = {Generalization of diffusion magnetic resonance imaging-based brain age prediction model through transfer learning}, journal = {NeuroImage}, volume = {217}, pages = {116831}, year = {2020} }
@article{DBLP:journals/remotesensing/ChenLYWYYGHSLLT20, author = {Chieh{-}Hung Chen and Li{-}Ching Lin and Ta{-}Kang Yeh and Strong Wen and Huaizhong Yu and Chen Yu and Yongxin Gao and Peng Han and Yang{-}Yi Sun and Jann{-}Yenq Liu and Cheng{-}Horng Lin and Chi{-}Chia Tang and Che{-}Min Lin and Hung{-}Hao Hsieh and Pin{-}Ji Lu}, title = {Determination of Epicenters before Earthquakes Utilizing Far Seismic and {GNSS} Data: Insights from Ground Vibrations}, journal = {Remote. Sens.}, volume = {12}, number = {19}, pages = {3252}, year = {2020} }
@article{DBLP:journals/remotesensing/HuangYYLLCG20, author = {Tao Huang and Steve Hung{-}Lam Yim and Yuanjian Yang and Olivia Shuk{-}ming Lee and David Hok{-}yin Lam and Jack Chin{-}ho Cheng and Jianping Guo}, title = {Observation of Turbulent Mixing Characteristics in the Typical Daytime Cloud-Topped Boundary Layer over Hong Kong in 2019}, journal = {Remote. Sens.}, volume = {12}, number = {9}, pages = {1533}, year = {2020} }
@article{DBLP:journals/remotesensing/HungCWCF20, author = {Meng{-}Pai Hung and Wei{-}Ting Chen and Chien{-}Ming Wu and Peng{-}Jen Chen and Pei{-}Ning Feng}, title = {Intraseasonal Vertical Cloud Regimes Based on CloudSat Observations over the Tropics}, journal = {Remote. Sens.}, volume = {12}, number = {14}, pages = {2273}, year = {2020} }
@article{DBLP:journals/sensors/HuangCHCSYHL20, author = {Hung Ji Huang and Yu{-}Cheng Chiang and Chia{-}Hsien Hsu and Jyh{-}Jian Chen and Ming{-}Hua Shiao and Chih{-}Chieh Yeh and Shu{-}Ling Huang and Yung{-}Sheng Lin}, title = {Light Energy Conversion Surface with Gold Dendritic Nanoforests/Si Chip for Plasmonic Polymerase Chain Reaction}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1293}, year = {2020} }
@article{DBLP:journals/sensors/LinLHCKCW20, author = {Chia{-}Hung Lin and Ming{-}Jie Lin and Jie{-}De Huang and Yu{-}Sheng Chuang and Yu{-}Fen Kuo and Jung{-}Chih Chen and Ching{-}Chou Wu}, title = {Label-Free Impedimetric Immunosensors Modulated by Protein A/Bovine Serum Albumin Layer for Ultrasensitive Detection of Salbutamol}, journal = {Sensors}, volume = {20}, number = {3}, pages = {771}, year = {2020} }
@article{DBLP:journals/soco/ShiS20, author = {Wan{-}Chen Shi and Hung{-}Min Sun}, title = {DeepBot: a time-based botnet detection with deep learning}, journal = {Soft Comput.}, volume = {24}, number = {21}, pages = {16605--16616}, year = {2020} }
@article{DBLP:journals/soco/WangCZIY20, author = {Eric Ke Wang and Chien{-}Ming Chen and Dongning Zhao and Wai Hung Ip and Kai{-}Leung Yung}, title = {A dynamic trust model in internet of things}, journal = {Soft Comput.}, volume = {24}, number = {8}, pages = {5773--5782}, year = {2020} }
@article{DBLP:journals/symmetry/LiaoCYY20, author = {Chia{-}Hung Liao and Li{-}Xian Chen and Jhih{-}Cheng Yang and Shyan{-}Ming Yuan}, title = {A Photo Post Recommendation System Based on Topic Model for Improving Facebook Fan Page Engagement}, journal = {Symmetry}, volume = {12}, number = {7}, pages = {1105}, year = {2020} }
@article{DBLP:journals/tcad/PatyalPACC20, author = {Abhishek Patyal and Po{-}Cheng Pan and K. A. Asha and Hung{-}Ming Chen and Wei{-}Zen Chen}, title = {Exploring Multiple Analog Placements With Partial-Monotonic Current Paths and Symmetry Constraints Using {PCP-SP}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {12}, pages = {5056--5068}, year = {2020} }
@article{DBLP:journals/tcas/ChungHOC20, author = {Ming{-}Jie Chung and Tetsuya Hirose and Takahito Ono and Po{-}Hung Chen}, title = {A 115{\texttimes} Conversion-Ratio Thermoelectric Energy-Harvesting Battery Charger for the Internet of Things}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {11}, pages = {4110--4121}, year = {2020} }
@article{DBLP:journals/tie/ChenWGCLB20, author = {Xiaotao Chen and Weimin Wu and Ning Gao and Henry Shu{-}Hung Chung and Marco Liserre and Frede Blaabjerg}, title = {Finite Control Set Model Predictive Control for LCL-Filtered Grid-Tied Inverter With Minimum Sensors}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {67}, number = {12}, pages = {9980--9990}, year = {2020} }
@article{DBLP:journals/tim/ChangTLKH20, author = {Chia{-}Jung Chang and Cheng{-}Chi Tai and Fang{-}Wei Lin and Chung{-}Ching Kuo and Chia{-}Ming Hung}, title = {Effects of Flexible Induction Coil Pitch on the Heating Performance of Thermotherapy Needles}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {69}, number = {11}, pages = {8983--8991}, year = {2020} }
@article{DBLP:journals/tits/TemelCA20, author = {Dogancan Temel and Min{-}Hung Chen and Ghassan AlRegib}, title = {Traffic Sign Detection Under Challenging Conditions: {A} Deeper Look into Performance Variations and Spectral Characteristics}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {21}, number = {9}, pages = {3663--3673}, year = {2020} }
@article{DBLP:journals/tkde/YanTTYSZ20, author = {Yan Yan and Mingkui Tan and Ivor W. Tsang and Yi Yang and Qinfeng Shi and Chengqi Zhang}, title = {Fast and Low Memory Cost Matrix Factorization: Algorithm, Analysis, and Case Study}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {32}, number = {2}, pages = {288--301}, year = {2020} }
@article{DBLP:journals/tmi/KumarVAZOTCHLHW20, author = {Neeraj Kumar and Ruchika Verma and Deepak Anand and Yanning Zhou and Omer Fahri Onder and Efstratios Tsougenis and Hao Chen and Pheng{-}Ann Heng and Jiahui Li and Zhiqiang Hu and Yunzhi Wang and Navid Alemi Koohbanani and Mostafa Jahanifar and Neda Zamani Tajeddin and Ali Gooya and Nasir M. Rajpoot and Xuhua Ren and Sihang Zhou and Qian Wang and Dinggang Shen and Cheng{-}Kun Yang and Chi{-}Hung Weng and Wei{-}Hsiang Yu and Chao{-}Yuan Yeh and Shuang Yang and Shuoyu Xu and Pak{-}Hei Yeung and Peng Sun and Amirreza Mahbod and Gerald Schaefer and Isabella Ellinger and Rupert Ecker and {\"{O}}rjan Smedby and Chunliang Wang and Benjamin Chidester and That{-}Vinh Ton and Minh{-}Triet Tran and Jian Ma and Minh N. Do and Simon Graham and Quoc Dang Vu and Jin Tae Kwak and Akshaykumar Gunda and Raviteja Chunduri and Corey Hu and Xiaoyang Zhou and Dariush Lotfi and Reza Safdari and Antanas Kascenas and Alison O'Neil and Dennis Eschweiler and Johannes Stegmaier and Yanping Cui and Baocai Yin and Kailin Chen and Xinmei Tian and Philipp Gr{\"{u}}ning and Erhardt Barth and Elad Arbel and Itay Remer and Amir Ben{-}Dor and Ekaterina Sirazitdinova and Matthias Kohl and Stefan Braunewell and Yuexiang Li and Xinpeng Xie and Linlin Shen and Jun Ma and Krishanu Das Baksi and Mohammad Azam Khan and Jaegul Choo and Adri{\'{a}}n Colomer and Valery Naranjo and Linmin Pei and Khan M. Iftekharuddin and Kaushiki Roy and Debotosh Bhattacharjee and An{\'{\i}}bal Pedraza and Maria Gloria Bueno and Sabarinathan Devanathan and Saravanan Radhakrishnan and Praveen Koduganty and Zihan Wu and Guanyu Cai and Xiaojie Liu and Yuqin Wang and Amit Sethi}, title = {A Multi-Organ Nucleus Segmentation Challenge}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {5}, pages = {1380--1391}, year = {2020} }
@article{DBLP:journals/todaes/ChiLC20, author = {Hao{-}Yu Chi and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {Wire Load Oriented Analog Routing with Matching Constraints}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {25}, number = {6}, pages = {55:1--55:26}, year = {2020} }
@article{DBLP:journals/tsmc/ChenHHWLK20, author = {Yung{-}Wei Chen and Jui{-}Tse Hsu and Chih{-}Chieh Hung and Jin{-}Ming Wu and Feipei Lai and Sy{-}Yen Kuo}, title = {Surgical Wounds Assessment System for Self-Care}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {50}, number = {12}, pages = {5076--5091}, year = {2020} }
@article{DBLP:journals/tvt/LinCSLL20, author = {Che{-}Tsung Lin and Shu{-}Ping Chen and Patrisia Sherryl Santoso and Hung{-}Jin Lin and Shang{-}Hong Lai}, title = {Real-Time Single-Stage Vehicle Detector Optimized by Multi-Stage Image-Based Online Hard Example Mining}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {2}, pages = {1505--1518}, year = {2020} }
@inproceedings{DBLP:conf/accv/TsengCTLL020, author = {Hung{-}Yu Tseng and Yi{-}Wen Chen and Yi{-}Hsuan Tsai and Sifei Liu and Yen{-}Yu Lin and Ming{-}Hsuan Yang}, title = {Regularizing Meta-learning via Gradient Dropout}, booktitle = {{ACCV} {(4)}}, series = {Lecture Notes in Computer Science}, volume = {12625}, pages = {218--234}, publisher = {Springer}, year = {2020} }
@inproceedings{DBLP:conf/aciids/ChenWLFJHLPHLHC20, author = {Tzu{-}Ting Chen and Ding{-}Chau Wang and Min{-}Xiuang Liu and Chi{-}Luen Fu and Lin{-}Yi Jiang and Gwo{-}Jiun Horng and Kawuu W. Lin and Mao{-}Yuan Pai and Tz{-}Heng Hsu and Yu{-}Chuan Lin and Min{-}Hsiung Hung and Chao{-}Chun Chen}, title = {Improving Accuracy of Peacock Identification in Deep Learning Model Using Gaussian Mixture Model and Speeded Up Robust Features}, booktitle = {{ACIIDS} {(1)}}, series = {Lecture Notes in Computer Science}, volume = {12033}, pages = {565--574}, publisher = {Springer}, year = {2020} }
@inproceedings{DBLP:conf/aciids/OHLC20, author = {Tang{-}Hsuan O and Min{-}Hsiung Hung and Yu{-}Chuan Lin and Chao{-}Chun Chen}, title = {Construction of Virtual Metrology Cloud Platform with Machine Learning Tools for Providing Factory-Wide Manufacturing Service}, booktitle = {{ACIIDS} (Companion)}, series = {Communications in Computer and Information Science}, volume = {1178}, pages = {47--59}, publisher = {Springer}, year = {2020} }
@inproceedings{DBLP:conf/acl/WuQCWQLLXGWZ20, author = {Fangzhao Wu and Ying Qiao and Jiun{-}Hung Chen and Chuhan Wu and Tao Qi and Jianxun Lian and Danyang Liu and Xing Xie and Jianfeng Gao and Winnie Wu and Ming Zhou}, title = {{MIND:} {A} Large-scale Dataset for News Recommendation}, booktitle = {{ACL}}, pages = {3597--3606}, publisher = {Association for Computational Linguistics}, year = {2020} }
@inproceedings{DBLP:conf/apnoms/TengCHC20, author = {Che{-}Chun Teng and Mei{-}Chun Chen and Min{-}Han Hung and Hong{-}Jie Chen}, title = {End-to-end Service Assurance in 5G Crosshaul Networks}, booktitle = {{APNOMS}}, pages = {306--309}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/aspdac/0001GNKZKTL20, author = {Lin Cheng and Xinyuan Ge and Wai Chiu Ng and Wing{-}Hung Ki and Jiawei Zheng and Tsz Fai Kwok and Chi{-}Ying Tsui and Ming Liu}, title = {Design of a Single-Stage Wireless Charger with 92.3{\%}-Peak-Efficiency for Portable Devices Applications}, booktitle = {{ASP-DAC}}, pages = {1--2}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/asscc/WangHCLYHLKW20, author = {Sung{-}Hao Wang and Yu{-}Kai Huang and Ching{-}Yuan Chen and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Improved Design and In Vivo Animal Tests of Bone-Guided Cochlear Implant Microsystem with Monopolar Biphasic Multiple Stimulation and Neural Action Potential Acquisition}, booktitle = {{A-SSCC}}, pages = {1--4}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/asunam/JiangWCGCWHCSD20, author = {Mike Tian{-}Jian Jiang and Shih{-}Hung Wu and Yi{-}Kun Chen and Zhao{-}Xian Gu and Cheng{-}Jhe Chiang and Yueh{-}Chia Wu and Yu{-}Chen Huang and Cheng{-}Han Chiu and Sheng{-}Ru Shaw and Min{-}Yuh Day}, title = {Fine-tuning techniques and data augmentation on transformer-based models for conversational texts and noisy user-generated content}, booktitle = {{ASONAM}}, pages = {919--925}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/bionetics/TsaiCCC20, author = {Min{-}Yan Tsai and Hsin{-}Hung Cho and Chi{-}Yuan Chen and Wei{-}Min Chen}, title = {A Novel Genetic Algorithm-Based {DES} Key Generation Scheme}, booktitle = {{BICT}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {329}, pages = {199--211}, publisher = {Springer}, year = {2020} }
@inproceedings{DBLP:conf/blizzard/PengHKLC0W20, author = {Yu{-}Huai Peng and Cheng{-}Hung Hu and Alexander Chao{-}Fu Kang and Hung{-}Shin Lee and Pin{-}Yuan Chen and Yu Tsao and Hsin{-}Min Wang}, title = {The Academia Sinica Systems of Voice Conversion for {VCC2020}}, booktitle = {Blizzard Challenge / Voice Conversion Challenge}, publisher = {{ISCA}}, year = {2020} }
@inproceedings{DBLP:conf/case/ZouHVC20, author = {Minjie Zou and Edward Huang and Birgit Vogel{-}Heuser and Chun{-}Hung Chen}, title = {Efficiently Learning a Distributed Control Policy in Cyber-Physical Production Systems Via Simulation Optimization}, booktitle = {{CASE}}, pages = {645--651}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/ccnc/YangTHT20, author = {Ming{-}Der Yang and Hsin{-}Hung Tseng and Yu{-}Chun Hsu and Wei Chen Tseng}, title = {Real-time Crop Classification Using Edge Computing and Deep Learning}, booktitle = {{CCNC}}, pages = {1--4}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/ccs/WangD20, author = {Ming{-}Hung Wang and Yu{-}Chen Dai}, title = {{POSTER:} How Do Suspicious Accounts Participate in Online Political Discussions? {A} Preliminary Study in Taiwan}, booktitle = {AsiaCCS}, pages = {886--888}, publisher = {{ACM}}, year = {2020} }
@inproceedings{DBLP:conf/cvpr/ChangCTCCWCLTT20, author = {Ming{-}Ching Chang and Chen{-}Kuo Chiang and Chun{-}Ming Tsai and Yun{-}Kai Chang and Hsuan{-}Lun Chiang and Yu{-}An Wang and Shih{-}Ya Chang and Yun{-}Lun Li and Ming{-}Shuin Tsai and Hung{-}Yu Tseng}, title = {{AI} City Challenge 2020 - Computer Vision for Smart Transportation Applications}, booktitle = {{CVPR} Workshops}, pages = {2638--2647}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/cvpr/ChenLBAK20, author = {Min{-}Hung Chen and Baopu Li and Yingze Bao and Ghassan AlRegib and Zsolt Kira}, title = {Action Segmentation With Joint Self-Supervised Temporal Domain Adaptation}, booktitle = {{CVPR}}, pages = {9451--9460}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/cvpr/ChiangTXKTCTWLT20, author = {Cheng{-}Ming Chiang and Yu Tseng and Yu{-}Syuan Xu and Hsien{-}Kai Kuo and Yi{-}Min Tsai and Guan{-}Yu Chen and Koan{-}Sin Tan and Wei{-}Ting Wang and Yu{-}Chieh Lin and Shou{-}Yao Roy Tseng and Wei{-}Shiang Lin and Chia{-}Lin Yu and B. Y. Shen and Kloze Kao and Chia{-}Ming Cheng and Hung{-}Jen Chen}, title = {Deploying Image Deblurring across Mobile Devices: {A} Perspective of Quality and Latency}, booktitle = {{CVPR} Workshops}, pages = {2109--2119}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/cyberc/ChangLLK20, author = {Hung{-}Chang Chang and Chen{-}Yi Lin and Da{-}Jyun Liao and Tung{-}Ming Koo}, title = {The Modbus Protocol Vulnerability Test in Industrial Control Systems}, booktitle = {CyberC}, pages = {375--378}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/dac/PatyalCL20, author = {Abhishek Patyal and Hung{-}Ming Chen and Mark Po{-}Hung Lin}, title = {Late Breaking Results: Pole-aware Analog Placement Considering Monotonic Current Flow and Crossing-Wire Minimization}, booktitle = {{DAC}}, pages = {1--2}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/date/JiangKCC20, author = {Jyun{-}Ru Jiang and Yun{-}Chih Kuo and Simon Yi{-}Hung Chen and Hung{-}Ming Chen}, title = {On Pre-Assignment Route Prototyping for Irregular Bumps on {BGA} Packages}, booktitle = {{DATE}}, pages = {1311--1314}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/emnlp/LiangDGWGQGSJCF20, author = {Yaobo Liang and Nan Duan and Yeyun Gong and Ning Wu and Fenfei Guo and Weizhen Qi and Ming Gong and Linjun Shou and Daxin Jiang and Guihong Cao and Xiaodong Fan and Ruofei Zhang and Rahul Agrawal and Edward Cui and Sining Wei and Taroon Bharti and Ying Qiao and Jiun{-}Hung Chen and Winnie Wu and Shuguang Liu and Fan Yang and Daniel Campos and Rangan Majumder and Ming Zhou}, title = {{XGLUE:} {A} New Benchmark Datasetfor Cross-lingual Pre-training, Understanding and Generation}, booktitle = {{EMNLP} {(1)}}, pages = {6008--6018}, publisher = {Association for Computational Linguistics}, year = {2020} }
@inproceedings{DBLP:conf/ets/LiLCCHWH20, author = {Katherine Shu{-}Min Li and Peter Yi{-}Yu Liao and Leon Chou and Ken Chau{-}Cheung Cheng and Andrew Yi{-}Ann Huang and Sying{-}Jyan Wang and Gus Chang{-}Hung Han}, title = {{PWS:} Potential Wafermap Scratch Defect Pattern Recognition with Machine Learning Techniques}, booktitle = {{ETS}}, pages = {1--6}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/huc/HungYCBLHY20, author = {Min{-}Wei Hung and Tina Chien{-}Wen Yuan and Yi{-}Chao Chen and Nanyi Bi and Wan{-}Chen Lee and Ming{-}Chyi Huang and Chuang{-}Wen You}, title = {Leveraging family force to assist adolescent patients in the treatment of technology abuse}, booktitle = {UbiComp/ISWC Adjunct}, pages = {33--37}, publisher = {{ACM}}, year = {2020} }
@inproceedings{DBLP:conf/icaiic/LiuNHCCL20, author = {Huey{-}Ing Liu and Chih{-}Chien Ni and Cheng{-}Hung Hsu and Wei{-}Lin Chen and Wei{-}Ming Chen and Yan{-}Ting Liu}, title = {Attention Based R{\&}CNN Medical Question Answering System in Chinese}, booktitle = {{ICAIIC}}, pages = {341--345}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/icalt/TaiCTC20, author = {Hung{-}Cheng Tai and Chun{-}Ming Chen and Yuan{-}Hsiung Tsai and Hsiu{-}Ling Chen}, title = {Learning English as Foreign Language through Guided Writing Practice: An fMRI Study of Healthy Taiwanese Students}, booktitle = {{ICALT}}, pages = {164--168}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/icassp/LiuHCYTT20, author = {Yi{-}Chieh Liu and Yung{-}An Hsieh and Min{-}Hung Chen and Chao{-}Han Huck Yang and Jesper Tegn{\'{e}}r and Yi{-}Chang James Tsai}, title = {Interpretable Self-Attention Temporal Reasoning for Driving Behavior Understanding}, booktitle = {{ICASSP}}, pages = {2338--2342}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/iccad/ChenHCKLKCLLJ20, author = {Hung{-}Ming Chen and Chia{-}Lin Hu and Kang{-}Yu Chang and Alexandra K{\"{u}}ster and Yu{-}Hsien Lin and Po{-}Shen Kuo and Wei{-}Tung Chao and Bo{-}Cheng Lai and Chien{-}Nan Liu and Shyh{-}Jye Jou}, title = {On {EDA} Solutions for Reconfigurable Memory-Centric {AI} Edge Applications}, booktitle = {{ICCAD}}, pages = {127:1--127:8}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/iccad/LinCPLZLC20, author = {Mark Po{-}Hung Lin and Hao{-}Yu Chi and Abhishek Patyal and Zheng{-}Yao Liu and Jun{-}Jie Zhao and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {Achieving Analog Layout Integrity through Learning and Migration Invited Talk}, booktitle = {{ICCAD}}, pages = {55:1--55:8}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/icce-tw/FuYFHW20, author = {Ying{-}Xian Fu and Zhi{-}Min Yu and Minghui Fan and Pao{-}Cheng Huang and Liang{-}Hung Wang}, title = {Real-time personalized cardiovascular monitoring system with arrhythmia classification method}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/icce-tw/WangL20, author = {Chen{-}Xuan Wang and Cheng{-}Hung Lin}, title = {Improved Normalized Probabilistic Minimum Summation Algorithm for {LDPC} Decoding}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/icce-tw/WuHLLCCHL20, author = {Yi{-}Ting Wu and Shih{-}Kai Hung and Hon{-}Yi Lin and Moon{-}Sing Lee and Wen{-}Yen Chiou and Liang{-}Cheng Chen and Li{-}Wen Huang and Wei{-}Min Liu}, title = {A motion analysis-based mental stress assessment method through a smartphone carrier for patients need radiotherapy}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/icetm/ChangCH20, author = {Yu{-}Heng Chang and I{-}Ju Chen and Ming{-}Kuo Hung}, title = {Single Parent Learning Art Therapy for Teenager's Intention: Parent Learning Intention}, booktitle = {{ICETM}}, pages = {140--145}, publisher = {{ACM}}, year = {2020} }
@inproceedings{DBLP:conf/ickii/ChenWLY20, author = {Li{-}Xian Chen and Kai{-}Sin Wong and Chia{-}Hung Liao and Shyan{-}Ming Yuan}, title = {Predatory Journal Classification Using Machine Learning}, booktitle = {{ICKII}}, pages = {193--196}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/icmhi/ChenCL20, author = {Ming{-}Te Chen and Chia{-}Chu Chen and Tsung{-}Hung Lin}, title = {A Cryptanalysis of Trustworthy Electronicvoting using Adjusted Blockchain Technology}, booktitle = {{ICMHI}}, pages = {275--280}, publisher = {{ACM}}, year = {2020} }
@inproceedings{DBLP:conf/icppw/ChaoCLLHS20, author = {Chen{-}Ting Chao and Wei{-}Hsu Chu and Chao{-}Lin Lee and Jenq{-}Kuen Lee and Ming{-}Yu Hung and Hsiang{-}Wei Sung}, title = {Devise Sparse Compression Schedulers to Enhance FastText Methods}, booktitle = {{ICPP} Workshops}, pages = {4:1--4:8}, publisher = {{ACM}}, year = {2020} }
@inproceedings{DBLP:conf/icpr/KaoLCCLH20, author = {Peng Yua Kao and Yan{-}Jing Lei and Chia{-}Hao Chang and Chu{-}Song Chen and Ming{-}Sui Lee and Yi{-}Ping Hung}, title = {Activity Recognition Using First-Person-View Cameras Based on Sparse Optical Flows}, booktitle = {{ICPR}}, pages = {81--86}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/icprai/WuLCTCL20, author = {Fu{-}Hsing Wu and Hsuan{-}Hung Lin and Po{-}Chou Chan and Chien{-}Ming Tseng and Yung{-}Fu Chen and Chih{-}Sheng Lin}, title = {Clinical Decision Support Systems for Predicting Patients Liable to Acquire Acute Myocardial Infarctions}, booktitle = {{ICPRAI}}, series = {Lecture Notes in Computer Science}, volume = {12068}, pages = {622--634}, publisher = {Springer}, year = {2020} }
@inproceedings{DBLP:conf/iiaiaai/LinCC20, author = {Chun{-}Yu Lin and Chih{-}Ming Chen and Chih{-}Hung Chang}, title = {An Image Retrieval System Based on Automatic Image Annotation to Facilitate Digital Humanities Research}, booktitle = {{IIAI-AAI}}, pages = {80--83}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/ijcnn/ChenJ0C020, author = {Huaming Chen and Yaochu Jin and Lei Wang and Chi{-}Hung Chi and Jun Shen}, title = {{HIME:} Mining and Ensembling Heterogeneous Information for Protein-Protein Interactions Prediction}, booktitle = {{IJCNN}}, pages = {1--8}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/imaging/HuangWLO20, author = {Hsin{-}Pou Huang and Minchen Wei and Hung{-}Chung Li and Li{-}Chen Ou}, title = {Optimal Text-background Lightness Combination for Enhancing Visual Clarity Using a Head-up Display under Different Surround Conditions}, booktitle = {{CIC}}, pages = {210--214}, publisher = {Society for Imaging Science and Technology}, year = {2020} }
@inproceedings{DBLP:conf/iri/HuangKHKC20, author = {Sheng{-}Tai Huang and Yihuang Kang and Shao{-}Min Hung and Bowen Kuo and I{-}Ling Cheng}, title = {Topic Diffusion Discovery based on Deep Non-negative Autoencoder}, booktitle = {{IRI}}, pages = {405--408}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/irps/ChenLLCCSTYHCLC20, author = {Kuan{-}Ting Chen and C. Lo and Y.{-}Y. Lin and C.{-}Y. Chueh and C. Chang and G.{-}Y. Siang and Y.{-}J. Tseng and Y.{-}J. Yang and F.{-}C. Hsieh and S.{-}H. Chang and H. Liang and S.{-}H. Chiang and J.{-}H. Liu and Y.{-}D. Lin and P.{-}C. Yeh and C.{-}Y. Wang and H.{-}Y. Yang and P.{-}J. Tzeng and M.{-}H. Liao and Shu{-}Tong Chang and Y.{-}Y. Tseng and Min{-}Hung Lee}, title = {Double Layers Omega FETs with Ferroelectric HfZrO2 for One-Transistor Memory}, booktitle = {{IRPS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isocc/ChenLZHC20, author = {Chuen{-}Yau Chen and Cheng{-}Yuan Lin and Yi{-}Ze Zou and Hung{-}Ming Hsiao and Yen{-}Ting Chen}, title = {Application of Blind Signal Processing in Image Watermarking Systems}, booktitle = {{ISOCC}}, pages = {195--196}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/ispd/LiouLSC20, author = {Sin{-}Hong Liou and Sean S.{-}Y. Liu and Richard Sun and Hung{-}Ming Chen}, title = {Timing Driven Partition for Multi-FPGA Systems with {TDM} Awareness}, booktitle = {{ISPD}}, pages = {111--118}, publisher = {{ACM}}, year = {2020} }
@inproceedings{DBLP:conf/isscc/AliCPYYAGLWCENT20, author = {Tamer A. Ali and Ehung Chen and Henry Park and Ramy Yousry and Yu{-}Ming Ying and Mohammed Abdullatif and Miguel Gandara and Chun{-}Cheng Liu and Po{-}Shuan Weng and Huan{-}Sheng Chen and Mohammad Elbadry and Qaiser Nehal and Kun{-}Hung Tsai and Kevin Tan and Yi{-}Chieh Huang and Chung{-}Hsien Tsai and Yuyun Chang and Yuan{-}Hao Tung}, title = {6.2 {A} 460mW 112Gb/s DSP-Based Transceiver with 38dB Loss Compensation for Next-Generation Data Centers in 7nm FinFET Technology}, booktitle = {{ISSCC}}, pages = {118--120}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isscc/ChangCLHCXWKCHT20, author = {Tung{-}Cheng Chang and Yen{-}Cheng Chiu and Chun{-}Ying Lee and Je{-}Min Hung and Kuang{-}Tang Chang and Cheng{-}Xin Xue and Ssu{-}Yen Wu and Hui{-}Yao Kao and Peng Chen and Hsiao{-}Yu Huang and Shih{-}Hsih Teng and Meng{-}Fan Chang}, title = {13.4 {A} 22nm 1Mb 1024b-Read and Near-Memory-Computing Dual-Mode {STT-MRAM} Macro with 42.6GB/s Read Bandwidth for Security-Aware Mobile Devices}, booktitle = {{ISSCC}}, pages = {224--226}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isscc/JuLCWTCWLCCWGHC20, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chang{-}Hung Tsai and Ying{-}Jui Chen and Tung{-}Hsing Wu and Hue{-}Min Lin and Han{-}Liang Chou and Abrams Chen and Andy{-}HB Wang and W. C. Gu and Wayne Hsieh and Jing{-}Ying Chang and Shou{-}Chun Liao and Chen{-}Tsai Ho and Larry Chu and Sokonisa Wei and Chi{-}Hui Wang and Kevin Jou}, title = {21.3 {A} 5.69mm\({}^{\mbox{2}}\) 0.98nJ/Pixel Image-Processing SoC with 24b High-Dynamic-Range and Multiple Sensor Format Support for Automotive Applications}, booktitle = {{ISSCC}}, pages = {326--328}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isscc/LinCTHKWTHLLWKC20, author = {Chien{-}Hung Lin and Chih{-}Chung Cheng and Yi{-}Min Tsai and Sheng{-}Je Hung and Yu{-}Ting Kuo and Perry H. Wang and Pei{-}Kuei Tsung and Jeng{-}Yun Hsu and Wei{-}Chih Lai and Chia{-}Hung Liu and Shao{-}Yu Wang and Chin{-}Hua Kuo and Chih{-}Yu Chang and Ming{-}Hsien Lee and Tsung{-}Yao Lin and Chih{-}Cheng Chen}, title = {7.1 {A} 3.4-to-13.3TOPS/W 3.6TOPS Dual-Core Deep-Learning Accelerator for Versatile {AI} Applications in 7nm 5G Smartphone SoC}, booktitle = {{ISSCC}}, pages = {134--136}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isscc/TsaiYYCWHCWHHCL20, author = {Ming{-}Da Tsai and Song{-}Yu Yang and Chi{-}Yao Yu and Ping{-}Yu Chen and Tzung{-}Han Wu and Mohammed Hassan and Chi{-}Tsan Chen and Chao{-}Wei Wang and Yen{-}Chuan Huang and Li{-}Han Hung and Wei{-}Hao Chiu and Anson Lin and Bo{-}Yu Lin and Arnaud Werquin and Chien{-}Cheng Lin and Yen{-}Horng Chen and Jen{-}Che Tsai and Yuan{-}Yu Fu and Bernard Tenbroek and Chinq{-}Shiun Chiu and Yi{-}Bin Lee and Guang{-}Kaai Dehng}, title = {10.3 {A} 12nm {CMOS} {RF} Transceiver Supporting 4G/5G {UL} {MIMO}}, booktitle = {{ISSCC}}, pages = {176--178}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/itc-asia/LeeWLHL20, author = {Ming{-}Ting Lee and Chen{-}Hung Wu and Shi{-}Tang Liu and Cheng{-}Yun Hsieh and James Chien{-}Mo Li}, title = {High Efficiency and Low Overkill Testing for Probabilistic Circuits}, booktitle = {ITC-Asia}, pages = {83--87}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/ithings/ChuangHC20, author = {Ming{-}Chin Chuang and Chiajui Hung and Chao{-}Lin Chen}, title = {Bandwidth-Aware Rescheduling Mechanism in SDN-based Data Center Networks}, booktitle = {iThings/GreenCom/CPSCom/SmartData/Cybermatics}, pages = {806--811}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/ivs/LeeLFHCLC20, author = {Sheng{-}Wei Lee and Peng{-}Wei Lin and Yuan{-}Ting Fu and Chih{-}Ming Hsu and Chen{-}Yu Chan and Jhih{-}Hong Lin and Yen{-}Hung Chiang}, title = {Improving vehicle localization using pole-like landmarks extracted from 3-D lidar scans}, booktitle = {{IV}}, pages = {2052--2057}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/nips/ChenCJ0S20, author = {Hung{-}Jen Chen and An{-}Chieh Cheng and Da{-}Cheng Juan and Wei Wei and Min Sun}, title = {Mitigating Forgetting in Online Continual Learning via Instance-Aware Parameterization}, booktitle = {NeurIPS}, year = {2020} }
@inproceedings{DBLP:conf/ococosda/ChenWLTKW20, author = {Pin{-}Yuan Chen and Chia{-}Hua Wu and Hung{-}Shin Lee and Shao{-}Kang Tsao and Ming{-}Tat Ko and Hsin{-}Min Wang}, title = {Using Taigi Dramas with Mandarin Chinese Subtitles to Improve Taigi Speech Recognition}, booktitle = {{O-COCOSDA}}, pages = {71--76}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/racs/LuCKCD20, author = {Yung{-}Feng Lu and Hung{-}Ming Chen and Chin{-}Fu Kuo and Bo{-}Ting Chen and Zong{-}Yan Dai}, title = {Enhanced Privacy with Blockchain-based Storage for Data Sharing}, booktitle = {{RACS}}, pages = {124--129}, publisher = {{ACM}}, year = {2020} }
@inproceedings{DBLP:conf/racs/LuKCTCL20, author = {Yung{-}Feng Lu and Chin{-}Fu Kuo and Hung{-}Ming Chen and Hsueh{-}Wen Tseng and Shih{-}Chun Chou and Yu{-}Ming Liao}, title = {A Three-Factor Mutual Authentication Scheme for Cyber-Physical Systems}, booktitle = {{RACS}}, pages = {113--118}, publisher = {{ACM}}, year = {2020} }
@inproceedings{DBLP:conf/ro-man/ChuangHGGHCYF20, author = {Yun{-}Shiuan Chuang and Hsin{-}Yi Hung and Edwinn Gamborino and Joshua Oon Soo Goh and Tsung{-}Ren Huang and Yu{-}Ling Chang and Su{-}Ling Yeh and Li{-}Chen Fu}, title = {Using Machine Theory of Mind to Learn Agent Social Network Structures from Observed Interactive Behaviors with Targets}, booktitle = {{RO-MAN}}, pages = {1013--1019}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/rocling/WangCLLL20, author = {Wen{-}Jet Wang and Chia{-}Jung Chen and Chien{-}Yu Lai and Chia{-}Ming Lee and Hsin{-}Hung Lin}, title = {A Chinese Math Word Problem Solving System Based on Linguistic Theory and Non-statistical Approach}, booktitle = {{ROCLING}}, pages = {208--222}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2020} }
@inproceedings{DBLP:conf/sips/LinLTCCC20, author = {Yu{-}Sheng Lin and Hung Chang Lu and Yang{-}Bin Tsao and Yi{-}Min Chih and Wei{-}Chao Chen and Shao{-}Yi Chien}, title = {GrateTile: Efficient Sparse Tensor Tiling for {CNN} Processing}, booktitle = {SiPS}, pages = {1--6}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/smc/ChenLL20, author = {Chien{-}Hung Chen and Wen{-}Yen Lin and Ming{-}Yih Lee}, title = {The Applications of K-means Clustering and Dynamic Time Warping Average in Seismocardiography Template Generation}, booktitle = {{SMC}}, pages = {1000--1007}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/socc/HuangTYHC20, author = {Po{-}Tsang Huang and Tzung{-}Han Tsai and Po{-}Jen Yang and Wei Hwang and Hung{-}Ming Chen}, title = {Hierarchical Active Voltage Regulation for Heterogeneous {TSV} 3D-ICs}, booktitle = {SoCC}, pages = {242--247}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/vlsic/ChenWFTCHTLK20, author = {Wei{-}Chih Chen and Chin{-}Hua Wen and Chin{-}Ming Fu and Tsung{-}Hsien Tsai and Yu{-}Chi Chen and Wen{-}Hung Huang and Chien{-}Chun Tsai and Alvin Leng Sun Loke and C. H. Kenny}, title = {A 4-to-18GHz Active Poly Phase Filter Quadrature Clock Generator with Phase Error Correction in 5nm {CMOS}}, booktitle = {{VLSI} Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/wacv/ChenFCC20, author = {Hung{-}Yu Chen and I{-}Sheng Fang and Chia{-}Ming Cheng and Wei{-}Chen Chiu}, title = {Self-Contained Stylization via Steganography for Reverse and Serial Style Transfer}, booktitle = {{WACV}}, pages = {2152--2160}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/wacv/ChenLBA20, author = {Min{-}Hung Chen and Baopu Li and Yingze Bao and Ghassan AlRegib}, title = {Action Segmentation with Mixed Temporal Domain Adaptation}, booktitle = {{WACV}}, pages = {594--603}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/www/LinZCCTH20, author = {Pin{-}Yen Lin and Zhong{-}Yi Zhou and Chun{-}Ming Chang and Hung{-}Wei Chen and Shu{-}Po Tung and Hsu{-}Chun Hsiao}, title = {Keeping Passwords In Your Pocket: Managing Password Locally With Mobile Fingerprint Sensors}, booktitle = {{WWW} (Companion Volume)}, pages = {124--125}, publisher = {{ACM} / {IW3C2}}, year = {2020} }
@article{DBLP:journals/corr/abs-2003-02824, author = {Min{-}Hung Chen and Baopu Li and Yingze Bao and Ghassan AlRegib and Zsolt Kira}, title = {Action Segmentation with Joint Self-Supervised Temporal Domain Adaptation}, journal = {CoRR}, volume = {abs/2003.02824}, year = {2020} }
@article{DBLP:journals/corr/abs-2004-01401, author = {Yaobo Liang and Nan Duan and Yeyun Gong and Ning Wu and Fenfei Guo and Weizhen Qi and Ming Gong and Linjun Shou and Daxin Jiang and Guihong Cao and Xiaodong Fan and Bruce Zhang and Rahul Agrawal and Edward Cui and Sining Wei and Taroon Bharti and Ying Qiao and Jiun{-}Hung Chen and Winnie Wu and Shuguang Liu and Fan Yang and Rangan Majumder and Ming Zhou}, title = {{XGLUE:} {A} New Benchmark Dataset for Cross-lingual Pre-training, Understanding and Generation}, journal = {CoRR}, volume = {abs/2004.01401}, year = {2020} }
@article{DBLP:journals/corr/abs-2004-05859, author = {Hung{-}Yu Tseng and Yi{-}Wen Chen and Yi{-}Hsuan Tsai and Sifei Liu and Yen{-}Yu Lin and Ming{-}Hsuan Yang}, title = {Regularizing Meta-Learning via Gradient Dropout}, journal = {CoRR}, volume = {abs/2004.05859}, year = {2020} }
@article{DBLP:journals/corr/abs-2004-12599, author = {Cheng{-}Ming Chiang and Yu Tseng and Yu{-}Syuan Xu and Hsien{-}Kai Kuo and Yi{-}Min Tsai and Guan{-}Yu Chen and Koan{-}Sin Tan and Wei{-}Ting Wang and Yu{-}Chieh Lin and Shou{-}Yao Roy Tseng and Wei{-}Shiang Lin and Chia{-}Lin Yu and B. Y. Shen and Kloze Kao and Chia{-}Ming Cheng and Hung{-}Jen Chen}, title = {Deploying Image Deblurring across Mobile Devices: {A} Perspective of Quality and Latency}, journal = {CoRR}, volume = {abs/2004.12599}, year = {2020} }
@article{DBLP:journals/corr/abs-2006-13458, author = {Jiarui Cai and Yizhou Wang and Haotian Zhang and Hung{-}Min Hsu and Chengqian Ma and Jenq{-}Neng Hwang}, title = {{IA-MOT:} Instance-Aware Multi-Object Tracking with Motion Consistency}, journal = {CoRR}, volume = {abs/2006.13458}, year = {2020} }
@article{DBLP:journals/corr/abs-2009-08685, author = {Yu{-}Sheng Lin and Hung Chang Lu and Yang{-}Bin Tsao and Yi{-}Min Chih and Wei{-}Chao Chen and Shao{-}Yi Chien}, title = {GrateTile: Efficient Sparse Tensor Tiling for {CNN} Processing}, journal = {CoRR}, volume = {abs/2009.08685}, year = {2020} }
@article{DBLP:journals/corr/abs-2010-02669, author = {Yu{-}Huai Peng and Cheng{-}Hung Hu and Alexander Chao{-}Fu Kang and Hung{-}Shin Lee and Pin{-}Yuan Chen and Yu Tsao and Hsin{-}Min Wang}, title = {The Academia Sinica Systems of Voice Conversion for {VCC2020}}, journal = {CoRR}, volume = {abs/2010.02669}, year = {2020} }
@article{DBLP:journals/corr/abs-2010-03710, author = {Sheng{-}Tai Huang and Yihuang Kang and Shao{-}Min Hung and Bowen Kuo and I{-}Ling Cheng}, title = {Topic Diffusion Discovery Based on Deep Non-negative Autoencoder}, journal = {CoRR}, volume = {abs/2010.03710}, year = {2020} }
@article{DBLP:journals/corr/abs-2010-13468, author = {Chung{-}En Sun and Yi{-}Wei Chen and Hung{-}Shin Lee and Yen{-}Hsing Chen and Hsin{-}Min Wang}, title = {Melody Harmonization Using Orderless NADE, Chord Balancing, and Blocked Gibbs Sampling}, journal = {CoRR}, volume = {abs/2010.13468}, year = {2020} }
@article{DBLP:journals/corr/abs-2012-06873, author = {Chun{-}Hung Chao and Hsien{-}Tzu Cheng and Tsung{-}Ying Ho and Le Lu and Min Sun}, title = {Interactive Radiotherapy Target Delineation with 3D-Fused Context Propagation}, journal = {CoRR}, volume = {abs/2012.06873}, year = {2020} }
@article{DBLP:journals/aai/YangLCCST19, author = {Shu{-}Hung Yang and Yi{-}Nan Lin and Gwo{-}Jen Chiou and Ming{-}Kuen Chen and Victor R. L. Shen and Hsin{-}Yi Tseng}, title = {Novel Shot Boundary Detection in News Streams Based on Fuzzy Petri Nets}, journal = {Appl. Artif. Intell.}, volume = {33}, number = {12}, pages = {1035--1057}, year = {2019} }
@article{DBLP:journals/access/ChenCCWCWCCLC19, author = {Clayton Chi{-}Chang Chen and Jyh Wen Chai and Hung{-}Chieh Chen and Hsin Che Wang and Yung{-}Chieh Chang and Yi{-}Ying Wu and Wen{-}Hsien Chen and Hsian{-}Min Chen and San{-}Kan Lee and Chein{-}I Chang}, title = {An Iterative Mixed Pixel Classification for Brain Tissues and White Matter Hyperintensity in Magnetic Resonance Imaging}, journal = {{IEEE} Access}, volume = {7}, pages = {124674--124687}, year = {2019} }
@article{DBLP:journals/access/ChenCYPGTCTT19, author = {Guan{-}Hong Chen and Chi{-}Wai Chow and Chien{-}Hung Yeh and Ching{-}Wei Peng and Pin{-}Cheng Guo and Jui{-}Feng Tsai and Ming{-}Wei Cheng and Yeyu Tong and Hon Ki Tsang}, title = {Mode-Division-Multiplexing {(MDM)} of 9.4-Tbit/s {OFDM} Signals on Silicon-on-Insulator {(SOI)} Platform}, journal = {{IEEE} Access}, volume = {7}, pages = {129104--129111}, year = {2019} }
@article{DBLP:journals/access/KanHHWCLK19, author = {Wei{-}Ming Kan and Yu{-}Ru Huang and Chao{-}Yi Huang and Chun{-}Sheng Wu and Kao{-}Tun Chen and Yu{-}Shin Lin and Hung{-}Fei Kuo}, title = {Placement of Sub-Resolution Assist Features Based on a Genetic Algorithm}, journal = {{IEEE} Access}, volume = {7}, pages = {86064--86076}, year = {2019} }
@article{DBLP:journals/access/TaiCLHYCLWC19, author = {Kuang{-}Han Tai and Mei{-}Juan Chen and Jie{-}Ru Lin and Ren{-}Yuan Huang and Chia{-}Hung Yeh and Chia{-}Yen Chen and Shinfeng D. Lin and Ro{-}Min Weng and Chuan{-}Yu Chang}, title = {Acceleration for {HEVC} Encoder by Bimodal Segmentation of Rate-Distortion Cost and Accurate Determination of Early Termination and Early Split}, journal = {{IEEE} Access}, volume = {7}, pages = {45259--45273}, year = {2019} }
@article{DBLP:journals/access/YangJYLWHL19, author = {Hui{-}Wen Yang and Shyh{-}Kang Jeng and Hsu{-}Wen Vincent Young and Chen Lin and Yung{-}Hung Wang and Kun Hu and Men{-}Tzung Lo}, title = {A Minimum Arclength Method for Removing Spikes in Empirical Mode Decomposition}, journal = {{IEEE} Access}, volume = {7}, pages = {13284--13294}, year = {2019} }
@article{DBLP:journals/access/YehLXCCH19, author = {Chien{-}Hung Yeh and Chien{-}Ming Luo and Yue{-}Ru Xie and Chi{-}Wai Chow and Yu{-}Wen Chen and Tzu{-}An Hsu}, title = {Survivable and Reliable {WDM-PON} System With Self-Protected Mechanism Against Fiber Fault}, journal = {{IEEE} Access}, volume = {7}, pages = {165088--165092}, year = {2019} }
@article{DBLP:journals/anor/HouLH19, author = {Chen{-}En Hou and Wen{-}Min Lu and Shiu{-}Wan Hung}, title = {Does {CSR} matter? Influence of corporate social responsibility on corporate performance in the creative industry}, journal = {Ann. Oper. Res.}, volume = {278}, number = {1-2}, pages = {255--279}, year = {2019} }
@article{DBLP:journals/at/ZouOHCV19, author = {Minjie Zou and Felix Ocker and Edward Huang and Chun{-}Hung Chen and Birgit Vogel{-}Heuser}, title = {Effiziente Initialisierung von Steuerungsparametern f{\"{u}}r Cyber-Physische Produktionssysteme via Multi-Ebenen-Optimierung}, journal = {Autom.}, volume = {67}, number = {6}, pages = {477--489}, year = {2019} }
@article{DBLP:journals/bmcbi/LeeHYCCCT19, author = {Chi{-}Ching Lee and Po{-}Jung Huang and Yuan{-}Ming Yeh and Sin{-}You Chen and Cheng{-}Hsun Chiu and Wei{-}Hung Cheng and Petrus Tang}, title = {Pathogenic Protist Transmembranome database (PPTdb): a web-based platform for searching and analysis of protist transmembrane proteins}, journal = {{BMC} Bioinform.}, volume = {20-S}, number = {13}, pages = {382:1--382:10}, year = {2019} }
@article{DBLP:journals/csi/TsaiHYCY19, author = {Min{-}Fang Tsai and Shin{-}Yuan Hung and Wen{-}Ju Yu and Charlie C. Chen and David C. Yen}, title = {Understanding physicians' adoption of electronic medical records: Healthcare technology self-efficacy, service level and risk perspectives}, journal = {Comput. Stand. Interfaces}, volume = {66}, year = {2019} }
@article{DBLP:journals/ejasmp/ChiangHYLP19, author = {Chen{-}Yu Chiang and Yu{-}Ping Hung and Han{-}Yun Yeh and I{-}Bin Liao and Chen{-}Ming Pan}, title = {Punctuation-generation-inspired linguistic features for Mandarin prosody generation}, journal = {{EURASIP} J. Audio Speech Music. Process.}, volume = {2019}, pages = {4}, year = {2019} }
@article{DBLP:journals/ieiceee/HsiehLJT19, author = {Cheng{-}Hung Hsieh and Yi{-}Ting Lin and Hsaing{-}Chieh Jhan and Zuo{-}Min Tsai}, title = {Application of a two-dimensional Butler matrix antenna array for tile-based beamforming}, journal = {{IEICE} Electron. Express}, volume = {16}, number = {11}, pages = {20190272}, year = {2019} }
@article{DBLP:journals/ieiceee/HsiehT19, author = {Cheng{-}Hung Hsieh and Zuo{-}Min Tsai}, title = {Design of an ultra-compact lowpass filter with ultra-sharp roll-off for {UHF} application}, journal = {{IEICE} Electron. Express}, volume = {16}, number = {17}, pages = {20190473}, year = {2019} }
@article{DBLP:journals/ijcse/ChenCSW19, author = {Yeh{-}Cheng Chen and Ruey{-}Shun Chen and Hung{-}Min Sun and S. Felix Wu}, title = {Using {RFID} technology to develop an intelligent equipment lock management system}, journal = {Int. J. Comput. Sci. Eng.}, volume = {20}, number = {2}, pages = {157--165}, year = {2019} }
@article{DBLP:journals/ijinfoman/TsaiCTHC19, author = {Juin{-}Ming Tsai and Min{-}Jhih Cheng and Her{-}Her Tsai and Shiu{-}Wan Hung and Ya{-}Ling Chen}, title = {Acceptance and resistance of telehealth: The perspective of dual-factor concepts in technology adoption}, journal = {Int. J. Inf. Manag.}, volume = {49}, pages = {34--44}, year = {2019} }
@article{DBLP:journals/jmihi/ChenHL19, author = {Wen{-}Chang Chen and Yen{-}Hung Ho and Wei{-}Ming Lin}, title = {Diagnostic Efficacy of Non-Contrast Liver Magnetic Resonance Imaging with Multiparametric Sequences in Hepatocellular Carcinoma}, journal = {J. Medical Imaging Health Informatics}, volume = {9}, number = {1}, pages = {53--57}, year = {2019} }
@article{DBLP:journals/mbec/ChenLCZ19, author = {Xing{-}Ji Chen and Ching{-}Hsing Luo and Min{-}Hung Chen and Xiang Zhou}, title = {Combination of "quadratic adaptive algorithm" and "hybrid operator splitting" or uniformization algorithms for stability against acceleration in the Markov model of sodium ion channels in the ventricular cell model}, journal = {Medical Biol. Eng. Comput.}, volume = {57}, number = {6}, pages = {1367--1379}, year = {2019} }
@article{DBLP:journals/midm/HsuCHTWSHZKL19, author = {Jui{-}Tse Hsu and Yung{-}Wei Chen and Te{-}Wei Ho and Hao{-}Chih Tai and Jin{-}Ming Wu and Hsin{-}Yun Sun and Chi{-}Sheng Hung and Yi{-}Chong Zeng and Sy{-}Yen Kuo and Feipei Lai}, title = {Chronic wound assessment and infection detection method}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {99:1--99:20}, year = {2019} }
@article{DBLP:journals/mj/LiKLWLHCH19, author = {Jian{-}De Li and Chun{-}Hao Kuo and Guan{-}Ruei Lu and Sying{-}Jyan Wang and Katherine Shu{-}Min Li and Tsung{-}Yi Ho and Hung{-}Ming Chen and Shiyan Hu}, title = {Co-placement optimization in sensor-reusable cyber-physical digital microfluidic biochips}, journal = {Microelectron. J.}, volume = {83}, pages = {185--196}, year = {2019} }
@article{DBLP:journals/monet/TsaiPCC19, author = {Ming{-}Fong Tsai and Thanh Nam Pham and Fu{-}Hsiang Ching and Le{-}Hung Chen}, title = {Evaluation of the Effect of Variations in Vehicle Velocity and Channel Bandwidth on an Image-Streaming System in Vehicular Networks}, journal = {Mob. Networks Appl.}, volume = {24}, number = {3}, pages = {810--828}, year = {2019} }
@article{DBLP:journals/mta/ChiangCCT19, author = {Hsin{-}Hung Chiang and Wei{-}Ming Chen and Han{-}Chieh Chao and De{-}Li Tsai}, title = {A virtual tutor movement learning system in eLearning}, journal = {Multim. Tools Appl.}, volume = {78}, number = {4}, pages = {4835--4850}, year = {2019} }
@article{DBLP:journals/pmh/TangL19, author = {Min Tang and Jia{-}Wen Li}, title = {Note on a result of Chen and Lev}, journal = {Period. Math. Hung.}, volume = {79}, number = {1}, pages = {134--140}, year = {2019} }
@article{DBLP:journals/ral/ChenHSLYHC19, author = {Chao{-}Chun Chen and Min{-}Hsiung Hung and Benny Suryajaya and Yu{-}Chuan Lin and Haw Ching Yang and Hsien{-}Cheng Huang and Fan{-}Tien Cheng}, title = {A Novel Efficient Big Data Processing Scheme for Feature Extraction in Electrical Discharge Machining}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {4}, number = {2}, pages = {910--917}, year = {2019} }
@article{DBLP:journals/ral/HsiehLYHC19, author = {Yu{-}Ming Hsieh and Chin{-}Yi Lin and Yu{-}Ru Yang and Min{-}Hsiung Hung and Fan{-}Tien Cheng}, title = {Automatic Virtual Metrology for Carbon Fiber Manufacturing}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {4}, number = {3}, pages = {2730--2737}, year = {2019} }
@article{DBLP:journals/sensors/WangZGJFACC19, author = {Liang{-}Hung Wang and Wei Zhang and Ming{-}Hui Guan and Su{-}Ya Jiang and Minghui Fan and Patricia Angela R. Abu and Chiung{-}An Chen and Shih{-}Lun Chen}, title = {A Low-Power High-Data-Transmission Multi-Lead {ECG} Acquisition Sensor System}, journal = {Sensors}, volume = {19}, number = {22}, pages = {4996}, year = {2019} }
@article{DBLP:journals/sensors/YehLLYC19, author = {Chia{-}Hung Yeh and Min{-}Hui Lin and Chien{-}Hung Lin and Cheng{-}En Yu and Mei{-}Juan Chen}, title = {Machine Learning for Long Cycle Maintenance Prediction of Wind Turbine}, journal = {Sensors}, volume = {19}, number = {7}, pages = {1671}, year = {2019} }
@article{DBLP:journals/spic/MaCKA19, author = {Chih{-}Yao Ma and Min{-}Hung Chen and Zsolt Kira and Ghassan AlRegib}, title = {{TS-LSTM} and temporal-inception: Exploiting spatiotemporal dynamics for activity recognition}, journal = {Signal Process. Image Commun.}, volume = {71}, pages = {76--87}, year = {2019} }
@article{DBLP:journals/tase/WuCCTLCFC19, author = {Chao{-}Lin Wu and Ya{-}Hung Chen and Yi{-}Wei Chien and Ming{-}Je Tsai and Ting{-}Ying Li and Pei{-}Hsuan Cheng and Li{-}Chen Fu and Cheryl Chia{-}Hui Chen}, title = {Nonparametric Activity Recognition System in Smart Homes Based on Heterogeneous Sensor Data}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {16}, number = {2}, pages = {678--690}, year = {2019} }
@article{DBLP:journals/tbe/LinYLYWSSWJYL19, author = {Chen Lin and Hui{-}Ming Yeh and Men{-}Tzung Lo and Chien{-}Hung Yeh and Cheng{-}Yen Wang and Wenbin Shi and Bess Ma F. Serafico and Chen{-}Hsu Wang and Chung{-}Hau Juan and Hsu{-}Wen Vincent Young and Yenn{-}Jiang Lin}, title = {Robust Fetal Heart Beat Detection via R-Peak Intervals Distribution}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {12}, pages = {3310--3319}, year = {2019} }
@article{DBLP:journals/tbe/QianWYCCCYLLLCL19, author = {Xin{-}Hong Qian and Yi{-}Chung Wu and Tzu{-}Yi Yang and Cheng{-}Hsiang Cheng and Hsing{-}Chien Chu and Wan{-}Hsueh Cheng and Ting{-}Yang Yen and Tzu{-}Han Lin and Yung{-}Jen Lin and Yu{-}Chi Lee and Jia{-}Heng Chang and Shih{-}Ting Lin and Shang{-}Hsuan Li and Tsung{-}Chen Wu and Chien{-}Chang Huang and Sung{-}Hao Wang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Tai{-}Shih Chi and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design and In Vivo Verification of a {CMOS} Bone-Guided Cochlear Implant Microsystem}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {11}, pages = {3156--3167}, year = {2019} }
@article{DBLP:journals/tcas/LiuCLLWCCKW19, author = {Chi{-}Wei Liu and Yi{-}Lun Chen and Pei{-}Chun Liao and Shiau{-}Pin Lin and Ting{-}Wei Wang and Ming{-}Jie Chung and Po{-}Hung Chen and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {An 82.9{\%}-Efficiency Triple-Output Battery Management Unit for Implantable Neuron Stimulator in 180-nm Standard {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {66-II}, number = {5}, pages = {788--792}, year = {2019} }
@article{DBLP:journals/tcom/ChangCKC19, author = {Min{-}Kuan Chang and Feng{-}Tsun Chien and Chih{-}Hung Kuo and Yen{-}Chang Chen}, title = {On the Accumulated Loopback Self-Interference of Two-Way Full-Duplex {AF} Relaying Systems}, journal = {{IEEE} Trans. Commun.}, volume = {67}, number = {5}, pages = {3167--3181}, year = {2019} }
@article{DBLP:journals/tecs/WangCKHCC19, author = {Wei{-}Chen Wang and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Chien{-}Chung Ho and Yu{-}Ming Chang and Hung{-}Sheng Chang}, title = {Achieving Lossless Accuracy with Lossy Programming for Efficient Neural-Network Training on NVM-Based Systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5s}, pages = {68:1--68:22}, year = {2019} }
@article{DBLP:journals/tip/ChenHTCW19, author = {Wei{-}Yu Chen and Tzu{-}Ming Harry Hsu and Yao{-}Hung Hubert Tsai and Ming{-}Syan Chen and Yu{-}Chiang Frank Wang}, title = {Transfer Neural Trees: Semi-Supervised Heterogeneous Domain Adaptation and Beyond}, journal = {{IEEE} Trans. Image Process.}, volume = {28}, number = {9}, pages = {4620--4633}, year = {2019} }
@article{DBLP:journals/tvt/LinSCC19, author = {Chia{-}Hung Lin and Shin{-}Lin Shieh and Tzung{-}Cheng Chi and Po{-}Ning Chen}, title = {Optimal Inter-Constellation Rotation Based on Minimum Distance Criterion for Uplink {NOMA}}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {1}, pages = {525--539}, year = {2019} }
@inproceedings{DBLP:conf/aciids/KuoWLCHHTPGLHC19, author = {Chen{-}Ju Kuo and Ding{-}Chau Wang and Pin{-}Xin Lee and Tzu{-}Ting Chen and Gwo{-}Jiun Horng and Tz{-}Heng Hsu and Zhi{-}Jing Tsai and Mao{-}Yuan Pai and Gen{-}Ming Guo and Yu{-}Chuan Lin and Min{-}Hsiung Hung and Chao{-}Chun Chen}, title = {Quad-Partitioning-Based Robotic Arm Guidance Based on Image Data Processing with Single Inexpensive Camera For Precisely Picking Bean Defects in Coffee Industry}, booktitle = {{ACIIDS} {(2)}}, series = {Lecture Notes in Computer Science}, volume = {11432}, pages = {152--164}, publisher = {Springer}, year = {2019} }
@inproceedings{DBLP:conf/aicas/KoCLLLL19, author = {Cheng{-}En Ko and Po{-}Han Chen and Wei{-}Ming Liao and Cheng{-}Kai Lu and Cheng{-}Hung Lin and Jing{-}Wen Liang}, title = {Using {A} Cropping Technique or Not: Impacts on SVM-based {AMD} Detection on {OCT} Images}, booktitle = {{AICAS}}, pages = {199--200}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/apsipa/LeeCLJW19, author = {Yueh{-}Ting Lee and Xuan{-}Bo Chen and Hung{-}Shin Lee and Jyh{-}Shing Roger Jang and Hsin{-}Min Wang}, title = {Multi-task Learning for Acoustic Modeling Using Articulatory Attributes}, booktitle = {{APSIPA}}, pages = {855--861}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/apwcs2/ChenHHH19, author = {Yuh{-}Shyan Chen and Chih{-}Shun Hsu and Chan{-}Yin Huang and Hsiang{-}Min Hung}, title = {Outdoor Localization for LoRaWans Using Semi-Supervised Transfer Learning with Grid Segmentation}, booktitle = {{APWCS}}, pages = {1--5}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/apwcs2/YangCTC19, author = {Ming{-}Han Yang and Wen{-}Pin Chen and Ang{-}Hsun Tsai and Shao{-}Hung Cheng}, title = {Coverage Extension with {UAV} Based on Constrained Uplink and Downlink Phases for Disaster-Resilient Communication Networks}, booktitle = {{APWCS}}, pages = {1--5}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/asru/LuoLCW19, author = {Shang{-}Bao Luo and Hung{-}Shin Lee and Kuan{-}Yu Chen and Hsin{-}Min Wang}, title = {Spoken Multiple-Choice Question Answering Using Multimodal Convolutional Neural Networks}, booktitle = {{ASRU}}, pages = {772--778}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/asscc/LiuTSCLHCJ19, author = {Tsu{-}Ming Liu and Chang{-}Hung Tsai and Shawn Shih and Chih{-}Kai Chang and Jia{-}Ying Lin and Wayne Hsieh and Yung{-}Chang Chang and Chi{-}Cheng Ju}, title = {A 0.7mm\({}^{\mbox{2}}\) 8.54mW FocusNet Display {LSI} for Power Reduction on {OLED} Smart-phones}, booktitle = {{A-SSCC}}, pages = {53--56}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/bibe/ChenLLL19, author = {Ming{-}Hung Chen and Mao{-}Jan Lin and Yu{-}Cheng Li and Yi{-}Chang Lu}, title = {Banded Pair-HMM Algorithm for {DNA} Variant Calling and Its Hardware Accelerator Design}, booktitle = {{BIBE}}, pages = {563--566}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/bigdataconf/LiuLCCCH19, author = {Li{-}Chen Liu and Meng{-}Huang Lee and Shin{-}Hung Chang and Ray{-}I Chang and Yu{-}Jung Chang and Jan{-}Ming Ho}, title = {Constructing Suffix Array of Next-Generation Sequencing upon In-Memory Lookup Cloud and MapReduce}, booktitle = {{IEEE} BigData}, pages = {3373--3378}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/case/KuoCCTHLCWHS19, author = {Cheng{-}Ju Kuo and Chao{-}Chun Chen and Tzu{-}Ting Chen and Zhi{-}Jing Tsai and Min{-}Hsiung Hung and Yu{-}Chuan Lin and Yi{-}Chung Chen and Ding{-}Chau Wang and Gwo{-}Jiun Homg and Wei{-}Tsung Su}, title = {A Labor-Efficient GAN-based Model Generation Scheme for Deep-Learning Defect Inspection among Dense Beans in Coffee Industry}, booktitle = {{CASE}}, pages = {263--270}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/ccnc/ChenHCC19, author = {Ming{-}Hung Chen and Kai{-}Wen Hu and I{-}Hsin Chung and Cheng{-}Fu Chou}, title = {Towards {VR/AR} Multimedia Content Multicast over Wireless {LAN}}, booktitle = {{CCNC}}, pages = {1--6}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/ccnc/ChenZCC19, author = {Ming{-}Hung Chen and Zhi{-}Qiang Zhong and I{-}Hsin Chung and Cheng{-}Fu Chou}, title = {Temporal-based Load Adaptive {SDN} Controller Failover Mechanism}, booktitle = {{CCNC}}, pages = {1--2}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/cvpr/ChenHWTSC19, author = {Hung{-}Jen Chen and Ka{-}Ming Hui and Szu{-}Yu Wang and Li{-}Wu Tsao and Hong{-}Han Shuai and Wen{-}Huang Cheng}, title = {BeautyGlow: On-Demand Makeup Transfer Framework With Reversible Generative Network}, booktitle = {{CVPR}}, pages = {10042--10050}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/dac/ChangWLLPLWSSCH19, author = {Chih{-}Cheng Chang and Ming{-}Hung Wu and Jia{-}Wei Lin and Chun{-}Hsien Li and Vivek Parmar and Heng{-}Yuan Lee and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Manan Suri and Tian{-}Sheuan Chang and Tuo{-}Hung Hou}, title = {{NV-BNN:} An Accurate Deep Convolutional Neural Network Based on Binary {STT-MRAM} for Adaptive {AI} Edge}, booktitle = {{DAC}}, pages = {30}, publisher = {{ACM}}, year = {2019} }
@inproceedings{DBLP:conf/dac/PanHC19, author = {Po{-}Cheng Pan and Chien{-}Chia Huang and Hung{-}Ming Chen}, title = {An Efficient Learning-based Approach for Performance Exploration on Analog and {RF} Circuit Synthesis}, booktitle = {{DAC}}, pages = {232}, publisher = {{ACM}}, year = {2019} }
@inproceedings{DBLP:conf/icassp/BaiCY19, author = {Mingsian R. Bai and Hungyu Chen and Lihao Yang}, title = {A Novel Approach for Feedforward Control of Noise in Ducts Using Simplified Multichannel Inverse Filters}, booktitle = {{ICASSP}}, pages = {8469--8473}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iccad/ChiLHLC19, author = {Hao{-}Yu Chi and Zi{-}Jun Lin and Chia{-}Hao Hung and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {Achieving Routing Integrity in Analog Layout Migration via Cartesian Detection Lines}, booktitle = {{ICCAD}}, pages = {1--6}, publisher = {{ACM}}, year = {2019} }
@inproceedings{DBLP:conf/icce-tw/HungFKC19, author = {Cheng{-}Feng Hung and Hsuan{-}Ming Feng and Chih{-}Heng Ke and Yeong{-}Sheng Chen}, title = {Experimental Study on Improvement of Network Performance and Reliability in {SDN} Environments}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icce-tw/LinCH19, author = {Jim{-}Min Lin and Yu{-}Kai Chen and Chen Wei Hung}, title = {Enhancing an {MOOC} Platform with Emotional Sensing and Robot Interaction Features}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icce-tw/XuYWLCH19, author = {Lu Xu and Ming{-}Kai Yue and Liang{-}Hung Wang and Chia{-}Feng Liu and Ri{-}Qing Chen and Pao{-}Cheng Huang}, title = {Two-stage Adaptive Filter in Electrocardiogram Application}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iccv/ChenKAYCZ19, author = {Min{-}Hung Chen and Zsolt Kira and Ghassan Alregib and Jaekwon Yoo and Ruxin Chen and Jian Zheng}, title = {Temporal Attentive Alignment for Large-Scale Video Domain Adaptation}, booktitle = {{ICCV}}, pages = {6320--6329}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icde/ChengYHN19, author = {Ji Cheng and Da Yan and Xiaotian Hao and Wilfred Ng}, title = {Mining Order-Preserving Submatrices Under Data Uncertainty: {A} Possible-World Approach}, booktitle = {{ICDE}}, pages = {1154--1165}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icip/LoSYHSOC19, author = {I{-}Chan Lo and Kuang{-}Tsu Shih and Po Chin Yu and Chun{-}Ting Hung and Minghuang Shih and Makoto Odamaki and Homer H. Chen}, title = {Seamless Stitching Dual Fisheye Images For 360{\textdegree} Free View}, booktitle = {{ICIP}}, pages = {2459}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icitl/WangHWH19, author = {Chun{-}Chia Wang and Jason C. Hung and Shih{-}Cheng Wang and Yueh{-}Min Huang}, title = {Visual Attention Analysis During Program Debugging Using Virtual Reality Eye Tracker}, booktitle = {{ICITL}}, series = {Lecture Notes in Computer Science}, volume = {11937}, pages = {97--106}, publisher = {Springer}, year = {2019} }
@inproceedings{DBLP:conf/ickii/HsuCH19, author = {Cheng{-}Ting Hsu and Tsun{-}Jen Cheng and Hung{-}Ming Huang}, title = {Transient Stability Enhancement of an Island Micro-grid with Battery Energy Storage System}, booktitle = {{ICKII}}, pages = {187--190}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icmlc/ChenLH19, author = {Han{-}Yun Chen and Ching{-}Hung Le and Bao{-}Min Huang}, title = {Electricity Consumption Forecasting of Buildings Using Hierarchical {ANFIS} and {GRA}}, booktitle = {{ICMLC}}, pages = {1--7}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icmlc/YehLC19, author = {Ming{-}Feng Yeh and Hung{-}Ching Lu and Ti{-}Hung Chen}, title = {Time Series Forecasting Using Optimized Rolling Grey Model}, booktitle = {{ICMLC}}, pages = {1--6}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icppw/LeeCLHH19, author = {Chao{-}Lin Lee and Chen{-}Ting Chao and Jenq{-}Kuen Lee and Ming{-}Yu Hung and Chung{-}Wen Huang}, title = {Accelerate {DNN} Performance with Sparse Matrix Compression in Halide}, booktitle = {{ICPP} Workshops}, pages = {14:1--14:6}, publisher = {{ACM}}, year = {2019} }
@inproceedings{DBLP:conf/icufn/HsiaSH19, author = {Shih{-}Chang Hsia and Ming{-}Hwa Sheu and Cheng Hung Hsiao}, title = {The Image Shape Recovery on Communication Data Lost Damage}, booktitle = {{ICUFN}}, pages = {206--210}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/ifuzzy/HorngYLF19, author = {Ji{-}Hwei Horng and Shan{-}Cheng Yang and Chih{-}Hung Lee and Hsuan{-}Ming Feng}, title = {A Permutation-Based 3D-Sudoku Model for Image Steganography}, booktitle = {iFUZZY}, pages = {1--5}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iiaiaai/ChenCCHLW19, author = {Yen{-}Hung Chen and Huei{-}Yuan Chen and Miao{-}Ying Chen and Yi{-}Jen Hsu and Min{-}Fei Liao and Hsin{-}Ting Wu}, title = {Analysis of Students' Academic Achievements: {A} Personality Test Perspective}, booktitle = {{IIAI-AAI}}, pages = {1017--1018}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iiaiaai/FengLCCLO19, author = {Cheng{-}Hsiu Feng and Ah{-}Fur Lai and Miao{-}Ying Chen and Yen{-}Hung Chen and Min{-}Fei Liao and Yuan{-}Fang Ou}, title = {From Chaos to Systematization: {A} Journey to Construct the Institutional Research System}, booktitle = {{IIAI-AAI}}, pages = {1019--1020}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iiaiaai/HungCW19, author = {Kuo{-}Ming Hung and Li{-}Ming Chen and Jin{-}An Wu}, title = {Wildfire Detection in Video Images Using Deep Learning and {HMM} for Early Fire Notification System}, booktitle = {{IIAI-AAI}}, pages = {495--498}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/interspeech/HuangLWCTW19, author = {Pin{-}Tuan Huang and Hung{-}Shin Lee and Syu{-}Siang Wang and Kuan{-}Yu Chen and Yu Tsao and Hsin{-}Min Wang}, title = {Exploring the Encoder Layers of Discriminative Autoencoders for {LVCSR}}, booktitle = {{INTERSPEECH}}, pages = {1631--1635}, publisher = {{ISCA}}, year = {2019} }
@inproceedings{DBLP:conf/iros/SuYWLSHLXHLC19, author = {Yung{-}Shan Su and Lap{-}Fai Yu and Hsueh{-}Cheng Wang and Shao{-}Huang Lu and Po{-}Sheng Ser and Wei{-}Ting Hsu and Wei{-}Cheng Lai and Biao Xie and Hong{-}Ming Huang and Teng{-}Yok Lee and Hung{-}Wen Chen}, title = {Pose-Aware Placement of Objects with Semantic Labels - Brandname-based Affordance Prediction and Cooperative Dual-Arm Active Manipulation}, booktitle = {{IROS}}, pages = {4760--4767}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iros/WuYCCF19, author = {Ping{-}Tsang Wu and Chee{-}An Yu and Shao{-}Hung Chan and Ming{-}Li Chiang and Li{-}Chen Fu}, title = {Multi-Layer Environmental Affordance Map for Robust Indoor Localization, Event Detection and Social Friendly Navigation}, booktitle = {{IROS}}, pages = {2945--2950}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iscas/ChuangLCLC19, author = {Yu{-}Hsiang Chuang and Chang{-}Tzu Lin and Hung{-}Ming Chen and Chi{-}Han Lee and Ting{-}Sheng Chen}, title = {More Effective Power Network Prototyping by Analytical and Centroid Learning}, booktitle = {{ISCAS}}, pages = {1--5}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iscas/HsiehTHLC19, author = {Ping{-}Hsuan Hsieh and Ming{-}Li Tang and Sheng{-}Yen Hsu and Meng{-}Hung Lin and Yi{-}Hsiu Chen}, title = {Design and Implementation of a Memristor-Based Oscillator}, booktitle = {{ISCAS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/ispacs/JhuoHWCYY19, author = {Sing{-}Ling Jhuo and Mi{-}Tren Hsieh and Ting{-}Chien Weng and Mei{-}Juan Chen and Chieh{-}Ming Yang and Chia{-}Hung Yeh}, title = {Trend Prediction of Influenza and the Associated Pneumonia in Taiwan Using Machine Learning}, booktitle = {{ISPACS}}, pages = {1--2}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/isscc/HungLPWHLHZJLLH19, author = {Chih{-}Ming Hung and Alex T. C. Lin and B. C. Peng and Hua Wang and Jui{-}Lin Hsu and Yen{-}Ju Lu and Wei{-}Show Hsu and Jing{-}Hong Conan Zhan and Brian Juan and Chi{-}Hang Lok and Sam Lee and P. C. Hsiao and Qiang Zhou and Mark Wei and Hsiang{-}Yun Chu and Yu{-}Lun Chen and Chao{-}Ching Hung and Kevin Fong and Po{-}Chun Huang and Pierce Chen and Sheng{-}Yuan Su and Yan{-}Jiun Chen and Kehou Chen and Chun{-}Chao Tung and Yi{-}Jhan Hsieh and Tzung{-}Chuen Tsai and Yi{-}Fu Chen and Wei{-}Kuo Hsin and Liang Guo and Hanfei Liu and Dapeng Jin}, title = {Toward Automotive Surround-View Radars}, booktitle = {{ISSCC}}, pages = {162--164}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iwocl/LeeCLHH19, author = {Chao{-}Lin Lee and Chen{-}Ting Chao and Jenq{-}Kuen Lee and Chung{-}Wen Huang and Ming{-}Yu Hung}, title = {Sparse-Matrix Compression Primitives with OpenCL Framework to Support Halide}, booktitle = {{IWOCL}}, pages = {24:1--24:2}, publisher = {{ACM}}, year = {2019} }
@inproceedings{DBLP:conf/jsai/ChenHSWYSC19, author = {Lieu{-}Hen Chen and Hao{-}Ming Hung and Cheng{-}Yu Sun and Eric Hsiao{-}Kuang Wu and Toru Yamaguchi and Eri Sato{-}Shimokawara and Hao Chen}, title = {Trees Detection on Google Street View Images Using Deep Learning and City Open Data}, booktitle = {{JSAI}}, series = {Advances in Intelligent Systems and Computing}, volume = {1128}, pages = {236--243}, publisher = {Springer}, year = {2019} }
@inproceedings{DBLP:conf/kes/KaoCWLCT19, author = {Da{-}Yu Kao and Min{-}Ching Chen and Wen{-}Ying Wu and Jsen{-}Shung Lin and Chien{-}Hung Chen and Fuching Tsai}, title = {Drone Forensic Investigation: {DJI} Spark Drone as {A} Case Study}, booktitle = {{KES}}, series = {Procedia Computer Science}, volume = {159}, pages = {1890--1899}, publisher = {Elsevier}, year = {2019} }
@inproceedings{DBLP:conf/mhci/YouSLCCCH19, author = {Chuang{-}Wen You and Lu{-}Hua Shih and Hung{-}Yeh Lin and Yaliang Chuang and Yi{-}Chao Chen and Yi{-}Ling Chen and Ming{-}Chyi Huang}, title = {Enabling Personal Alcohol Tracking using Transdermal Sensing Wristbands: Benefits and Challenges}, booktitle = {MobileHCI}, pages = {37:1--37:6}, publisher = {{ACM}}, year = {2019} }
@inproceedings{DBLP:conf/miccai/HuWLCXHL19, author = {Szu{-}Yeu Hu and Wei{-}Hung Weng and Shao{-}Lun Lu and Yueh{-}Hung Cheng and Furen Xiao and Feng{-}Ming Hsu and Jen{-}Tang Lu}, title = {Multimodal Volume-Aware Detection and Segmentation for Brain Metastases Radiosurgery}, booktitle = {AIRT@MICCAI}, series = {Lecture Notes in Computer Science}, volume = {11850}, pages = {61--69}, publisher = {Springer}, year = {2019} }
@inproceedings{DBLP:conf/mir/HungLWCCC19, author = {Steven C. Y. Hung and Jia{-}Hong Lee and Timmy S. T. Wan and Chien{-}Hung Chen and Yi{-}Ming Chan and Chu{-}Song Chen}, title = {Increasingly Packing Multiple Facial-Informatics Modules in {A} Unified Deep-Learning Model via Lifelong Learning}, booktitle = {{ICMR}}, pages = {339--343}, publisher = {{ACM}}, year = {2019} }
@inproceedings{DBLP:conf/mmsp/WuCCCC19, author = {Cheng{-}En Wu and Yi{-}Ming Chan and Chien{-}Hung Chen and Wen{-}Cheng Chen and Chu{-}Song Chen}, title = {{IMMVP:} An Efficient Daytime and Nighttime On-Road Object Detector}, booktitle = {{MMSP}}, pages = {1--5}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/netys/ChenCHTWW19, author = {Yu{-}Fang Chen and Hsiao{-}chen Chung and Wen{-}Chi Hung and Ming{-}Hsien Tsai and Bow{-}Yaw Wang and Farn Wang}, title = {Synthesize Models for Quantitative Analysis Using Automata Learning}, booktitle = {{NETYS}}, series = {Lecture Notes in Computer Science}, volume = {11704}, pages = {75--92}, publisher = {Springer}, year = {2019} }
@inproceedings{DBLP:conf/nips/Hung0WCCC19, author = {Steven C. Y. Hung and Cheng{-}Hao Tu and Cheng{-}En Wu and Chien{-}Hung Chen and Yi{-}Ming Chan and Chu{-}Song Chen}, title = {Compacting, Picking and Growing for Unforgetting Continual Learning}, booktitle = {NeurIPS}, pages = {13647--13657}, year = {2019} }
@inproceedings{DBLP:conf/photoptics/SuTHYH19, author = {Fang{-}Ci Su and Hsin{-}Yi Tsai and Yu{-}Chen Hsieh and Chih{-}Chung Yang and Min{-}Wei Hung}, title = {Study on Aging Effect of Optical Film under High Intensity of {UV} Exposure}, booktitle = {{PHOTOPTICS}}, pages = {174--180}, publisher = {SciTePress}, year = {2019} }
@inproceedings{DBLP:conf/ps/XieLCYCCC19, author = {Yue{-}Ru Xie and Chien{-}Ming Luo and Jen{-}Hao Chen and Chien{-}Hung Yeh and Chi{-}Wai Chow and Yen{-}Chang Chang and K. H. Chen}, title = {Uses of Silicon Microring Resonator and Saturable Absorber for Tunable Single-Mode Erbium Fiber Laser}, booktitle = {{OECC/PSC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/racs/LuCKTC19, author = {Yung{-}Feng Lu and Hung{-}Ming Chen and Chin{-}Fu Kuo and Bo{-}Kai Tseng and Shih{-}Chun Chou}, title = {Container-based load balancing for WebRTC applications}, booktitle = {{RACS}}, pages = {20--26}, publisher = {{ACM}}, year = {2019} }
@inproceedings{DBLP:conf/riiforum/ShenHM19, author = {Chen{-}Wen Shen and Jung{-}Tsung Ho and Hung{-}wen Ma}, title = {Temporal Trend Analysis on Virtual Reality Using Social Media Mining}, booktitle = {{RIIFORUM}}, pages = {189--198}, publisher = {Springer}, year = {2019} }
@inproceedings{DBLP:conf/sas2/LeeCHLHC19, author = {Chen{-}Ju Lee and Liang{-}Chieh Chao and Kuo{-}Cheng Huang and Yu{-}Hsuan Lin and Min{-}Wei Hung and Chun{-}Han Chou}, title = {Two-Dimensional Irradiance Measurement System for Aligner Lithography}, booktitle = {{SAS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/smacd/ChenCSLC19, author = {Yu{-}Hsien Chen and Hao{-}Yu Chi and Ling{-}Yen Song and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {A Structure-Based Methodology for Analog Layout Generation}, booktitle = {{SMACD}}, pages = {33--36}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/smc/ChanXWCF19, author = {Shao{-}Hung Chan and Xiaoyue Xu and Ping{-}Tsang Wu and Ming{-}Li Chiang and Li{-}Chen Fu}, title = {Real-time Obstacle Avoidance using Supervised Recurrent Neural Network with Automatic Data Collection and Labeling}, booktitle = {{SMC}}, pages = {472--477}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/smc/KuoCWCCPHHLH19, author = {Cheng{-}Ju Kuo and Chao{-}Chun Chen and Ding{-}Chau Wang and Tzu{-}Ting Chen and Yung{-}Chien Chou and Mao{-}Yuan Pai and Gwo{-}Jiun Horng and Min{-}Hsiung Hung and Yu{-}Chuan Lin and Tz{-}Heng Hsu}, title = {Improving Defect Inspection Quality of Deep-Learning Network in Dense Beans by Using Hough Circle Transform for Coffee Industry}, booktitle = {{SMC}}, pages = {798--805}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/smm4h/WangDW19, author = {Chen{-}Kai Wang and Hong{-}Jie Dai and Bo{-}Hung Wang}, title = {{BIGODM} System in the Social Media Mining for Health Applications Shared Task 2019}, booktitle = {SMM4H@ACL}, pages = {117--119}, publisher = {Association for Computational Linguistics}, year = {2019} }
@inproceedings{DBLP:conf/vlsi-dat/TsungCLCH19, author = {Pei{-}Kuei Tsung and Tung{-}Chien Chen and Chien{-}Hung Lin and Chih{-}Yu Chang and Jih{-}Ming Hsu}, title = {Heterogeneous Computing for Edge {AI}}, booktitle = {{VLSI-DAT}}, pages = {1--2}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/vlsi-dat/YangLWL19, author = {Kai{-}Chieh Yang and Ming{-}Ting Lee and Chen{-}Hung Wu and James Chien{-}Mo Li}, title = {{ATPG} and Test Compression for Probabilistic Circuits}, booktitle = {{VLSI-DAT}}, pages = {1--4}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/vlsic/ChengGNKZKTL19, author = {Lin Cheng and Xinyuan Ge and Wai Chiu Ng and Wing{-}Hung Ki and Jiawei Zheng and Tsz Fai Kwok and Chi{-}Ying Tsui and Ming Liu}, title = {A 6.78MHz 92.3{\%}-Peak-Efficiency Single-Stage Wireless Charger with {CC-CV} Charging and On-Chip Bootstrapping Techniques}, booktitle = {{VLSI} Circuits}, pages = {320}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/vlsic/LinHOWCLLC19, author = {Yen{-}An Lin and Tzu{-}Ping Huang and You{-}Zheng Ou{-}Yang and Zheng{-}Ru Wu and Ke{-}Horng Chen and Ying{-}Hsi Lin and Ming{-}Hsien Lin and Hung{-}Ting Chou}, title = {A Right-Half-Plane Zero-Free Buck-Boost {DC-DC} Converter with 97.46{\%} High Efficiency and Low Output Voltage Ripple}, booktitle = {{VLSI} Circuits}, pages = {174}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/vlsic/LinHTTHCHHCGFRL19, author = {Mu{-}Shan Lin and Tze{-}Chiang Huang and Chien{-}Chun Tsai and King{-}Ho Tam and Kenny Cheng{-}Hsiang Hsieh and Tom Chen and Wen{-}Hung Huang and Jack Hu and Yu{-}Chi Chen and Sandeep Kumar Goel and Chin{-}Ming Fu and Stefan Rusu and Chao{-}Chieh Li and Sheng{-}Yao Yang and Mei Wong and Shu{-}Chun Yang and Frank Lee}, title = {A 7nm 4GHz Arm\({}^{\mbox{{\textregistered}}}\)-core-based CoWoS\({}^{\mbox{{\textregistered}}}\) Chiplet Design for High Performance Computing}, booktitle = {{VLSI} Circuits}, pages = {28}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/vtc/LiuSLC19, author = {Hsuan{-}Po Liu and Shin{-}Lin Shieh and Chia{-}Hung Lin and Po{-}Ning Chen}, title = {A Minimum Distance Criterion Based Constellation Design for Uplink {NOMA}}, booktitle = {{VTC} Fall}, pages = {1--5}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/www/HsiaoKKCCCWJ19, author = {Hsu{-}Chun Hsiao and Tiffany Hyun{-}Jin Kim and Yu{-}Ming Ku and Chun{-}Ming Chang and Hung{-}Fang Chen and Yu{-}Jen Chen and Chun{-}Wen Wang and Wei Jeng}, title = {An Investigation of Cyber Autonomy on Government Websites}, booktitle = {{WWW}}, pages = {2814--2821}, publisher = {{ACM}}, year = {2019} }
@article{DBLP:journals/corr/abs-1902-06857, author = {Dogancan Temel and Tariq Alshawi and Min{-}Hung Chen and Ghassan AlRegib}, title = {Challenging Environments for Traffic Sign Detection: Reliability Assessment under Inclement Conditions}, journal = {CoRR}, volume = {abs/1902.06857}, year = {2019} }
@article{DBLP:journals/corr/abs-1904-03086, author = {Chun{-}Hung Chao and Yen{-}Chi Cheng and Hsien{-}Tzu Cheng and Chi{-}Wen Huang and Tsung{-}Ying Ho and Chen{-}Kan Tseng and Le Lu and Min Sun}, title = {Radiotherapy Target Contouring with Convolutional Gated Graph Neural Network}, journal = {CoRR}, volume = {abs/1904.03086}, year = {2019} }
@article{DBLP:journals/corr/abs-1905-10861, author = {Min{-}Hung Chen and Zsolt Kira and Ghassan AlRegib}, title = {Temporal Attentive Alignment for Video Domain Adaptation}, journal = {CoRR}, volume = {abs/1905.10861}, year = {2019} }
@article{DBLP:journals/corr/abs-1906-06632, author = {Jian Zheng and Sudha Krishnamurthy and Ruxin Chen and Min{-}Hung Chen and Zhenhao Ge and Xiaohua Li}, title = {Image Captioning with Integrated Bottom-Up and Multi-level Residual Top-Down Attention for Game Scene Understanding}, journal = {CoRR}, volume = {abs/1906.06632}, year = {2019} }
@article{DBLP:journals/corr/abs-1907-12743, author = {Min{-}Hung Chen and Zsolt Kira and Ghassan AlRegib and Jaekwon Yoo and Ruxin Chen and Jian Zheng}, title = {Temporal Attentive Alignment for Large-Scale Video Domain Adaptation}, journal = {CoRR}, volume = {abs/1907.12743}, year = {2019} }
@article{DBLP:journals/corr/abs-1908-05418, author = {Szu{-}Yeu Hu and Wei{-}Hung Weng and Shao{-}Lun Lu and Yueh{-}Hung Cheng and Furen Xiao and Feng{-}Ming Hsu and Jen{-}Tang Lu}, title = {Multimodal Volume-Aware Detection and Segmentation for Brain Metastases Radiosurgery}, journal = {CoRR}, volume = {abs/1908.05418}, year = {2019} }
@article{DBLP:journals/corr/abs-1908-11262, author = {Dogancan Temel and Min{-}Hung Chen and Ghassan AlRegib}, title = {Traffic Sign Detection under Challenging Conditions: {A} Deeper Look Into Performance Variations and Spectral Characteristics}, journal = {CoRR}, volume = {abs/1908.11262}, year = {2019} }
@article{DBLP:journals/corr/abs-1910-06562, author = {Steven C. Y. Hung and Cheng{-}Hao Tu and Cheng{-}En Wu and Chien{-}Hung Chen and Yi{-}Ming Chan and Chu{-}Song Chen}, title = {Compacting, Picking and Growing for Unforgetting Continual Learning}, journal = {CoRR}, volume = {abs/1910.06562}, year = {2019} }
@article{DBLP:journals/corr/abs-1910-06573, author = {Cheng{-}En Wu and Yi{-}Ming Chan and Chien{-}Hung Chen and Wen{-}Cheng Chen and Chu{-}Song Chen}, title = {{IMMVP:} An Efficient Daytime and Nighttime On-Road Object Detector}, journal = {CoRR}, volume = {abs/1910.06573}, year = {2019} }
@article{DBLP:journals/corr/abs-1911-02172, author = {Yi{-}Chieh Liu and Yung{-}An Hsieh and Min{-}Hung Chen and Chao{-}Han Huck Yang and Jesper Tegn{\'{e}}r and Yi{-}Chang James Tsai}, title = {Interpretable Self-Attention Temporal Reasoning for Driving Behavior Understanding}, journal = {CoRR}, volume = {abs/1911.02172}, year = {2019} }
@article{DBLP:journals/corr/abs-1911-12926, author = {Bo{-}Wen Chen and Yen{-}Min Hsu and Hung{-}Yi Lee}, title = {J-Net: Randomly weighted U-Net for audio source separation}, journal = {CoRR}, volume = {abs/1911.12926}, year = {2019} }
@article{DBLP:journals/access/JiangYWWSWLWYTC18, author = {Joe{-}Air Jiang and Yu{-}Cheng Yang and Chien{-}Hao Wang and Jen{-}Cheng Wang and Lin{-}Kuei Su and Li{-}Cheng Wu and Min{-}Sheng Liao and Hung{-}Shuo Wu and Zheng{-}Wei Ye and Kai{-}Sheng Tseng and Cheng{-}Ying Chou}, title = {Impact Assessment of Various Wind Speeds on Dynamic Thermal Rating of the Terrain-Located {EHV} Power Grids: {A} Case of Valley in Taiwan}, journal = {{IEEE} Access}, volume = {6}, pages = {48311--48323}, year = {2018} }
@article{DBLP:journals/access/KanCLWLCW18, author = {Chung{-}Dann Kan and Wei{-}Ling Chen and Chia{-}Hung Lin and Jieh{-}Neng Wang and Pong{-}Jeu Lu and Ming{-}Yao Chan and Jui{-}Te Wu}, title = {Handmade Trileaflet Valve Design and Validation for Pulmonary Valved Conduit Reconstruction Using Taguchi Method and Cascade Correlation Machine Learning Model}, journal = {{IEEE} Access}, volume = {6}, pages = {7088--7099}, year = {2018} }
@article{DBLP:journals/access/KanCLWLCW18a, author = {Chung{-}Dann Kan and Wei{-}Ling Chen and Chia{-}Hung Lin and Jieh{-}Neng Wang and Pong{-}Jeu Lu and Ming{-}Yao Chan and Jui{-}Te Wu}, title = {Customized Handmade Pulmonary Valved Conduit Reconstruction for Children and Adult Patients Using Meta-Learning Based Intelligent Model}, journal = {{IEEE} Access}, volume = {6}, pages = {21381--21396}, year = {2018} }
@article{DBLP:journals/access/PerngKCLSHLK18, author = {Jau{-}Woei Perng and I{-}Hsi Kao and Yen{-}Wei Chen and Yi{-}Horng Lai and Chih{-}Min Su and Shih{-}Chiang Hung and Mel S. Lee and Chia{-}Te Kung}, title = {Analysis of the 72-h Mortality of Emergency Room Septic Patients Based on a Deep Belief Network}, journal = {{IEEE} Access}, volume = {6}, pages = {76820--76830}, year = {2018} }
@article{DBLP:journals/adam/HungWHC18, author = {Chun{-}Nan Hung and Tao{-}Ming Wang and Lih{-}Hsing Hsu and Eddie Cheng}, title = {Fault-Hamiltonicity of Cartesian products of directed cycles}, journal = {Art Discret. Appl. Math.}, volume = {1}, number = {1}, pages = {{\#}P1.06}, year = {2018} }
@article{DBLP:journals/apjor/LinCHC18, author = {James T. Lin and Chun{-}Chih Chiu and Edward Huang and Hung{-}Ming Chen}, title = {A Multi-Fidelity Model Approach for Simultaneous Scheduling of Machines and Vehicles in Flexible Manufacturing Systems}, journal = {Asia Pac. J. Oper. Res.}, volume = {35}, number = {1}, pages = {1850005:1--1850005:20}, year = {2018} }
@article{DBLP:journals/bspc/ChenLWLCWK18, author = {Wei{-}Ling Chen and Chia{-}Hung Lin and Jieh{-}Neng Wang and Pong{-}Jeu Lu and Ming{-}Yao Chan and Jui{-}Te Wu and Chung{-}Dann Kan}, title = {Assistive technology using regurgitation fraction and fractional-order integration to assess pulmonary valve insufficiency for pre-surgery decision making and post-surgery outcome evaluation}, journal = {Biomed. Signal Process. Control.}, volume = {44}, pages = {247--257}, year = {2018} }
@article{DBLP:journals/candie/LinHLT18, author = {Chun{-}Cheng Lin and Lun{-}Ping Hung and Wan{-}Yu Liu and Ming{-}Chun Tsai}, title = {Jointly rostering, routing, and rerostering for home health care services: {A} harmony search approach with genetic, saturation, inheritance, and immigrant schemes}, journal = {Comput. Ind. Eng.}, volume = {115}, pages = {151--166}, year = {2018} }
@article{DBLP:journals/cviu/WuT0C18, author = {Po{-}Chen Wu and Hung{-}Yu Tseng and Ming{-}Hsuan Yang and Shao{-}Yi Chien}, title = {Direct pose estimation for planar objects}, journal = {Comput. Vis. Image Underst.}, volume = {172}, pages = {50--66}, year = {2018} }
@article{DBLP:journals/ejivp/LinLCYL18, author = {Kao{-}Min Lin and Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Cheng{-}An Lee}, title = {Fast inter-prediction algorithm based on motion vector information for high efficiency video coding}, journal = {{EURASIP} J. Image Video Process.}, volume = {2018}, pages = {99}, year = {2018} }
@article{DBLP:journals/ejwcn/YangSW18, author = {Min{-}Hsuan Yang and Chiu{-}Hung Su and Wen{-}Cheng Wang}, title = {Use of hybrid {MCDM} model in evaluation for cloud service application improvement}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2018}, pages = {98}, year = {2018} }
@article{DBLP:journals/esticas/ChangCCWHCTCH18, author = {Chih{-}Cheng Chang and Pin{-}Chun Chen and Teyuh Chou and I{-}Ting Wang and Boris Hudec and Che{-}Chia Chang and Chia{-}Ming Tsai and Tian{-}Sheuan Chang and Tuo{-}Hung Hou}, title = {Mitigating Asymmetric Nonlinear Weight Update Effects in Hardware Neural Network Based on Analog Resistive Synapse}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {8}, number = {1}, pages = {116--124}, year = {2018} }
@article{DBLP:journals/ets/ChaoLLL18, author = {Po{-}Yao Chao and K. Robert Lai and Chen{-}Chung Liu and Hung{-}Ming Lin}, title = {Strengthening Social Networks in Online Discussion Forums to Facilitate Help Seeking for Solving Problems}, journal = {J. Educ. Technol. Soc.}, volume = {21}, number = {4}, pages = {39--50}, year = {2018} }
@article{DBLP:journals/geb/HuTY18, author = {Cheng{-}Cheng Hu and Min{-}Hung Tsay and Chun{-}Hsien Yeh}, title = {A study of the nucleolus in the nested cost-sharing problem: Axiomatic and strategic perspectives}, journal = {Games Econ. Behav.}, volume = {109}, pages = {82--98}, year = {2018} }
@article{DBLP:journals/idt/ChenKYML18, author = {Wei{-}Ling Chen and Chung{-}Dann Kan and Fan{-}Ming Yu and Yi{-}Chen Mai and Chia{-}Hung Lin}, title = {Life-threatening complication detection during hemodialysis using fractional order info-gap decision-making}, journal = {Intell. Decis. Technol.}, volume = {12}, number = {1}, pages = {105--117}, year = {2018} }
@article{DBLP:journals/ijrfita/ChenCCSJ18, author = {Yeh{-}Cheng Chen and C. N. Chu and Ruey{-}Shun Chen and Hung Min Sun and P. H. Ju}, title = {RFID-based bonded warehouse for Science Park}, journal = {Int. J. Radio Freq. Identif. Technol. Appl.}, volume = {5}, number = {1}, pages = {1--23}, year = {2018} }
@article{DBLP:journals/ijshc/HuiWCH18, author = {Lin Hui and Kuei Min Wang and Yung{-}Hui Chen and Fu{-}Yi Hung}, title = {Simulation analysis of the search effectiveness on information-based firefighting}, journal = {Int. J. Soc. Humanist. Comput.}, volume = {3}, number = {1}, pages = {20--33}, year = {2018} }
@article{DBLP:journals/iotj/ChenSF18, author = {Po{-}Hung Chen and Tze{-}Yun Su and Philex Ming{-}Yan Fan}, title = {Thermoelectric Energy Harvesting Interface Circuit With Capacitive Bootstrapping Technique for Energy-Efficient IoT Devices}, journal = {{IEEE} Internet Things J.}, volume = {5}, number = {5}, pages = {4058--4065}, year = {2018} }
@article{DBLP:journals/jetc/LuBBHC18, author = {Guan{-}Ruei Lu and Ansuman Banerjee and Bhargab B. Bhattacharya and Tsung{-}Yi Ho and Hung{-}Ming Chen}, title = {Reliability Hardening Mechanisms in Cyber-Physical Digital-Microfluidic Biochips}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {14}, number = {3}, pages = {34:1--34:22}, year = {2018} }
@article{DBLP:journals/jfr/GuOLSKHHHGKWCG18, author = {Yu Gu and Nicholas Ohi and Kyle Lassak and Jared Strader and Lisa Kogan and Alexander Hypes and Scott Harper and Boyi Hu and Matthew Gramlich and Rahul Kavi and Ryan M. Watson and Marvin H. M. Cheng and Jason N. Gross}, title = {Cataglyphis: An autonomous sample return rover}, journal = {J. Field Robotics}, volume = {35}, number = {2}, pages = {248--274}, year = {2018} }
@article{DBLP:journals/jssc/LiuLLCCC18, author = {Chi{-}Wei Liu and Hui{-}Hsuan Lee and Pei{-}Chun Liao and Yi{-}Lun Chen and Ming{-}Jie Chung and Po{-}Hung Chen}, title = {Dual-Source Energy-Harvesting Interface With Cycle-by-Cycle Source Tracking and Adaptive Peak-Inductor-Current Control}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2741--2750}, year = {2018} }
@article{DBLP:journals/qre/HuL18, author = {Cheng{-}Hung Hu and Ming{-}Yung Lee}, title = {Comparison among several commonly used sampling methods for a degradation test}, journal = {Qual. Reliab. Eng. Int.}, volume = {34}, number = {3}, pages = {436--458}, year = {2018} }
@article{DBLP:journals/ral/ChenHLLLC18, author = {Chao{-}Chun Chen and Min{-}Hsiung Hung and Po{-}Yi Li and Yu{-}Chuan Lin and Yu{-}Yang Liu and Fan{-}Tien Cheng}, title = {A Novel Automated Construction Scheme for Efficiently Developing Cloud Manufacturing Services}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {3}, number = {2}, pages = {1378--1385}, year = {2018} }
@article{DBLP:journals/ral/ChenSHL18, author = {Chao{-}Chun Chen and Wei{-}Tsung Su and Min{-}Hsiung Hung and Zhong{-}Hui Lin}, title = {Map-Reduce-Style Job Offloading Using Historical Manufacturing Behavior for Edge Devices in Smart Factory}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {3}, number = {4}, pages = {2918--2925}, year = {2018} }
@article{DBLP:journals/sensors/ChenCTWCL18, author = {Pin{-}Guang Chen and Kuan{-}Ting Chen and Ming Tang and Zheng{-}Ying Wang and Yu{-}Chen Chou and Min{-}Hung Lee}, title = {Steep Switching of In\({}_{\mbox{0.18}}\)Al\({}_{\mbox{0.82}}\)N/AlN/GaN {MIS-HEMT} (Metal Insulator Semiconductor High Electron Mobility Transistors) on Si for Sensor Applications}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2795}, year = {2018} }
@article{DBLP:journals/sj/ChenWLCC18, author = {Ming{-}Hung Chen and Shi{-}Chen Wang and Shih{-}Wei Liao and I{-}Hsin Chung and Cheng{-}Fu Chou}, title = {Deadline Is Not Enough: Importance-Aware Transmission Control Protocol for Server-Centric Data Centers}, journal = {{IEEE} Syst. J.}, volume = {12}, number = {2}, pages = {1543--1553}, year = {2018} }
@article{DBLP:journals/taslp/ChenLCW18, author = {Kuan{-}Yu Chen and Shih{-}Hung Liu and Berlin Chen and Hsin{-}Min Wang}, title = {An Information Distillation Framework for Extractive Summarization}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {26}, number = {1}, pages = {161--170}, year = {2018} }
@article{DBLP:journals/tdsc/SunCYC18, author = {Hung{-}Min Sun and Shiuan{-}Tung Chen and Jyh{-}Haw Yeh and Chia{-}Yun Cheng}, title = {A Shoulder Surfing Resistant Graphical Authentication System}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {15}, number = {2}, pages = {180--193}, year = {2018} }
@article{DBLP:journals/tii/ChenWYLL18, author = {Mei{-}Juan Chen and Yu{-}De Wu and Chia{-}Hung Yeh and Kao{-}Min Lin and Shinfeng D. Lin}, title = {Efficient {CU} and {PU} Decision Based on Motion Information for Interprediction of {HEVC}}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {14}, number = {11}, pages = {4735--4745}, year = {2018} }
@article{DBLP:journals/tmc/HungHCCC18, author = {Shao{-}Chou Hung and Hsiang Hsu and Shin{-}Ming Cheng and Qimei Cui and Kwang{-}Cheng Chen}, title = {Delay Guaranteed Network Association for Mobile Machines in Heterogeneous Cloud Radio Access Network}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {17}, number = {12}, pages = {2744--2760}, year = {2018} }
@article{DBLP:journals/todaes/ChenCKYCH18, author = {Tseng{-}Yi Chen and Yuan{-}Hao Chang and Yuan{-}Hung Kuan and Ming{-}Chang Yang and Yu{-}Ming Chang and Pi{-}Cheng Hsiu}, title = {Enhancing Flash Memory Reliability by Jointly Considering Write-back Pattern and Block Endurance}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {5}, pages = {64:1--64:24}, year = {2018} }
@article{DBLP:journals/todaes/LuKCBBHC18, author = {Guan{-}Ruei Lu and Chun{-}Hao Kuo and Kuen{-}Cheng Chiang and Ansuman Banerjee and Bhargab B. Bhattacharya and Tsung{-}Yi Ho and Hung{-}Ming Chen}, title = {Flexible Droplet Routing in Active Matrix-Based Digital Microfluidic Biochips}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {3}, pages = {37:1--37:25}, year = {2018} }
@article{DBLP:journals/wpc/ChenTSH18, author = {Yung{-}Fang Chen and Shu{-}Ming Tseng and Chun{-}Hung Shen and Meng{-}Shiou He}, title = {Cross Layer 1, 2 and 5 Resource Allocation in Uplink Turbo-coded {HARQ} Based {OFDMA} Video Transmission Systems}, journal = {Wirel. Pers. Commun.}, volume = {98}, number = {2}, pages = {1997--2008}, year = {2018} }
@inproceedings{DBLP:conf/accv/WangHCLYSCS18, author = {Fu{-}En Wang and Hou{-}Ning Hu and Hsien{-}Tzu Cheng and Juan{-}Ting Lin and Shang{-}Ta Yang and Meng{-}Li Shih and Hung{-}Kuo Chu and Min Sun}, title = {Self-supervised Learning of Depth and Camera Motion from 360 {\textbackslash}circ Videos}, booktitle = {{ACCV} {(5)}}, series = {Lecture Notes in Computer Science}, volume = {11365}, pages = {53--68}, publisher = {Springer}, year = {2018} }
@inproceedings{DBLP:conf/apccas/HsuLCYLL18, author = {Yu{-}Chih Hsu and Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Min{-}Hui Lin and Wei{-}Chieh Lu}, title = {Acceleration of Depth Intra Coding for 3D-HEVC by Efficient Early Termination Algorithm}, booktitle = {{APCCAS}}, pages = {127--130}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/apsec/ChenLLT18, author = {Woei{-}Kae Chen and Chien{-}Hung Liu and William W.{-}Y. Liang and Ming{-}Yi Tsai}, title = {{ICAT:} An IoT Device Compatibility Testing Tool}, booktitle = {{APSEC}}, pages = {668--672}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/asianhost/AshaPC18, author = {K. A. Asha and Abhishek Patyal and Hung{-}Ming Chen}, title = {Generation of PUF-Keys on FPGAs by K-means Frequency Clustering}, booktitle = {AsianHOST}, pages = {44--49}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/aspdac/ChiTLC18, author = {Hao{-}Yu Chi and Hwa{-}Yi Tseng and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {Performance-preserved analog routing methodology via wire load reduction}, booktitle = {{ASP-DAC}}, pages = {482--487}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/aspdac/LuBHC18, author = {Guan{-}Ruei Lu and Bhargab B. Bhattacharya and Tsung{-}Yi Ho and Hung{-}Ming Chen}, title = {Multi-level droplet routing in active-matrix based digital-microfluidic biochips}, booktitle = {{ASP-DAC}}, pages = {46--51}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/bmsb/ChenLWC18, author = {Yen{-}Ming Chen and Chih{-}Peng Li and Min{-}Yu Wu and Hung{-}Yu Chen}, title = {Cover}, booktitle = {{BMSB}}, pages = {1}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/case/LiuHLCGC18, author = {Yu{-}Yang Liu and Min{-}Hsiung Hung and Yu{-}Chuan Lin and Chao{-}Chun Chen and Wei{-}Lun Gao and Fan{-}Tien Cheng}, title = {A Cloud-based Pluggable Manufacturing Service Scheme for Smart Factory}, booktitle = {{CASE}}, pages = {1040--1045}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/case/ZouOHVC18, author = {Minjie Zou and Felix Ocker and Edward Huang and Birgit Vogel{-}Heuser and Chun{-}Hung Chen}, title = {Design Parameter Optimization of Automated Production Systems}, booktitle = {{CASE}}, pages = {359--364}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/chi/WeiCWLLCYHH18, author = {Shi{-}Yao Wei and Yin{-}Yu Chou and Hsing{-}Mang Wang and Tse{-}Yu Lin and Shih{-}Jie Lin and Min{-}Shin Chen and Zhiwei Yang and Jung{-}Tang Huang and Yi{-}Ping Hung}, title = {SaFePlay: {A} Portable Biomechanics Measurement and Analysis System of Lower Limbs}, booktitle = {{CHI} Extended Abstracts}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/cvpr/ChengCDWLS18, author = {Hsien{-}Tzu Cheng and Chun{-}Hung Chao and Jin{-}Dong Dong and Hao{-}Kai Wen and Tyng{-}Luh Liu and Min Sun}, title = {Cube Padding for Weakly-Supervised Saliency Prediction in 360{\textdegree} Videos}, booktitle = {{CVPR}}, pages = {1420--1429}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018} }
@inproceedings{DBLP:conf/cvpr/ChengTHW018, author = {Jingchun Cheng and Yi{-}Hsuan Tsai and Wei{-}Chih Hung and Shengjin Wang and Ming{-}Hsuan Yang}, title = {Fast and Accurate Online Video Object Segmentation via Tracking Parts}, booktitle = {{CVPR}}, pages = {7415--7424}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018} }
@inproceedings{DBLP:conf/cvpr/ChouCLC18, author = {Yi{-}Min Chou and Chien{-}Hung Chen and Keng{-}Hao Liu and Chu{-}Song Chen}, title = {Stingray Detection of Aerial Images Using Augmented Training Images Generated by a Conditional Generative Model}, booktitle = {{CVPR} Workshops}, pages = {1403--1409}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018} }
@inproceedings{DBLP:conf/dac/PatyalPACCL18, author = {Abhishek Patyal and Po{-}Cheng Pan and K. A. Asha and Hung{-}Ming Chen and Hao{-}Yu Chi and Chien{-}Nan Liu}, title = {Analog placement with current flow and symmetry constraints using {PCP-SP}}, booktitle = {{DAC}}, pages = {10:1--10:6}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/dmip/HungWW018, author = {Kuo{-}Ming Hung and Jin{-}An Wu and Chia{-}Hung Wen and Li{-}Ming Chen}, title = {A System for Disguised Face Recognition with Convolution Neural Networks}, booktitle = {{DMIP}}, pages = {65--69}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/dmip/YihHW018, author = {Chi{-}Hsiao Yih and Jui{-}Lung Hung and Jin{-}An Wu and Li{-}Ming Chen}, title = {Overlapped Fingerprint Separation Based on Deep Learning}, booktitle = {{DMIP}}, pages = {14--18}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/hpcasia/ChenCCC18, author = {Ming{-}Hung Chen and Jyun{-}Yan Ciou and I{-}Hsin Chung and Cheng{-}Fu Chou}, title = {FlexProtect: {A} SDN-based DDoS Attack Protection Architecture for Multi-tenant Data Centers}, booktitle = {{HPC} Asia}, pages = {202--209}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/i2mtc/TsaiLHHYY18, author = {Hsin{-}Yi Tsai and Yi{-}Cheng Lin and Min{-}Wei Hung and Kuo{-}Cheng Huang and Yao{-}Joe Joseph Yang and Hsin{-}Su Yu}, title = {Development of the miniaturization lighting dose sensor for multi-wavelength light system}, booktitle = {{I2MTC}}, pages = {1--6}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/icalt/WuLLLC18, author = {Yi{-}Chieh Wu and Wen{-}Hung Liao and Chen{-}Yu Liu and Tsai{-}Yen Li and Ming{-}Te Chi}, title = {Evaluation of Student's 3D Modeling Capability Based on Model Completeness and Usage Pattern in {K-12} Classrooms}, booktitle = {{ICALT}}, pages = {244--248}, publisher = {{IEEE} Computer Society}, year = {2018} }
@inproceedings{DBLP:conf/icassp/ChenLCW18, author = {Kuan{-}Yu Chen and Shih{-}Hung Liu and Berlin Chen and Hsin{-}Min Wang}, title = {Essence Vector-Based Query Modeling for Spoken Document Retrieval}, booktitle = {{ICASSP}}, pages = {6274--6278}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/icbbb/HouLC18, author = {Wen{-}Juan Hou and Bo{-}Syun Lee and Hung{-}Chi Chen}, title = {Mining Patterns of Drug-Disease Association from Biomedical Texts}, booktitle = {{ICBBB}}, pages = {84--90}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/iccad/ChengDHCSCPCWJ18, author = {An{-}Chieh Cheng and Jin{-}Dong Dong and Chi{-}Hung Hsu and Shu{-}Huan Chang and Min Sun and Shih{-}Chieh Chang and Jia{-}Yu Pan and Yu{-}Ting Chen and Wei Wei and Da{-}Cheng Juan}, title = {Searching toward pareto-optimal device-aware neural architectures}, booktitle = {{ICCAD}}, pages = {136}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/iccad/JiangC18, author = {Bing{-}Hui Jiang and Hung{-}Ming Chen}, title = {Extending {ML-OARSMT} to net open locator with efficient and effective boolean operations}, booktitle = {{ICCAD}}, pages = {83}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/icchp/YehC18, author = {Yao{-}ming Yeh and Hung{-}Ju Chen}, title = {Indoor Guidance System for the Visual Impaired Using Beacon Sensors}, booktitle = {{ICCHP} {(2)}}, series = {Lecture Notes in Computer Science}, volume = {10897}, pages = {51--54}, publisher = {Springer}, year = {2018} }
@inproceedings{DBLP:conf/icip/ChouCLC18, author = {Yi{-}Min Chou and Chien{-}Hung Chen and Keng{-}Hao Liu and Chu{-}Song Chen}, title = {Changing Background to Foreground: An Augmentation Method Based on Conditional Generative Network for Stingray Detection}, booktitle = {{ICIP}}, pages = {2740--2744}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/icisa/HwangYY18, author = {Min{-}Shiang Hwang and Hung{-}Wei Yang and Cheng{-}Ying Yang}, title = {An Improved Hou-Wang's User Authentication Scheme}, booktitle = {{ICISA}}, series = {Lecture Notes in Electrical Engineering}, volume = {514}, pages = {295--301}, publisher = {Springer}, year = {2018} }
@inproceedings{DBLP:conf/ickii/HsuHCLCJ18, author = {Cheng{-}Ting Hsu and Hung{-}Ming Huang and Tsun{-}Jen Cheng and Yih{-}Der Lee and Yung{-}Ruei Chang and Jheng{-}Lun Jiang}, title = {Operation of a Micro Off-Shore Island Considering {PV} Power Generation Ramp-Rates}, booktitle = {{ICKII}}, pages = {176--179}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/icmhi/ChengH18, author = {Ching{-}Hsue Cheng and Wei{-}Lun Hung}, title = {Tea in Benefits of Health: {A} Literature Analysis Using Text Mining and Latent Dirichlet Allocation}, booktitle = {{ICMHI}}, pages = {148--155}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/icmlc/LinL18, author = {Jium{-}Ming Lin and Cheng{-}Hung Lin}, title = {A Novel Fuzzy-Neural Controller Design of a Contact-Force-Controlled Surface Profiler}, booktitle = {{ICMLC}}, pages = {378--386}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/icmlc/YehCL18, author = {Ming{-}Feng Yeh and Ti{-}Hung Chen and Hung{-}Ching Lu}, title = {A Modified Neural-Network-Based GM(1, 1)}, booktitle = {{ICMLC}}, pages = {1--5}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/igarss/LeeYHT18, author = {Ming{-}An Lee and Wan{-}Chen Yang and I{-}Cheng Hung and Sheng{-}Yuan Teng}, title = {Predicting Winter Potential Fishing Zones of Albacore Tuna (Thunnus Alalunga) Using Maximum Entropy Models and Remotely Sensed Data in The South Indian Ocean}, booktitle = {{IGARSS}}, pages = {957--959}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/iiaiaai/ChangCZ18, author = {Chih{-}Hung Chang and Chih{-}Ming Chen and Rong{-}Hua Zhao}, title = {An Effective Group Incentive Mechanism in a Collaborative Problem-Based Learning System for Enhancing Positive Peer Interaction and Learning Performance}, booktitle = {{IIAI-AAI}}, pages = {170--175}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/intcompsymp/ChenLLWH18, author = {Ming{-}Te Chen and Hao{-}Yu Liu and Chien{-}Hung Lai and Wen{-}Shiang Wang and Chao{-}Yang Huang}, title = {A Secure User Authenticated Scheme in Intelligent Manufacturing System}, booktitle = {{ICS}}, series = {Communications in Computer and Information Science}, volume = {1013}, pages = {543--549}, publisher = {Springer}, year = {2018} }
@inproceedings{DBLP:conf/intcompsymp/HuangCC18, author = {Chih{-}Yang Huang and Po{-}Chuan Chien and Yen Hung Chen}, title = {A Measure and Conquer Algorithm for the Minimum User Spatial-Aware Interest Group Query Problem}, booktitle = {{ICS}}, series = {Communications in Computer and Information Science}, volume = {1013}, pages = {440--448}, publisher = {Springer}, year = {2018} }
@inproceedings{DBLP:conf/intcompsymp/TuCYW18, author = {Tai{-}Yuan Tu and Tzung{-}Her Chen and Ji{-}Min Yang and Chih{-}Hung Wang}, title = {A Weighted Threshold Visual Cryptography}, booktitle = {{ICS}}, series = {Communications in Computer and Information Science}, volume = {1013}, pages = {580--589}, publisher = {Springer}, year = {2018} }
@inproceedings{DBLP:conf/isbi/JiangNCYLWL18, author = {Feng Jiang and Dong Ni and Siping Chen and Yuan Yao and Minh Hung Le and Tianfu Wang and Baiying Lei}, title = {Placental maturity grading via hybrid descriptors based on fisher vector}, booktitle = {{ISBI}}, pages = {1517--1520}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/ispan/HungL18, author = {Chung{-}pin Hung and Cheng{-}Min Lin}, title = {Practice of Tour Design Curriculum Base on Smart Tourism Development}, booktitle = {{I-SPAN}}, pages = {282--286}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/isscc/LinMHLCCLLT18, author = {Jian{-}He Lin and Yu{-}Sheng Ma and Chia{-}Ming Huang and Li{-}Chi Lin and Chiao{-}Hung Cheng and Ke{-}Horng Chen and Ying{-}Hsi Lin and Shian{-}Ru Lin and Tsung{-}Yen Tsai}, title = {A high-efficiency and fast-transient digital-low-dropout regulator with the burst mode corresponding to the power-saving modes of {DC-DC} switching converters}, booktitle = {{ISSCC}}, pages = {314--316}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/isscc/WuYCLHSWHCLYS18, author = {Meng{-}Yi Wu and Tsao{-}Hsin Yang and Lun{-}Chun Chen and Chi{-}Chang Lin and Hao{-}Chun Hu and Fang{-}Ying Su and Chih{-}Min Wang and James Po{-}Hao Huang and Hsin{-}Ming Chen and Chris Chun{-}Hung Lu and Evans Ching{-}Song Yang and Rick Shih{-}Jye Shen}, title = {A {PUF} scheme using competing oxide rupture with bit error rate approaching zero}, booktitle = {{ISSCC}}, pages = {130--132}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/miccai/LinLNCLWL18, author = {Zehui Lin and Minh Hung Le and Dong Ni and Siping Chen and Shengli Li and Tianfu Wang and Baiying Lei}, title = {Quality Assessment of Fetal Head Ultrasound Images Based on Faster {R-CNN}}, booktitle = {POCUS/BIVPCS/CuRIOUS/CPM@MICCAI}, series = {Lecture Notes in Computer Science}, volume = {11042}, pages = {38--46}, publisher = {Springer}, year = {2018} }
@inproceedings{DBLP:conf/ofc/CaiWQXTYZLSYCLC18, author = {Yi Cai and Weiming Wang and Weifeng Qian and Jia Xing and Kai Tao and Junjie Yin and Shihua Zhang and Ming Lei and Erkun Sun and Ke Yang and Hungchang Chien and Qun Liao and Huan Chen}, title = {{FPGA} Investigation on Error-Floor Performance of a Concatenated Staircase and Hamming Code for 400G-ZR Forward Error Correction}, booktitle = {{OFC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/racs/LuKCWC18, author = {Yung{-}Feng Lu and Chin{-}Fu Kuo and Hung{-}Ming Chen and Guan{-}Bo Wang and Shih{-}Chun Chou}, title = {A mutual authentication scheme with user anonymity for cyber-physical and internet of things}, booktitle = {{RACS}}, pages = {88--93}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/sas2/HungHLTY18, author = {Min{-}Wei Hung and Kuo{-}Cheng Huang and Yu{-}Hsuan Lin and Hsin{-}Yi Tsai and Ching{-}Ching Yang}, title = {Development of plug-in laser scattering module for measurement of flow rate}, booktitle = {{SAS}}, pages = {1--6}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/sas2/LiHLHYTCHH18, author = {Cheng{-}Ru Li and Chih{-}Ning Hsu and Yi{-}Cheng Lin and Min{-}Wei Hung and Ching{-}Ching Yang and Hsin{-}Yi Tsai and Yu{-}Ju Chang and Kuo{-}Cheng Huang and Wen{-}Tse Hsiao}, title = {Integrating temperature, humidity, and optical aerosol sensors for a wireless module for three-dimensional space monitoring}, booktitle = {{SAS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/sbac-pad/ChenCAC18, author = {Ming{-}Hung Chen and I{-}Hsin Chung and B{\"{u}}lent Abali and Paul Crumley}, title = {Towards a Single-Host Many-GPU System}, booktitle = {{SBAC-PAD}}, pages = {140--147}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/siggrapha/WangHCCLLH18, author = {Han{-}Lei Wang and Ping{-}Hsuan Han and Yu{-}Mu Chen and Kuan{-}Wen Chen and Xinyi Lin and Ming{-}Sui Lee and Yi{-}Ping Hung}, title = {Dunhuang mural restoration using deep learning}, booktitle = {{SIGGRAPH} Asia Technical Briefs}, pages = {23:1--23:4}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/slt/LeeWCL18, author = {Chia{-}Hsuan Lee and Shang{-}Ming Wang and Huan{-}Cheng Chang and Hung{-}yi Lee}, title = {{ODSQA:} Open-Domain Spoken Question Answering Dataset}, booktitle = {{SLT}}, pages = {949--956}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/smacd/PanHHPCY18, author = {Po{-}Cheng Pan and Hung{-}Wen Huang and Chien{-}Chia Huang and Abhishek Patyal and Hung{-}Ming Chen and Tsun{-}Yu Yang}, title = {On Closing the Gap Between Pre-Simulation and Post-Simulation Results in Nanometer Analog Layouts}, booktitle = {{SMACD}}, pages = {181--184}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/smartgreens/LinWCT18, author = {Hung{-}Wen Lin and Min{-}Der Wu and Guan{-}Wen Chen and Ying Xuan Tan}, title = {Intelligent Thermal Control Method for Small-Size Air Conditioning System}, booktitle = {{SMARTGREENS}}, pages = {64--69}, publisher = {SciTePress}, year = {2018} }
@inproceedings{DBLP:conf/snpd/HungLTWC18, author = {Che{-}Lun Hung and Yi{-}Yang Lin and Chuan Yi Tang and Chilung Wang and Ming{-}Chiang Chen}, title = {Performance of Convolution Neural Network based on Multiple GPUs with Different Data Communication Models}, booktitle = {{SNPD}}, pages = {87--92}, publisher = {{IEEE} Computer Society}, year = {2018} }
@inproceedings{DBLP:conf/taai/MatsufujiHHSYC18, author = {Akihiro Matsufuji and Wei{-}Fen Hsieh and Hao{-}Ming Hung and Eri Shimokawara and Toru Yamaguchi and Lieu{-}Hen Chen}, title = {A Method of Action Recognition in Ego-Centric Videos by Using Object-Hand Relations}, booktitle = {{TAAI}}, pages = {54--59}, publisher = {{IEEE} Computer Society}, year = {2018} }
@inproceedings{DBLP:conf/vlsi-dat/ChenHCC18, author = {Li{-}Chin Chen and Chien{-}Chia Huang and Yao{-}Lin Chang and Hung{-}Ming Chen}, title = {A learning-based methodology for routability prediction in placement}, booktitle = {{VLSI-DAT}}, pages = {1--4}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/vlsic/LiuTWLCCJ18, author = {Tsu{-}Ming Liu and Chang{-}Hung Tsai and Tung{-}Hsing Wu and Jia{-}Ying Lin and Li{-}Heng Chen and Han{-}Liang Chou and Chi{-}Cheng Ju}, title = {A 0.76MM\({}^{\mbox{2}}\) 0.22NJ/Pixel DL-Assisted 4K Video Encoder {LSI} for Quality-of-Experience Over Smart-Phones}, booktitle = {{VLSI} Circuits}, pages = {257--258}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/vrst/ChangHCCPHYC18, author = {Ya{-}Kuei Chang and Jui{-}Wei Huang and Chien{-}Hua Chen and Chien{-}Wen Chen and Jian{-}Wei Peng and Min{-}Chun Hu and Chih{-}Yuan Yao and Hung{-}Kuo Chu}, title = {A lightweight and efficient system for tracking handheld objects in virtual reality}, booktitle = {{VRST}}, pages = {43:1--43:2}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/wicon/ChaoCYY18, author = {Ching{-}Ming Chao and Pozung Chen and Shih{-}Yang Yang and Cheng{-}Hung Yen}, title = {An Efficient MapReduce-Based Apriori-Like Algorithm for Mining Frequent Itemsets from Big Data}, booktitle = {{WICON}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {264}, pages = {76--85}, publisher = {Springer}, year = {2018} }
@inproceedings{DBLP:conf/wocc/ChangLTZH18, author = {Yue{-}Shan Chang and Kuan{-}Ming Lin and Yi{-}Ting Tsai and Yu{-}Ren Zeng and Cheng{-}Xiang Hung}, title = {Big data platform for air quality analysis and prediction}, booktitle = {{WOCC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2018} }
@article{DBLP:journals/corr/abs-1805-04262, author = {Yi{-}Min Chou and Chien{-}Hung Chen and Keng{-}Hao Liu and Chu{-}Song Chen}, title = {Stingray Detection of Aerial Images Using Augmented Training Images Generated by {A} Conditional Generative Model}, journal = {CoRR}, volume = {abs/1805.04262}, year = {2018} }
@article{DBLP:journals/corr/abs-1806-01320, author = {Hsien{-}Tzu Cheng and Chun{-}Hung Chao and Jin{-}Dong Dong and Hao{-}Kai Wen and Tyng{-}Luh Liu and Min Sun}, title = {Cube Padding for Weakly-Supervised Saliency Prediction in 360{\textdegree} Videos}, journal = {CoRR}, volume = {abs/1806.01320}, year = {2018} }
@article{DBLP:journals/corr/abs-1806-02323, author = {Jingchun Cheng and Yi{-}Hsuan Tsai and Wei{-}Chih Hung and Shengjin Wang and Ming{-}Hsuan Yang}, title = {Fast and Accurate Online Video Object Segmentation via Tracking Parts}, journal = {CoRR}, volume = {abs/1806.02323}, year = {2018} }
@article{DBLP:journals/corr/abs-1808-02280, author = {Chia{-}Hsuan Lee and Shang{-}Ming Wang and Huan{-}Cheng Chang and Hung{-}yi Lee}, title = {{ODSQA:} Open-domain Spoken Question Answering Dataset}, journal = {CoRR}, volume = {abs/1808.02280}, year = {2018} }
@article{DBLP:journals/corr/abs-1808-09830, author = {An{-}Chieh Cheng and Jin{-}Dong Dong and Chi{-}Hung Hsu and Shu{-}Huan Chang and Min Sun and Shih{-}Chieh Chang and Jia{-}Yu Pan and Yu{-}Ting Chen and Wei Wei and Da{-}Cheng Juan}, title = {Searching Toward Pareto-Optimal Device-Aware Neural Architectures}, journal = {CoRR}, volume = {abs/1808.09830}, year = {2018} }
@article{DBLP:journals/corr/abs-1811-05304, author = {Fu{-}En Wang and Hou{-}Ning Hu and Hsien{-}Tzu Cheng and Juan{-}Ting Lin and Shang{-}Ta Yang and Meng{-}Li Shih and Hung{-}Kuo Chu and Min Sun}, title = {Self-Supervised Learning of Depth and Camera Motion from 360{\textdegree} Videos}, journal = {CoRR}, volume = {abs/1811.05304}, year = {2018} }
@article{DBLP:journals/access/ChenHLWLHHL17, author = {Ling{-}Jyh Chen and Yao{-}Hua Ho and Hu{-}Cheng Lee and Hsuan{-}Cho Wu and Hao{-}Min Liu and Hsin{-}Hung Hsieh and Yu{-}Te Huang and Shih{-}Chun Candice Lung}, title = {An Open Framework for Participatory {PM2.5} Monitoring in Smart Cities}, journal = {{IEEE} Access}, volume = {5}, pages = {14441--14454}, year = {2017} }
@article{DBLP:journals/access/TsengCCC17, author = {Shu{-}Ming Tseng and Yung{-}Fang Chen and Po{-}Hsiang Chiu and Hung{-}Chang Chi}, title = {Jamming Resilient Cross-Layer Resource Allocation in Uplink HARQ-Based {SIMO} {OFDMA} Video Transmission Systems}, journal = {{IEEE} Access}, volume = {5}, pages = {24908--24919}, year = {2017} }
@article{DBLP:journals/access/WangCCL17, author = {Ming{-}Hung Wang and Lung{-}Wen Chen and Po{-}Wen Chi and Chin{-}Laung Lei}, title = {{SDUDP:} {A} Reliable UDP-Based Transmission Protocol Over {SDN}}, journal = {{IEEE} Access}, volume = {5}, pages = {5904--5916}, year = {2017} }
@article{DBLP:journals/bmcmi/HuangLHHCWCL17, author = {Yung{-}Cheng Huang and Hung{-}I Lu and Shun{-}Chen Huang and Chien{-}Chin Hsu and Nan{-}Tsing Chiu and Yu{-}Ming Wang and Yi{-}Chun Chiu and Shau{-}Hsuan Li}, title = {{FDG} {PET} using SUVmax for preoperative T-staging of esophageal squamous cell carcinoma with and without neoadjuvant chemoradiotherapy}, journal = {{BMC} Medical Imaging}, volume = {17}, number = {1}, pages = {1:1--1:8}, year = {2017} }
@article{DBLP:journals/candie/HungBC17, author = {Yi{-}Feng Hung and Jian{-}Song Bao and Yu{-}En Cheng}, title = {Minimizing earliness and tardiness costs in scheduling jobs with time windows}, journal = {Comput. Ind. Eng.}, volume = {113}, pages = {871--890}, year = {2017} }
@article{DBLP:journals/ce/LiuCLH17, author = {Chen{-}Chung Liu and Wei{-}Chen Chen and Hung{-}Ming Lin and Yun{-}Yin Huang}, title = {A remix-oriented approach to promoting student engagement in a long-term participatory learning program}, journal = {Comput. Educ.}, volume = {110}, pages = {1--15}, year = {2017} }
@article{DBLP:journals/cj/WangCCL17, author = {Ming{-}Hung Wang and Alex Chuan{-}Hsien Chang and Kuan{-}Ta Chen and Chin{-}Laung Lei}, title = {Estimating Ideological Scores of Facebook Pages: An Empirical Study in Taiwan}, journal = {Comput. J.}, volume = {60}, number = {11}, pages = {1675--1686}, year = {2017} }
@article{DBLP:journals/db/HungLYC17, author = {Shin{-}Yuan Hung and Hui{-}Min Lai and David C. Yen and Chun{-}Yi Chen}, title = {Exploring the Effects of Team Collaborative Norms and Team Identification on the Quality of Individuals' Knowledge Contribution in Teams}, journal = {Data Base}, volume = {48}, number = {4}, pages = {80--106}, year = {2017} }
@article{DBLP:journals/dcc/ChengFJLM17, author = {Minquan Cheng and Hung{-}Lin Fu and Jing Jiang and Yuan{-}Hsun Lo and Ying Miao}, title = {Codes with the identifiable parent property for multimedia fingerprinting}, journal = {Des. Codes Cryptogr.}, volume = {83}, number = {1}, pages = {71--82}, year = {2017} }
@article{DBLP:journals/dt/WangLWLCLPW17, author = {Kai{-}Li Wang and Bing{-}Yang Lin and Cheng{-}Wen Wu and Mincent Lee and Hao Chen and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Test Cost Reduction Methodology for InFO Wafer-Level Chip-Scale Package}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {50--58}, year = {2017} }
@article{DBLP:journals/ets/HuangLWTL17, author = {Yun{-}Yin Huang and Chen{-}Chung Liu and Yu Wang and Chin{-}Chung Tsai and Hung{-}Ming Lin}, title = {Student Engagement in Long-Term Collaborative {EFL} Storytelling Activities: An Analysis of Learners with English Proficiency Differences}, journal = {J. Educ. Technol. Soc.}, volume = {20}, number = {3}, pages = {95--109}, year = {2017} }
@article{DBLP:journals/ict-express/LinTCCWY17, author = {Kuang{-}Hsun Lin and Cho{-}Hsin Tsai and Jen{-}Wei Chang and Yu{-}Chieh Chen and Hung{-}Yu Wei and Fu{-}Ming Yeh}, title = {Max-throughput interference avoidance mechanism for indoor self-organizing small cell networks}, journal = {{ICT} Express}, volume = {3}, number = {3}, pages = {132--136}, year = {2017} }
@article{DBLP:journals/ieiceee/WangDCWF17, author = {Liang{-}Hung Wang and Wei{-}Zhong Dong and Jian{-}Zhi Chen and Fa{-}Xiang Wang and Minghui Fan}, title = {Low-power low-data-loss bio-signal acquisition system for intelligent electrocardiogram detection}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20161142}, year = {2017} }
@article{DBLP:journals/iet-com/ChenCTLWY17, author = {Yu{-}Chieh Chen and Jen{-}Wei Chang and Cho{-}Hsin Tsai and Guang{-}Xun Lin and Hung{-}Yu Wei and Fu{-}Ming Yeh}, title = {Max-utility resource allocation for indoor small cell networks}, journal = {{IET} Commun.}, volume = {11}, number = {2}, pages = {267--272}, year = {2017} }
@article{DBLP:journals/ijclclp/LiuCSCWH17, author = {Shih{-}Hung Liu and Kuan{-}Yu Chen and Kai{-}Wun Shih and Berlin Chen and Hsin{-}Min Wang and Wen{-}Lian Hsu}, title = {An Empirical Comparison of Contemporary Unsupervised Approaches for Extractive Speech Summarization}, journal = {Int. J. Comput. Linguistics Chin. Lang. Process.}, volume = {22}, number = {1}, year = {2017} }
@article{DBLP:journals/ijinfoman/KaoCLLSCLL17, author = {Jui{-}Hung Kao and Ta{-}Chien Chan and Feipei Lai and Bo{-}Cheng Lin and Wei{-}Zen Sun and Kuan{-}Wu Chang and Fang{-}Yie Leu and Jeng{-}Wei Lin}, title = {Spatial analysis and data mining techniques for identifying risk factors of Out-of-Hospital Cardiac Arrest}, journal = {Int. J. Inf. Manag.}, volume = {37}, number = {1}, pages = {1528--1538}, year = {2017} }
@article{DBLP:journals/integration/HsuHL17, author = {Chih{-}Cheng Hsu and Masanori Hashimoto and Mark Po{-}Hung Lin}, title = {Minimizing detection-to-boosting latency toward low-power error-resilient circuits}, journal = {Integr.}, volume = {58}, pages = {236--244}, year = {2017} }
@article{DBLP:journals/jcsc/ChenLL17, author = {Ching{-}Han Chen and Ming{-}Yi Lin and Wen{-}Hung Lin}, title = {Designing and Implementing a Lightweight {WSN} {MAC} Protocol for Smart Home Networking Applications}, journal = {J. Circuits Syst. Comput.}, volume = {26}, number = {3}, pages = {1750043:1--1750043:20}, year = {2017} }
@article{DBLP:journals/jcsc/HwangSL17, author = {Min{-}Shiang Hwang and Tsuei{-}Hung Sun and Cheng{-}Chi Lee}, title = {Achieving Dynamic Data Guarantee and Data Confidentiality of Public Auditing in Cloud Storage Service}, journal = {J. Circuits Syst. Comput.}, volume = {26}, number = {5}, pages = {1750072:1--1750072:16}, year = {2017} }
@article{DBLP:journals/jms/KuoTHC17, author = {Kuang{-}Ming Kuo and Paul C. Talley and Ming{-}Chien Hung and Yen{-}Liang Chen}, title = {A Deterrence Approach to Regulate Nurses' Compliance with Electronic Medical Records Privacy Policy}, journal = {J. Medical Syst.}, volume = {41}, number = {12}, pages = {198:1--198:10}, year = {2017} }
@article{DBLP:journals/jsce/WenYLWCCH17, author = {Sheng{-}Fan Wen and Jia{-}Yush Yen and Shih{-}Tang Liu and Fu{-}Cheng Wang and Min{-}Shin Chen and Yung{-}Yaw Chen and Chung{-}Wen Hung}, title = {Compensation of the residual error from the charge feedback control of a piezoelectric-actuated stage}, journal = {J. Syst. Control. Eng.}, volume = {231}, number = {5}, pages = {414--424}, year = {2017} }
@article{DBLP:journals/mbec/WuCWLC17, author = {Jian{-}Xing Wu and Guan{-}Chun Chen and Ming{-}Jui Wu and Chia{-}Hung Lin and Tainsong Chen}, title = {Bilateral photoplethysmography for arterial steal detection in arteriovenous fistula using a fractional-order decision-making quantizer}, journal = {Medical Biol. Eng. Comput.}, volume = {55}, number = {2}, pages = {257--270}, year = {2017} }
@article{DBLP:journals/mia/YangLWYMWC17, author = {Xin Yang and Chaoyue Liu and Zhiwei Wang and Jun Yang and Hung Le Minh and Liang Wang and Kwang{-}Ting (Tim) Cheng}, title = {Co-trained convolutional neural networks for automated detection of prostate cancer in multi-parametric {MRI}}, journal = {Medical Image Anal.}, volume = {42}, pages = {212--227}, year = {2017} }
@article{DBLP:journals/mr/WenCLJCCJC17, author = {Hua{-}Chiang Wen and Wu{-}Ching Chou and Po{-}Chen Lin and Yeau{-}Ren Jeng and Chien{-}Chang Chen and Hung{-}Ming Chen and Don Son Jiang and Chun{-}Hu Cheng}, title = {Using nanoindentation to investigate the temperature cycling of Sn-37Pb solders}, journal = {Microelectron. Reliab.}, volume = {78}, pages = {111--117}, year = {2017} }
@article{DBLP:journals/mta/ChangJCC17, author = {Jieh{-}Ren Chang and Hung{-}Chi Juang and You{-}Shyang Chen and Cheng{-}Ming Chang}, title = {Safe binary particle swam algorithm for an enhanced unsupervised label refinement in automatic face annotation}, journal = {Multim. Tools Appl.}, volume = {76}, number = {18}, pages = {18339--18359}, year = {2017} }
@article{DBLP:journals/mta/ChenCCS17, author = {Shiuan{-}Tung Chen and Hsin{-}Ta Chiao and Shih{-}Ying Chang and Hung{-}Min Sun}, title = {Fast and low-complexity encoding of Raptor codes based on operation lists for known source block lengths}, journal = {Multim. Tools Appl.}, volume = {76}, number = {7}, pages = {9219--9243}, year = {2017} }
@article{DBLP:journals/ral/LinHHCYHC17, author = {Yu{-}Chuan Lin and Min{-}Hsiung Hung and Hsien{-}Cheng Huang and Chao{-}Chun Chen and Haw Ching Yang and Yao{-}Sheng Hsieh and Fan{-}Tien Cheng}, title = {Development of Advanced Manufacturing Cloud of Things (AMCoT) - {A} Smart Manufacturing Platform}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {2}, number = {3}, pages = {1809--1816}, year = {2017} }
@article{DBLP:journals/scn/WangWCL17, author = {Ming{-}Hung Wang and Hanchi Wang and You{-}Ru Chen and Chin{-}Laung Lei}, title = {Automatic Test Pattern Generator for Fuzzing Based on Finite State Machine}, journal = {Secur. Commun. Networks}, volume = {2017}, pages = {7819590:1--7819590:11}, year = {2017} }
@article{DBLP:journals/sensors/HungYC17, author = {Chiao{-}Fang Hung and Po{-}Chen Yeh and Tien{-}Kan Chung}, title = {A Miniature Magnetic-Force-Based Three-Axis {AC} Magnetic Sensor with Piezoelectric/Vibrational Energy-Harvesting Functions}, journal = {Sensors}, volume = {17}, number = {2}, pages = {308}, year = {2017} }
@article{DBLP:journals/talip/LiuCHCWYH17, author = {Shih{-}Hung Liu and Kuan{-}Yu Chen and Yu{-}Lun Hsieh and Berlin Chen and Hsin{-}Min Wang and Hsu{-}Chun Yen and Wen{-}Lian Hsu}, title = {A Position-Aware Language Modeling Framework for Extractive Broadcast News Speech Summarization}, journal = {{ACM} Trans. Asian Low Resour. Lang. Inf. Process.}, volume = {16}, number = {4}, pages = {27:1--27:13}, year = {2017} }
@article{DBLP:journals/tbc/TaiHCCY17, author = {Kuang{-}Han Tai and Min{-}Yuan Hsieh and Mei{-}Juan Chen and Chia{-}Yen Chen and Chia{-}Hung Yeh}, title = {A Fast {HEVC} Encoding Method Using Depth Information of Collocated CUs and {RD} Cost Characteristics of {PU} Modes}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {4}, pages = {680--692}, year = {2017} }
@article{DBLP:journals/tbcas/HuangJLCL17, author = {Ping{-}Tzan Huang and Tai{-}Lang Jong and Chien{-}Ming Li and Wei{-}Ling Chen and Chia{-}Hung Lin}, title = {Integrating Flexible Sensor and Virtual Self-Organizing {DC} Grid Model With Cloud Computing for Blood Leakage Detection During Hemodialysis}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {11}, number = {4}, pages = {784--793}, year = {2017} }
@article{DBLP:journals/tc/LiuLWCLLPW17, author = {Hsuan{-}Hung Liu and Bing{-}Yang Lin and Cheng{-}Wen Wu and Wan{-}Ting Chiang and Mincent Lee and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {A Built-Off Self-Repair Scheme for Channel-Based 3D Memories}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {8}, pages = {1293--1301}, year = {2017} }
@article{DBLP:journals/tits/ChenWWLC0H17, author = {Kuan{-}Wen Chen and Chun{-}Hsin Wang and Xiao Wei and Qiao Liang and Chu{-}Song Chen and Ming{-}Hsuan Yang and Yi{-}Ping Hung}, title = {Vision-Based Positioning for Internet-of-Vehicles}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {18}, number = {2}, pages = {364--376}, year = {2017} }
@article{DBLP:journals/tr/LeeHT17, author = {Ming{-}Yung Lee and Cheng{-}Hung Hu and Jen Tang}, title = {A Two-Stage Latent Variable Estimation Procedure for Time-Censored Accelerated Degradation Tests}, journal = {{IEEE} Trans. Reliab.}, volume = {66}, number = {4}, pages = {1266--1279}, year = {2017} }
@article{DBLP:journals/winet/LiH17, author = {Chi{-}Min Li and Chen{-}Fang Hung}, title = {Cooperative {MIMO} precoding for the {LTE-A} {D2D} communications}, journal = {Wirel. Networks}, volume = {23}, number = {8}, pages = {2545--2554}, year = {2017} }
@inproceedings{DBLP:conf/aaai/ZengCCLNS17, author = {Kuo{-}Hao Zeng and Tseng{-}Hung Chen and Ching{-}Yao Chuang and Yuan{-}Hong Liao and Juan Carlos Niebles and Min Sun}, title = {Leveraging Video Descriptions to Learn Video Question Answering}, booktitle = {{AAAI}}, pages = {4334--4340}, publisher = {{AAAI} Press}, year = {2017} }
@inproceedings{DBLP:conf/adma/WongTTLT17, author = {Mun Hou Wong and Vincent S. Tseng and Jerry C. C. Tseng and Sun{-}Wei Liu and Cheng{-}Hung Tsai}, title = {Long-Term User Location Prediction Using Deep Learning and Periodic Pattern Mining}, booktitle = {{ADMA}}, series = {Lecture Notes in Computer Science}, volume = {10604}, pages = {582--594}, publisher = {Springer}, year = {2017} }
@inproceedings{DBLP:conf/aspdac/LiaoLFHCKC17, author = {Wei{-}Hsun Liao and Chang{-}Tzu Lin and Sheng{-}Hsin Fang and Chien{-}Chia Huang and Hung{-}Ming Chen and Ding{-}Ming Kwai and Yung{-}Fa Chou}, title = {Heterogeneous chip power delivery modeling and co-synthesis for practical 3DIC realization}, booktitle = {{ASP-DAC}}, pages = {549--553}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/aspdac/LuHBBHC17, author = {Guan{-}Ruei Lu and Guan{-}Ming Huang and Ansuman Banerjee and Bhargab B. Bhattacharya and Tsung{-}Yi Ho and Hung{-}Ming Chen}, title = {On reliability hardening in cyber-physical digital-microfluidic biochips}, booktitle = {{ASP-DAC}}, pages = {518--523}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/asscc/HungSLHHCCLC17, author = {Meng{-}Hsiung Hung and Yi{-}Shing Shih and Chin{-}Fu Li and Wei{-}Kai Hong and Ming{-}Yeh Hsu and Chih{-}Hao Chen and Yu{-}Lun Chen and Chun{-}Wei Lin and Yuan{-}Hung Chung}, title = {A reconfigurable dual-band WiFi/BT combo transceiver with integrated 2G/BT SP3T, {LNA/PA} achieving concurrent receiving and wide dynamic range transmitting in 40nm {CMOS}}, booktitle = {{A-SSCC}}, pages = {177--180}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/asscc/LiuCLLC17, author = {Chi{-}Wei Liu and Ming{-}Jie Chung and Hui{-}Hsuan Lee and Pei{-}Chun Liao and Po{-}Hung Chen}, title = {A single-inductor triple-input-triple-output {(SITITO)} energy harvesting interface with cycle-by-cycle source tracking and adaptive peak-inductor-current control}, booktitle = {{A-SSCC}}, pages = {113--116}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/ats/ChangYLC17, author = {Chih{-}Ming Chang and Kai{-}Jie Yang and James Chien{-}Mo Li and Hung Chen}, title = {Test Pattern Compression for Probabilistic Circuits}, booktitle = {{ATS}}, pages = {23--27}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/ats/WangCLL17, author = {Sying{-}Jyan Wang and Hsiang{-}Hsueh Chen and Chin{-}Hung Lien and Katherine Shu{-}Min Li}, title = {Testing Clock Distribution Networks}, booktitle = {{ATS}}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/bigdataconf/HongCWLV17, author = {Tzung{-}Pei Hong and Lu{-}Hung Chen and Shyue{-}Liang Wang and Chun{-}Wei Lin and Bay Vo}, title = {Quasi-erasable itemset mining}, booktitle = {{IEEE} BigData}, pages = {1816--1820}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/case/ChangCC17, author = {Chun{-}Ming Chang and Shi{-}Chung Chang and Chun{-}Hung Chen}, title = {How simplified models of different variability affects performance of ordinal transformation}, booktitle = {{CASE}}, pages = {1065--1066}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/case/SuryajayaCHLLL17, author = {Benny Suryajaya and Chao{-}Chun Chen and Min{-}Hsiung Hung and Yu{-}Yang Liu and Jia{-}Xuan Liu and Yu{-}Chuan Lin}, title = {A fast large-size production data transformation scheme for supporting smart manufacturing in semiconductor industry}, booktitle = {{CASE}}, pages = {275--281}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/chi/TsaiWHHHHCC17, author = {Hsin{-}Ruey Tsai and Te{-}Yen Wu and Da{-}Yuan Huang and Min{-}Chieh Hsiu and Jui{-}Chun Hsiao and Yi{-}Ping Hung and Mike Y. Chen and Bing{-}Yu Chen}, title = {SegTouch: Enhancing Touch Input While Providing Touch Gestures on Screens Using Thumb-To-Index-Finger Gestures}, booktitle = {{CHI} Extended Abstracts}, pages = {2164--2171}, publisher = {{ACM}}, year = {2017} }
@inproceedings{DBLP:conf/cimaging/ChangLLFCLCG17, author = {Chuan{-}Chung Chang and Hsin{-}Hsiang Lo and Han{-}Hsuan Lin and Zhi{-}Rong Fan and Shao{-}Hsuan Cheng and Chih{-}Hung Lu and Fu{-}Ming Chuang and Jiun{-}In Guo}, title = {Localized High Dynamic Range Plenoptic Image Compression}, booktitle = {Computational Imaging}, pages = {163--168}, publisher = {Society for Imaging Science and Technology}, year = {2017} }
@inproceedings{DBLP:conf/cloudcom/ChenS17, author = {Yi{-}Wen Chen and Hung{-}Min Sun}, title = {An Approach for Reducing the Traffic within Cloud Environments Based on Customized Linux Kernel}, booktitle = {CloudCom}, pages = {227--230}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/dac/ChenCKC17, author = {Tseng{-}Yi Chen and Yuan{-}Hao Chang and Yuan{-}Hung Kuan and Yu{-}Ming Chang}, title = {VirtualGC: Enabling Erase-free Garbage Collection to Upgrade the Performance of Rewritable {SLC} {NAND} Flash Memory}, booktitle = {{DAC}}, pages = {25:1--25:6}, publisher = {{ACM}}, year = {2017} }
@inproceedings{DBLP:conf/dasc/ChenWCC17, author = {Ming{-}Hung Chen and Wei{-}Min Wang and I{-}Hsin Chung and Cheng{-}Fu Chou}, title = {Incremental Hybrid {SDN} Deployment for Enterprise Networks}, booktitle = {DASC/PiCom/DataCom/CyberSciTech}, pages = {1143--1149}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/dcai/ChenLBMO17, author = {Shu{-}Heng Chen and Hung{-}Wen Lin and Edgardo Bucciarelli and Fabrizio Muratore and Iacopo Odoardi}, title = {A Data Mining Analysis of the Chinese Inland-Coastal Inequality}, booktitle = {Decision Economics@DCAI}, series = {Advances in Intelligent Systems and Computing}, volume = {618}, pages = {96--104}, publisher = {Springer}, year = {2017} }
@inproceedings{DBLP:conf/eit/HuKAY17, author = {Wen{-}Chen Hu and Naima Kaabouch and Sara Faraji Jalal Apostal and Hung{-}Jen Yang}, title = {Location-aware mining for privacy-preserving location-based advertising}, booktitle = {{EIT}}, pages = {569--574}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/gcce/YenYCLCCTH17, author = {Tien{-}Ming Yen and Chih{-}Yuan Yao and Hung{-}Kung Chu and Yu{-}Chi Lai and Pe{-}Ying Chiang and Hsiao{-}Chin Chen and Wei{-}Chung Teng and Ya{-}Wen Hsu}, title = {Real-time streaming of 16K video for display wall on {CUDA}}, booktitle = {{GCCE}}, pages = {1--2}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/i2mtc/WengLHHWLCH17, author = {Rui{-}Cian Weng and Yen{-}Pei Lu and Wan{-}Hsuan Hsu and Cheng{-}Fang Ho and Jun{-}Sheng Wang and Min{-}Yu Lin and Shih{-}Jye Chou and Chi{-}Hung Hwang}, title = {Blood urea nitrogen analyzer developed to prevent acute stroke-in-evolution}, booktitle = {{I2MTC}}, pages = {1--5}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/icassp/ChenLCW17, author = {Kuan{-}Yu Chen and Shih{-}Hung Liu and Berlin Chen and Hsin{-}Min Wang}, title = {A locality-preserving essence vector modeling framework for spoken document retrieval}, booktitle = {{ICASSP}}, pages = {5665--5669}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/icassp/LeeLHTWJ17, author = {Hung{-}Shin Lee and Yu{-}Ding Lu and Chin{-}Cheng Hsu and Yu Tsao and Hsin{-}Min Wang and Shyh{-}Kang Jeng}, title = {Discriminative autoencoders for speaker verification}, booktitle = {{ICASSP}}, pages = {5375--5379}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/icassp/LiuCCWH17, author = {Shih{-}Hung Liu and Kuan{-}Yu Chen and Berlin Chen and Hsin{-}Min Wang and Wen{-}Lian Hsu}, title = {Leveraging manifold learning for extractive broadcast news summarization}, booktitle = {{ICASSP}}, pages = {5805--5809}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/icccn/WuKCLC17, author = {Ho{-}Ting Wu and Kai{-}Wei Ke and Po{-}Hung Chen and Chun{-}Ting Lin and Ming{-}Che Chen}, title = {Mean Holding Time in Sync of Lossy {IEEE} 802.15.4e {TSCH} Networks for Industrial {IOT} Applications}, booktitle = {{ICCCN}}, pages = {1--2}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/iccv/ChenLCHFS17, author = {Tseng{-}Hung Chen and Yuan{-}Hong Liao and Ching{-}Yao Chuang and Wan Ting Hsu and Jianlong Fu and Min Sun}, title = {Show, Adapt and Tell: Adversarial Training of Cross-Domain Image Captioner}, booktitle = {{ICCV}}, pages = {521--530}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/icdim/ZhengCCF17, author = {Ming{-}Yi Zheng and Hung{-}Yuan Chen and Huan Chen and Yao{-}Chung Fan}, title = {On cleaning and organizing context logs for mobile user profiling}, booktitle = {{ICDIM}}, pages = {161--164}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/icmlc/LinLH17, author = {Jium{-}Ming Lin and Cheng{-}Hung Lin and Jyh{-}Nong Hu}, title = {Mobile robot-based antenna tracking system on {RPV} using intelligent neural controller}, booktitle = {{ICMLC}}, pages = {633--639}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/icmssp/ChenLK17, author = {Hung{-}Ming Chen and Ci{-}Jie Li and Bi{-}Shun Ke}, title = {Designing {A} Simple Storage Services {(S3)} Compatible System Based on Ceph Software-Defined Storage System}, booktitle = {{ICMSSP}}, pages = {6--10}, publisher = {{ACM}}, year = {2017} }
@inproceedings{DBLP:conf/icwl/ChenLHYW17, author = {Shih{-}Yeh Chen and Chin{-}Feng Lai and Ren{-}Hung Hwang and Chu{-}Sing Yang and Ming{-}Shi Wang}, title = {Inference of Learning Creative Characteristics by Analysis of {EEG} Signal}, booktitle = {SETE@ICWL}, series = {Lecture Notes in Computer Science}, volume = {10676}, pages = {425--432}, publisher = {Springer}, year = {2017} }
@inproceedings{DBLP:conf/iiaiaai/HsiehHCL17, author = {Ching{-}Tang Hsieh and Kuo{-}Ming Hung and Li{-}Ming Chen and Ze{-}Guang Lin}, title = {Reversible System Based on Block-PCA}, booktitle = {{IIAI-AAI}}, pages = {868--873}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/iiaiaai/HungCL17, author = {Kuo{-}Ming Hung and Li{-}Ming Chen and Wei{-}Li Lin}, title = {A Novel Overlapping Fingerprint Partition and Reconstruction Based on Vector Flow Method}, booktitle = {{IIAI-AAI}}, pages = {841--846}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/iiaiaai/LiuCL17, author = {Sheng{-}Hung Liu and Sih{-}Yu Chen and Sheng{-}Tun Li}, title = {Text-Mining Application on {CSR} Report Analytics: {A} Study of Petrochemical Industry}, booktitle = {{IIAI-AAI}}, pages = {76--81}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/interspeech/YangLLCTCW17, author = {Ming{-}Han Yang and Hung{-}Shin Lee and Yu{-}Ding Lu and Kuan{-}Yu Chen and Yu Tsao and Berlin Chen and Hsin{-}Min Wang}, title = {Discriminative Autoencoders for Acoustic Modeling}, booktitle = {{INTERSPEECH}}, pages = {3557--3561}, publisher = {{ISCA}}, year = {2017} }
@inproceedings{DBLP:conf/iotaas/TsaiPCC17, author = {Ming{-}Fong Tsai and Thanh Nam Pham and Fu{-}Hsiang Ching and Le{-}Hung Chen}, title = {An Adaptive Solution for Images Streaming in Vehicle Networks Using {MQTT} Protocol}, booktitle = {IoTaaS}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {246}, pages = {263--275}, publisher = {Springer}, year = {2017} }
@inproceedings{DBLP:conf/iscas/HuangHWHLSCLCCC17, author = {Po{-}Tsang Huang and Yu{-}Chieh Huang and Shang{-}Lin Wu and Yu{-}Chen Hu and Ming{-}Wei Lu and Ting{-}Wei Sheng and Fung{-}Kai Chang and Chun{-}Pin Lin and Nien{-}Shang Chang and Hung{-}Lieh Chen and Chi{-}Shi Chen and Jeng{-}Ren Duann and Tzai{-}Wen Chiu and Wei Hwang and Kuan{-}Neng Chen and Ching{-}Te Chuang and Jin{-}Chern Chiou}, title = {An implantable 128-channel wireless neural-sensing microsystem using TSV-embedded dissolvable {\(\mu\)}-needle array and flexible interposer}, booktitle = {{ISCAS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/ismar/WuLTH0C17, author = {Po{-}Chen Wu and Yueh{-}Ying Lee and Hung{-}Yu Tseng and Hsuan{-}I Ho and Ming{-}Hsuan Yang and Shao{-}Yi Chien}, title = {A Benchmark Dataset for 6DoF Object Pose Tracking}, booktitle = {{ISMAR} Adjunct}, pages = {186--191}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/ispan/ChenWCCCHC17, author = {Hsian{-}Min Chen and Hsin Che Wang and Yung{-}Chieh Chang and Jyh Wen Chai and Clayton Chi{-}Chang Chen and Che{-}Lun Hung and Chein{-}I Chang}, title = {A Supervised Hybrid Classifier for Brain Tissues and White Matter Lesions on Multispectral {MRI}}, booktitle = {{ISPAN-FCST-ISCC}}, pages = {375--379}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/ispan/TsaiZHC17, author = {Hsin{-}Yi Tsai and Hanyu Zhang and Che{-}Lun Hung and Hsian{-}Min Chen}, title = {GPU-based Gray-Level Co-occurrence Matrix for Extracting Features from Magnetic Resonance Images}, booktitle = {{ISPAN-FCST-ISCC}}, pages = {391--396}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/isscc/ChangCCSCFLLHLL17, author = {Jonathan Chang and Yen{-}Huei Chen and Wei{-}Min Chan and Sahil Preet Singh and Hank Cheng and Hidehiro Fujiwara and Jih{-}Yu Lin and Kao{-}Cheng Lin and John Hung and Robin Lee and Hung{-}Jen Liao and Jhon{-}Jhy Liaw and Quincy Li and Chih{-}Yung Lin and Mu{-}Chi Chiang and Shien{-}Yang Wu}, title = {12.1 {A} 7nm 256Mb {SRAM} in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications}, booktitle = {{ISSCC}}, pages = {206--207}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/isscc/ChenLCCLCLCLDWH17, author = {Tsung{-}Ming Chen and Yi Lu and Pang{-}Ning Chen and Yu{-}Hsien Chang and Ming{-}Chung Liu and Po{-}Yu Chang and Chia{-}Jen Liang and Yi{-}Chu Chen and Hsi{-}Liang Lu and Jian{-}Yu Ding and Chin{-}Chung Wang and YuLi Hsueh and Jen{-}Che Tsai and Min{-}Shun Hsu and Yuan{-}Hung Chung and George Chien}, title = {7.1 An 802.11ac dual-band reconfigurable transceiver supporting up to four {VHT80} spatial streams with 116fsrms-jitter frequency synthesizer and integrated {LNA/PA} delivering 256QAM 19dBm per stream achieving 1.733Gb/s {PHY} rate}, booktitle = {{ISSCC}}, pages = {126--127}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/isscc/TingWWWWLTYHLHH17, author = {Tah{-}Kang Joseph Ting and Gyh{-}Bin Wang and Ming{-}Hung Wang and Chun{-}Peng Wu and Chun{-}Kai Wang and Chun{-}Wei Lo and Li{-}Chin Tien and Der{-}Min Yuan and Yung{-}Ching Hsieh and Jenn{-}Shiang Lai and Wen{-}Pin Hsu and Chien{-}Chih Huang and Chi{-}Kang Chen and Yung{-}Fa Chou and Ding{-}Ming Kwai and Zhe Wang and Wei Wu and Shigeki Tomishima and Patrick Stolt and Shih{-}Lien Lu}, title = {23.9 An 8-channel 4.5Gb 180GB/s 18ns-row-latency {RAM} for the last level cache}, booktitle = {{ISSCC}}, pages = {404--405}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/isvlsi/FangLLHCCLKC17, author = {Sheng{-}Hsin Fang and Chang{-}Tzu Lin and Wei{-}Hsun Liao and Chien{-}Chia Huang and Li{-}Chin Chen and Hung{-}Ming Chen and I{-}Hsuan Lee and Ding{-}Ming Kwai and Yung{-}Fa Chou}, title = {On Tolerating Faults of TSV/Microbumps for Power Delivery Networks in 3D {IC}}, booktitle = {{ISVLSI}}, pages = {459--464}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/itc-asia/ChenLW17, author = {Hao Chen and Hung{-}Chih Lin and Min{-}Jer Wang}, title = {Fan-out wafer level chip scale package testing}, booktitle = {ITC-Asia}, pages = {84--89}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/itc-asia/ChiuTLWLW17, author = {Tang{-}Jung Chiu and Yu{-}Lun Tseng and Yen{-}Cheng Lin and Yi{-}Chen Wang and Hung{-}Chih Lin and Min{-}Jer Wang}, title = {Testing-for-manufacturing {(TFM)} for ultra-thin {IPD} on InFO}, booktitle = {ITC-Asia}, pages = {90--95}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/medinfo/LiangLCCCCW17, author = {Nai{-}Wen Liang and Hung{-}Yi Liu and Chun{-}En Chien and Qi{-}Guang Chen and Po{-}Lun Chang and Wei{-}Ming Chen and Bo{-}Gui Wu}, title = {Developing Mobile Health Management System for Patients with Musculoskeletal Tumor}, booktitle = {MedInfo}, series = {Studies in Health Technology and Informatics}, volume = {245}, pages = {1233}, publisher = {{IOS} Press}, year = {2017} }
@inproceedings{DBLP:conf/miccai/YangWLMCCW17, author = {Xin Yang and Zhiwei Wang and Chaoyue Liu and Hung Le Minh and Jingyu Chen and Kwang{-}Ting (Tim) Cheng and Liang Wang}, title = {Joint Detection and Diagnosis of Prostate Cancer in Multi-parametric {MRI} Based on Multimodal Convolutional Neural Networks}, booktitle = {{MICCAI} {(3)}}, series = {Lecture Notes in Computer Science}, volume = {10435}, pages = {426--434}, publisher = {Springer}, year = {2017} }
@inproceedings{DBLP:conf/prdc/ChenCTYTLHL17, author = {Hung{-}Li Chen and Jia{-}Yang Chen and Yao{-}Tung Tsou and Chia{-}Mu Yu and Bo{-}Chen Tai and Szu{-}Chuang Li and Yennun Huang and Chia{-}Ming Lin}, title = {Evaluating the Risk of Data Disclosure Using Noise Estimation for Differential Privacy}, booktitle = {{PRDC}}, pages = {339--347}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/racs/NguyenHK17, author = {Minh Nguyen and Chih{-}Cheng Hung and Mingon Kang}, title = {A Comparison on Sparse Coding and Moran's {I} Method for Image Denoising}, booktitle = {{RACS}}, pages = {89--93}, publisher = {{ACM}}, year = {2017} }
@inproceedings{DBLP:conf/rfid/HungCLTLWCCCHYL17, author = {Ming{-}Hao Hung and Chung{-}Hung Chen and Yi{-}Cheng Lai and Kuan{-}Wen Tung and Wei{-}Ting Lin and Hsiu{-}Hua Wang and Feng{-}Jui Chan and Chun{-}Cheng Cheng and Chin{-}Tang Chuang and Yu{-}Sheng Huang and Cheng{-}Nan Yeh and Chu{-}Yu Liu and Jen{-}Pei Tseng and Min{-}Feng Chiang and Yu{-}Chieh Lin}, title = {Ultra low voltage 1-V {RFID} tag implement in a-IGZO {TFT} technology on plastic}, booktitle = {{RFID}}, pages = {193--197}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/rocling/ChangLWJ17, author = {Cheng{-}Jo Ray Chang and Hung{-}Shin Lee and Hsin{-}Min Wang and Jyh{-}Shing Roger Jang}, title = {{\unicode{22522}}{\unicode{26044}}i-vector{\unicode{33287}}PLDA{\unicode{20006}}{\unicode{20351}}{\unicode{29992}}GMM-HMM{\unicode{24375}}{\unicode{21046}}{\unicode{23565}}{\unicode{20301}}{\unicode{20043}}{\unicode{33258}}{\unicode{21205}}{\unicode{35486}}{\unicode{32773}}{\unicode{20998}}{\unicode{27573}}{\unicode{27161}}{\unicode{35352}}{\unicode{31995}}{\unicode{32113}} (Speaker Diarization based on I-vector {PLDA} Scoring and using {GMM-HMM} Forced Alignment) [In Chinese]}, booktitle = {{ROCLING}}, pages = {119--135}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2017} }
@inproceedings{DBLP:conf/sac/ChenCKYCH17, author = {Tseng{-}Yi Chen and Yuan{-}Hao Chang and Yuan{-}Hung Kuan and Ming{-}Chang Yang and Yu{-}Ming Chang and Pi{-}Cheng Hsiu}, title = {A pattern-aware write strategy to enhance the reliability of flash-memory storage systems}, booktitle = {{SAC}}, pages = {1460--1466}, publisher = {{ACM}}, year = {2017} }
@inproceedings{DBLP:conf/siggrapha/TsouHLTHLWLC17, author = {Chia{-}Hung Tsou and Ting{-}Wei Hsu and Chun{-}Heng Lin and Ming{-}Han Tsai and Pei{-}Hsien Hsu and I{-}Chen Lin and Yu{-}Shuen Wang and Wen{-}Chieh Lin and Jung{-}Hong Chuang}, title = {Immersive {VR} environment for architectural design education}, booktitle = {{SIGGRAPH} {ASIA} (Posters)}, pages = {55:1--55:2}, publisher = {{ACM}}, year = {2017} }
@inproceedings{DBLP:conf/smc/GomezWCC17, author = {Jose Luis Garcia Gomez and Robert Wang and Ming{-}Hung Chen and Cheng{-}Fu Chou}, title = {{ETMP-BGP:} Effective tunnel-based multi-path {BGP} routing using software-defined networking}, booktitle = {{SMC}}, pages = {420--425}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/soca/HsuGTLCH17, author = {Hung{-}Min Hsu and Chen{-}Sheng Gu and Lee{-}Tse Ting and Chengyu Liu and Ray{-}I Chang and Jan{-}Ming Ho}, title = {Resource Delivery Service System for User Engagement Improvement}, booktitle = {{SOCA}}, pages = {234--238}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/taai/ChenHCWTY17, author = {Lieu{-}Hen Chen and Hao{-}Ming Hung and Chun{-}Yu Chen and Hsiao{-}Kuang Wu and Yasufumi Takama and Toru Yamaguchi}, title = {3D Visualization of Earthquake Big Data}, booktitle = {{TAAI}}, pages = {72--75}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/taai/TsaiCLHT17, author = {Cheng{-}Hung Tsai and Cheng{-}Hao Chu and Sun{-}Wei Liu and Sun{-}Yuan Hsieh and Vincent S. Tseng}, title = {Mining Life Patterns from Wearable Sensors Data for Elderly Anomaly Detection}, booktitle = {{TAAI}}, pages = {66--71}, publisher = {{IEEE} Computer Society}, year = {2017} }
@inproceedings{DBLP:conf/vlsi-dat/HuCT17, author = {Kai{-}Yu Hu and Bo{-}Ming Chen and Chien{-}Hung Tsai}, title = {A digitally controlled buck converter with current sensor-less adaptive voltage positioning {(AVP)} mechanism}, booktitle = {{VLSI-DAT}}, pages = {1--4}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/vlsi-dat/WuCCWC17, author = {Wan{-}Ning Wu and Chen Chen and Ching{-}Yu Chin and Chun{-}Kai Wang and Hung{-}Ming Chen}, title = {An analytical placer for heterogeneous FPGAs via rough-placed packing}, booktitle = {{VLSI-DAT}}, pages = {1--4}, publisher = {{IEEE}}, year = {2017} }
@article{DBLP:journals/corr/ChenLCHFS17, author = {Tseng{-}Hung Chen and Yuan{-}Hong Liao and Ching{-}Yao Chuang and Wan Ting Hsu and Jianlong Fu and Min Sun}, title = {Show, Adapt and Tell: Adversarial Training of Cross-domain Image Captioner}, journal = {CoRR}, volume = {abs/1705.00930}, year = {2017} }
@article{DBLP:journals/corr/MaCKA17, author = {Chih{-}Yao Ma and Min{-}Hung Chen and Zsolt Kira and Ghassan AlRegib}, title = {{TS-LSTM} and Temporal-Inception: Exploiting Spatiotemporal Dynamics for Activity Recognition}, journal = {CoRR}, volume = {abs/1703.10667}, year = {2017} }
@article{DBLP:journals/corr/abs-1709-04609, author = {Jingchun Cheng and Sifei Liu and Yi{-}Hsuan Tsai and Wei{-}Chih Hung and Shalini De Mello and Jinwei Gu and Jan Kautz and Shengjin Wang and Ming{-}Hsuan Yang}, title = {Learning to Segment Instances in Videos with Spatial Propagation Network}, journal = {CoRR}, volume = {abs/1709.04609}, year = {2017} }
@article{DBLP:journals/corr/abs-1712-05895, author = {Chih{-}Cheng Chang and Pin{-}Chun Chen and Teyuh Chou and I{-}Ting Wang and Boris Hudec and Che{-}Chia Chang and Chia{-}Ming Tsai and Tian{-}Sheuan Chang and Tuo{-}Hung Hou}, title = {Mitigating Asymmetric Nonlinear Weight Update Effects in Hardware Neural Network based on Analog Resistive Synapse}, journal = {CoRR}, volume = {abs/1712.05895}, year = {2017} }
@article{DBLP:journals/bmcbi/HungCCLCLLHL16, author = {Sheng{-}Jou Hung and Yi{-}Lin Chen and Chia{-}Hung Chu and Chuan{-}Chun Lee and Wan{-}Li Chen and Ya{-}Lan Lin and Ming{-}Ching Lin and Chung{-}Liang Ho and Tsunglin Liu}, title = {TRIg: a robust alignment pipeline for non-regular T-cell receptor and immunoglobulin sequences}, journal = {{BMC} Bioinform.}, volume = {17}, pages = {433}, year = {2016} }
@article{DBLP:journals/cbm/ChenCL16, author = {Min{-}Hung Chen and Po{-}Yuan Chen and Ching{-}Hsing Luo}, title = {Quadratic adaptive algorithm for solving cardiac action potential models}, journal = {Comput. Biol. Medicine}, volume = {77}, pages = {261--273}, year = {2016} }
@article{DBLP:journals/cee/ChenLLHDC16, author = {Guan{-}Chun Chen and Chia{-}Hung Lin and Chien{-}Ming Li and Kai{-}Sheng Hsieh and Yi{-}Chun Du and Tainsong Chen}, title = {Virtual-reality simulator system for double interventional cardiac catheterization using haptic force producer with visual feedback}, journal = {Comput. Electr. Eng.}, volume = {53}, pages = {230--243}, year = {2016} }
@article{DBLP:journals/cee/PaiLCC16, author = {Neng{-}Sheng Pai and Wei{-}Cheng Li and Min{-}Hung Chou and Pi{-}Yun Chen}, title = {Flight control for a quadrotor of attitude control based on android system and using optimal-tuning design}, journal = {Comput. Electr. Eng.}, volume = {54}, pages = {170--184}, year = {2016} }
@article{DBLP:journals/cee/TsaiCHW16, author = {Ming{-}Yi Tsai and Sheng{-}Yen Chang and Jui{-}Pin Hung and Cheng{-}Chi Wang}, title = {Investigation of milling cutting forces and cutting coefficient for aluminum 6060-T6}, journal = {Comput. Electr. Eng.}, volume = {51}, pages = {320--330}, year = {2016} }
@article{DBLP:journals/cee/WangCKCS16, author = {Shu{-}Lin Wang and Young{-}Long Chen and Alex Mu{-}Hsing Kuo and Hung{-}Ming Chen and Yi{-}Shiang Shiu}, title = {Design and evaluation of a cloud-based Mobile Health Information Recommendation system on wireless sensor networks}, journal = {Comput. Electr. Eng.}, volume = {49}, pages = {221--235}, year = {2016} }
@article{DBLP:journals/cm/ChenTHCC16, author = {Ming{-}Hung Chen and Yen{-}Chen Tien and Yuan{-}Ting Huang and I{-}Hsin Chung and Cheng{-}Fu Chou}, title = {A low-latency two-tier measurement and control platform for commodity {SDN}}, journal = {{IEEE} Commun. Mag.}, volume = {54}, number = {9}, pages = {98--104}, year = {2016} }
@article{DBLP:journals/cssc/ChenCC16, author = {Hsin{-}Hung Chen and Mingchih Chen and Chun{-}Cheng Chiu}, title = {The Integration of Artificial Neural Networks and Text Mining to Forecast Gold Futures Prices}, journal = {Commun. Stat. Simul. Comput.}, volume = {45}, number = {4}, pages = {1213--1225}, year = {2016} }
@article{DBLP:journals/dss/LeeYCWS16, author = {Anthony J. T. Lee and Fu{-}Chen Yang and Chao{-}Hung Chen and Chun{-}Sheng Wang and Chih{-}Yuan Sun}, title = {Mining perceptual maps from consumer reviews}, journal = {Decis. Support Syst.}, volume = {82}, pages = {12--25}, year = {2016} }
@article{DBLP:journals/dt/LinCWLLPW16, author = {Bing{-}Yang Lin and Wan{-}Ting Chiang and Cheng{-}Wen Wu and Mincent Lee and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Configurable Cubical Redundancy Schemes for Channel-Based 3-D {DRAM} Yield Improvement}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {30--39}, year = {2016} }
@article{DBLP:journals/ejivp/ChenCLYF16, author = {Ying{-}Nong Chen and Chi{-}Hung Chuang and Hsin{-}Min Lee and Chih{-}Chang Yu and Kuo{-}Chin Fan}, title = {Fall detection in dusky environment}, journal = {{EURASIP} J. Image Video Process.}, volume = {2016}, pages = {16}, year = {2016} }
@article{DBLP:journals/evs/HungWC16, author = {Jason C. Hung and Jing{-}De Weng and Yung{-}Hui Chen}, title = {A recommendation system based on mining human portfolio for museum navigation}, journal = {Evol. Syst.}, volume = {7}, number = {2}, pages = {145--158}, year = {2016} }
@article{DBLP:journals/iet-cds/HungLCH16, author = {Jui{-}Hung Hung and Yu{-}Cheng Lin and Wei{-}Kai Cheng and Tsai{-}Ming Hsieh}, title = {Unified approach for simultaneous functional and timing {ECO}}, journal = {{IET} Circuits Devices Syst.}, volume = {10}, number = {6}, pages = {514--521}, year = {2016} }
@article{DBLP:journals/ijcim/ChenLHLTC16, author = {Chao{-}Chun Chen and Yu{-}Chuan Lin and Min{-}Hsiung Hung and Chi{-}Yin Lin and Yen{-}Ju Tsai and Fan{-}Tien Cheng}, title = {A novel cloud manufacturing framework with auto-scaling capability for the machining industry}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {29}, number = {7}, pages = {786--804}, year = {2016} }
@article{DBLP:journals/ijclclp/HsuYHLCC16, author = {Yao{-}Chi Hsu and Ming{-}Han Yang and Hsiao{-}Tsung Hung and Yi{-}Ju Lin and Kuan{-}Yu Chen and Berlin Chen}, title = {Evaluation Metric-related Optimization Methods for Mandarin Mispronunciation Detection}, journal = {Int. J. Comput. Linguistics Chin. Lang. Process.}, volume = {21}, number = {2}, year = {2016} }
@article{DBLP:journals/ijclclp/YangHHCCC16, author = {Ming{-}Han Yang and Yao{-}Chi Hsu and Hsiao{-}Tsung Hung and Ying{-}Wen Chen and Kuan{-}Yu Chen and Berlin Chen}, title = {Leveraging Multi-Task Learning with Neural Network Based Acoustic Modeling for Improved Meeting Speech Recognition}, journal = {Int. J. Comput. Linguistics Chin. Lang. Process.}, volume = {21}, number = {2}, year = {2016} }
@article{DBLP:journals/ijon/TsaiJCHSLHGHH16, author = {Tsung{-}Hung Tsai and Wei{-}Cih Jhou and Wen{-}Huang Cheng and Min{-}Chun Hu and I{-}Chao Shen and Tekoing Lim and Kai{-}Lung Hua and Ahmed Ghoneim and M. Anwar Hossain and Shintami Chusnul Hidayati}, title = {Photo sundial: Estimating the time of capture in consumer photos}, journal = {Neurocomputing}, volume = {177}, pages = {529--542}, year = {2016} }
@article{DBLP:journals/jgim/HungHYCL16, author = {Shin{-}Yuan Hung and Wei{-}Min Huang and David C. Yen and She{-}I Chang and Chien{-}Cheng Lu}, title = {Effect of Information Service Competence and Contextual Factors on the Effectiveness of Strategic Information Systems Planning in Hospitals}, journal = {J. Glob. Inf. Manag.}, volume = {24}, number = {1}, pages = {14--36}, year = {2016} }
@article{DBLP:journals/jssc/TzengKWHHHHKYLT16, author = {Te{-}Hsuen Tzeng and Chun{-}Yen Kuo and San{-}Yuan Wang and Po{-}Kai Huang and Yen{-}Ming Huang and Wei{-}Che Hsieh and Yu{-}Jie Huang and Po{-}Hung Kuo and Shih{-}An Yu and Si{-}Chen Lee and Yufeng Jane Tseng and Wei{-}Cheng Tian and Shey{-}Shi Lu}, title = {A Portable Micro Gas Chromatography System for Lung Cancer Associated Volatile Organic Compound Detection}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {259--272}, year = {2016} }
@article{DBLP:journals/jssc/WenCYCJHC16, author = {Shon{-}Hang Wen and Chien{-}Ming Chen and Cheng{-}Chung Yang and Chieh{-}Hung Chen and Jia{-}Feng Jiang and Keng{-}Jan Hsiao and Cheng{-}Yu Chien}, title = {A Load-Adaptive Class-G Headphone Amplifier With Supply-Rejection Bandwidth Enhancement Technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {10}, pages = {2241--2251}, year = {2016} }
@article{DBLP:journals/jssc/WuCCWSPHCHTPYUW16, author = {Jiangfeng Wu and Giuseppe Cusmai and Acer Wei{-}Te Chou and Tao Wang and Bo Shen and Vijayaramalingam Periasamy and Ming{-}Hung Hsieh and Chun{-}Ying Chen and Lin He and Loke Kun Tan and Aravind Padyana and Vincent Cheng{-}Hsun Yang and Gregory Unruh and Jackie Koon Lun Wong and Bryan Juo{-}Jung Hung and Massimo Brandolini and Maco Sha{-}Ting Lin and Xi Chen and Yen Ding and Yen{-}Jen Ko and Young J. Shin and Ada Hing T. Hung and Binning Chen and Cynthia Dang and Deepak Lakshminarasimhan and Iris Hong Liu and Jerry Lin and Kowen Lai and Larry Wassermann and Ayaskant Shrivastava and Chi{-}Ming Hsiao and Chun{-}Sheng Huang and Jianlong Chen and Lakshminarasimhan Krishnan and Ning{-}Yi Wang and Pin{-}En Su and Tianwei Li and Wei{-}Ta Shih and Yau{-}Cheng Yang and Peter Cangiane and Randall Perlow and William Ngai and Hanson Hung{-}Sen Huang and James Y. C. Chang and Xicheng Jiang and Ardie G. Venes and Ramon Ray Gomez}, title = {A 2.7 mW/Channel 48-1000 MHz Direct Sampling Full-Band Cable Receiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {4}, pages = {845--859}, year = {2016} }
@article{DBLP:journals/mia/YangMCSL16, author = {Xin Yang and Hung Le Minh and Kwang{-}Ting (Tim) Cheng and Kyung Hyun Sung and Wenyu Liu}, title = {Renal compartment segmentation in {DCE-MRI} images}, journal = {Medical Image Anal.}, volume = {32}, pages = {269--280}, year = {2016} }
@article{DBLP:journals/mta/LeeCHLW16, author = {Min{-}Feng Lee and Guey{-}Shya Chen and Jason C. Hung and Kuan{-}Cheng Lin and Jen{-}Chieh Wang}, title = {Data mining in emotion color with affective computing}, journal = {Multim. Tools Appl.}, volume = {75}, number = {23}, pages = {15185--15198}, year = {2016} }
@article{DBLP:journals/pajais/KuTC16, author = {Yi{-}Cheng Ku and Yi{-}Ming Tai and Chih{-}Hung Chan}, title = {Effects of Product Type and Recommendation Approach on Consumers' Intention to Purchase Recommended Products}, journal = {Pac. Asia J. Assoc. Inf. Syst.}, volume = {8}, number = {2}, pages = {2}, year = {2016} }
@article{DBLP:journals/puc/ChenCCSS16, author = {Shiuan{-}Tung Chen and Hsin{-}Ta Chiao and Shih{-}Ying Chang and Ruey{-}Kai Sheu and Hung{-}Min Sun}, title = {Personal video delivery with rapid Raptor code decoder}, journal = {Pers. Ubiquitous Comput.}, volume = {20}, number = {6}, pages = {915--931}, year = {2016} }
@article{DBLP:journals/ral/ChengTYHLWS16, author = {Fan{-}Tien Cheng and Hao Tieng and Haw Ching Yang and Min{-}Hsiung Hung and Yu{-}Chuan Lin and Chun{-}Fan Wei and Zih{-}Yan Shieh}, title = {Industry 4.1 for Wheel Machining Automation}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {1}, number = {1}, pages = {332--339}, year = {2016} }
@article{DBLP:journals/sensors/ChangCCLSLSCL16, author = {Kang{-}Ming Chang and Yu{-}Teng Chun and Sih{-}Huei Chen and Luo Lu and Hsiao{-}Ting Jannis Su and Hung{-}Meng Liang and Jayasree Santhosh and Congo Tak{-}Shing Ching and Shing{-}Hong Liu}, title = {The Evaluation of Physical Stillness with Wearable Chest and Arm Accelerometer during Chan Ding Practice}, journal = {Sensors}, volume = {16}, number = {7}, pages = {1126}, year = {2016} }
@article{DBLP:journals/sensors/HsuTYSW16, author = {Chia{-}Yu Hsu and Yuh{-}Show Tsai and Cheng{-}Shiang Yau and Hung{-}Hai Shie and Chu{-}Ming Wu}, title = {Test-Retest Reliability of an Automated Infrared-Assisted Trunk Accelerometer-Based Gait Analysis System}, journal = {Sensors}, volume = {16}, number = {8}, pages = {1156}, year = {2016} }
@article{DBLP:journals/sensors/YehLCWCCHT16, author = {Li{-}Ko Yeh and Jie{-}Chun Luo and Min{-}Chun Chen and Chih{-}Hung Wu and Jianzhang Chen and I{-}Chun Cheng and Cheng{-}Che Hsu and Wei{-}Cheng Tian}, title = {A Photoactivated Gas Detector for Toluene Sensing at Room Temperature Based on New Coral-Like ZnO Nanostructure Arrays}, journal = {Sensors}, volume = {16}, number = {11}, pages = {1820}, year = {2016} }
@article{DBLP:journals/sj/ChenTHLC16, author = {Ming{-}Hung Chen and Yu{-}Chih Tung and Shih{-}Hao Hung and Kate Ching{-}Ju Lin and Cheng{-}Fu Chou}, title = {Availability Is Not Enough: Minimizing Joint Response Time in Peer-Assisted Cloud Storage Systems}, journal = {{IEEE} Syst. J.}, volume = {10}, number = {4}, pages = {1424--1434}, year = {2016} }
@article{DBLP:journals/soco/WuYWCC16, author = {Chin{-}Chia Wu and Yunqiang Yin and Wen{-}Hsiang Wu and Hung{-}Ming Chen and Shuenn{-}Ren Cheng}, title = {Using a branch-and-bound and a genetic algorithm for a single-machine total late work scheduling problem}, journal = {Soft Comput.}, volume = {20}, number = {4}, pages = {1329--1339}, year = {2016} }
@article{DBLP:journals/speech/ChenLCWC16, author = {Kuan{-}Yu Chen and Shih{-}Hung Liu and Berlin Chen and Hsin{-}Min Wang and Hsin{-}Hsi Chen}, title = {Exploring the use of unsupervised query modeling techniques for speech recognition and summarization}, journal = {Speech Commun.}, volume = {80}, pages = {49--59}, year = {2016} }
@article{DBLP:journals/tc/LinWLLPW16, author = {Bing{-}Yang Lin and Cheng{-}Wen Wu and Mincent Lee and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {A Local Parallel Search Approach for Memory Failure Pattern Identification}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {3}, pages = {770--780}, year = {2016} }
@article{DBLP:journals/tie/ChenLH16, author = {Hung{-}Chi Chen and Che{-}Yu Lu and Li{-}Ming Huang}, title = {Decoupled Current-Balancing Control With Single-Sensor Sampling-Current Strategy For Two-Phase Interleaved Boost-Type Converters}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {3}, pages = {1507--1518}, year = {2016} }
@article{DBLP:journals/tim/BakhoumCK16, author = {Ezzat G. Bakhoum and Marvin H. M. Cheng and Roger A. Kyle}, title = {Low-Cost, High-Accuracy Method and Apparatus for Detecting Meat Spoilage}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {65}, number = {7}, pages = {1707--1715}, year = {2016} }
@article{DBLP:journals/tip/SunFCS16, author = {Min Sun and Ali Farhadi and Tseng{-}Hung Chen and Steven M. Seitz}, title = {Ranking Highlights in Personal Videos by Analyzing Edited Videos}, journal = {{IEEE} Trans. Image Process.}, volume = {25}, number = {11}, pages = {5145--5157}, year = {2016} }
@article{DBLP:journals/tmc/HsiehJTH16, author = {Hung{-}Yun Hsieh and Tzu{-}Chuan Juan and Yun{-}Da Tsai and Hong{-}Chen Huang}, title = {Minimizing Radio Resource Usage for Machine-to-Machine Communications through Data-Centric Clustering}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {15}, number = {12}, pages = {3072--3086}, year = {2016} }
@inproceedings{DBLP:conf/ACISicis/ChengHTC16, author = {Min{-}Jhih Cheng and Shiu{-}Wan Hung and Her{-}Her Tsai and Ping{-}Wen Chen}, title = {The adoption intentions of mobile applications}, booktitle = {{ICIS}}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2016} }
@inproceedings{DBLP:conf/accv/ChenZHS16, author = {Tseng{-}Hung Chen and Kuo{-}Hao Zeng and Wan Ting Hsu and Min Sun}, title = {Video Captioning via Sentence Augmentation and Spatio-Temporal Attention}, booktitle = {{ACCV} Workshops {(1)}}, series = {Lecture Notes in Computer Science}, volume = {10116}, pages = {269--286}, publisher = {Springer}, year = {2016} }
@inproceedings{DBLP:conf/amcc/Cheng0MWBSB16, author = {Marvin H. M. Cheng and Lei Jiang and Qian Mou and Steven Wheeler and Larry E. Banta and Reza Shisheie and Ezzat G. Bakhoum}, title = {Design, fabrication, and control of twisted actuated robotic device}, booktitle = {{ACC}}, pages = {1215--1220}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/apsipa/ChangCCYL16, author = {Che{-}Wei Chang and Min{-}Hung Chen and Kuan{-}Chang Chen and Chi{-}Ming Yeh and Yi{-}Chang Lu}, title = {Mask design for pinhole-array-based hand-held light field cameras with applications in depth estimation}, booktitle = {{APSIPA}}, pages = {1--4}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/apsipa/ChenLCW16, author = {Kuan{-}Yu Chen and Shih{-}Hung Liu and Berlin Chen and Hsin{-}Min Wang}, title = {A novel paragraph embedding method for spoken document summarization}, booktitle = {{APSIPA}}, pages = {1--6}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/apsipa/LiuCHCWYH16, author = {Shih{-}Hung Liu and Kuan{-}Yu Chen and Yu{-}Lun Hsieh and Berlin Chen and Hsin{-}Min Wang and Hsu{-}Chun Yen and Wen{-}Lian Hsu}, title = {Exploiting graph regularized nonnegative matrix factorization for extractive speech summarization}, booktitle = {{APSIPA}}, pages = {1--7}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/aspdac/LuCCYLCTLCCTCL16, author = {Liang{-}Ying Lu and Ching{-}Yao Chang and Zhao{-}Hong Chen and Bo{-}Ting Yeh and Tai{-}Hua Lu and Peng{-}Yu Chen and Pin{-}Hao Tang and Kuen{-}Jong Lee and Lih{-}Yih Chiou and Soon{-}Jyh Chang and Chien{-}Hung Tsai and Chung{-}Ho Chen and Jai{-}Ming Lin}, title = {A testable and debuggable dual-core system with thermal-aware dynamic voltage and frequency scaling}, booktitle = {{ASP-DAC}}, pages = {17--18}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/biocas/KuoLHCH16, author = {Chun{-}Hao Kuo and Guan{-}Ruei Lu and Tsung{-}Yi Ho and Hung{-}Ming Chen and Shiyan Hu}, title = {Placement optimization of cyber-physical digital microfluidic biochips}, booktitle = {BioCAS}, pages = {448--451}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/case/ChenHLLLL16, author = {Chao{-}Chun Chen and Min{-}Hsiung Hung and Po{-}Yi Li and Jia{-}Xuan Liu and Yu{-}Chuan Lin and Chih{-}Jen Lee}, title = {Development of a cyber-physical-style continuous yield improvement system for manufacturing industry}, booktitle = {{CASE}}, pages = {1307--1312}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/coling/ChenLCW16, author = {Kuan{-}Yu Chen and Shih{-}Hung Liu and Berlin Chen and Hsin{-}Min Wang}, title = {Learning to Distill: The Essence Vector Modeling Framework}, booktitle = {{COLING}}, pages = {358--368}, publisher = {{ACL}}, year = {2016} }
@inproceedings{DBLP:conf/dac/HuangLWLCLPW16, author = {Yu{-}Chieh Huang and Bing{-}Yang Lin and Cheng{-}Wen Wu and Mincent Lee and Hao Chen and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Efficient probing schemes for fine-pitch pads of InFO wafer-level chip-scale package}, booktitle = {{DAC}}, pages = {58:1--58:6}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/eccv/ChenHTWC16, author = {Wei{-}Yu Chen and Tzu{-}Ming Harry Hsu and Yao{-}Hung Hubert Tsai and Yu{-}Chiang Frank Wang and Ming{-}Syan Chen}, title = {Transfer Neural Trees for Heterogeneous Domain Adaptation}, booktitle = {{ECCV} {(5)}}, series = {Lecture Notes in Computer Science}, volume = {9909}, pages = {399--414}, publisher = {Springer}, year = {2016} }
@inproceedings{DBLP:conf/eccv/ZengCNS16, author = {Kuo{-}Hao Zeng and Tseng{-}Hung Chen and Juan Carlos Niebles and Min Sun}, title = {Title Generation for User Generated Videos}, booktitle = {{ECCV} {(2)}}, series = {Lecture Notes in Computer Science}, volume = {9906}, pages = {609--625}, publisher = {Springer}, year = {2016} }
@inproceedings{DBLP:conf/esscirc/JuLCWCLCCCWHWCC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chia{-}Yun Cheng and Hue{-}Min Lin and Chun{-}Chia Chen and Min{-}Hao Chiu and Ping Chao and Ming{-}Long Wu and Meng{-}Jye Hu and Sheng{-}Jen Wang and Che{-}Hong Chen and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Fu{-}Chun Yeh and Chia{-}Hung Kao and Yi{-}Chang Chen and Chia{-}Lin Ho and Yenchieh Huang and Hsiao{-}En Chen and Chih{-}Wen Yang and Hsuan{-}Wen Peng}, title = {A 2.6mm\({}^{\mbox{2}}\) 0.19nJ/pixel {VP9} and multi-standard decoder {LSI} for Android 4K {TV} applications}, booktitle = {{ESSCIRC}}, pages = {109--112}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/gcce/ChenHYCWLY16, author = {Shen{-}Li Chen and Yu{-}Ting Huang and Chih{-}Ying Yen and Kuei{-}Jyun Chen and Yi{-}Cih Wu and Jia{-}Ming Lin and Chih{-}Hung Yang}, title = {{ESD} protection design for the 45-V pLDMOS-SCR (p-n-p-arranged) devices with source-discrete distributions}, booktitle = {{GCCE}}, pages = {1--2}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/gcce/HsiehT16, author = {Cheng{-}Hung Hsieh and Zuo{-}Min Tsai}, title = {A K-band 16-way combined high power amplifier in 0.18-um {CMOS}}, booktitle = {{GCCE}}, pages = {1--2}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/gcce/SongLL16, author = {Chen{-}Pei Song and Cheng{-}Hung Lin and Shu{-}Yen Lin}, title = {Partially-stopped probabilistic min-sum algorithm for {LDPC} decoding}, booktitle = {{GCCE}}, pages = {1--2}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/glvlsi/HungFCCLL16, author = {Yu{-}Hsiang Hung and Sheng{-}Hsin Fang and Hung{-}Ming Chen and Shen{-}Min Chen and Chang{-}Tzu Lin and Chia{-}Hsin Lee}, title = {A New Methodology for Noise Sensor Placement Based on Association Rule Mining}, booktitle = {{ACM} Great Lakes Symposium on {VLSI}}, pages = {81--86}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/hci/TsaiCYCKW16, author = {Tsai{-}Hsuan Tsai and Hsien{-}Tsung Chang and Ming{-}Chun Yu and Huan{-}Ting Chen and Chun{-}Yi Kuo and Wei{-}Hung Wu}, title = {Design of a Mobile Augmented Reality Application: An Example of Demonstrated Usability}, booktitle = {{HCI} {(8)}}, series = {Lecture Notes in Computer Science}, volume = {9738}, pages = {198--205}, publisher = {Springer}, year = {2016} }
@inproceedings{DBLP:conf/hci/ZaoJCGWLLZLLCLH16, author = {John K. Zao and Tzyy{-}Ping Jung and Hung{-}Ming Chang and Tchin Tze Gan and Yu{-}Te Wang and Yuan{-}Pin Lin and Wen{-}Hao Liu and Guang{-}Yu Zheng and Chin{-}Kuo Lin and Chia{-}Hung Lin and Yu{-}Yi Chien and Fang{-}Cheng Lin and Yi{-}Pai Huang and Sergio Jose Rodriguez Mendez and Felipe A. Medeiros}, title = {Augmenting {VR/AR} Applications with {EEG/EOG} Monitoring and Oculo-Vestibular Recoupling}, booktitle = {{HCI} {(13)}}, series = {Lecture Notes in Computer Science}, volume = {9743}, pages = {121--131}, publisher = {Springer}, year = {2016} }
@inproceedings{DBLP:conf/hotchips/LinTHHCYFZCLCKT16, author = {Mu{-}Shan Lin and Chien{-}Chun Tsai and Kenny Cheng{-}Hsiang Hsieh and Wen{-}Hung Huang and Yu{-}Chi Chen and Shu{-}Chun Yang and Chin{-}Ming Fu and Hao{-}Jie Zhan and Jinn{-}Yeh Chien and Shao{-}Yu Li and Y.{-}H. Chen and C.{-}C. Kuo and Shih{-}Peng Tai and Kazuyoshi Yamada}, title = {A 16nm 256-bit wide 89.6GByte/s total bandwidth in-package interconnect with 0.3V swing and 0.062pJ/bit power in InFO package}, booktitle = {Hot Chips Symposium}, pages = {1--32}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/huc/ValladaresBCCTL16, author = {Ericka Valladares and Natalia Beneitez and Min{-}Hung Chiang and Ting Kai Chen and Da{-}Yo Tseng and Avon Lin and Kelvin Cheng{-}Yuan Li}, title = {Designing a bet placing mobile application to tackle procrastination}, booktitle = {UbiComp Adjunct}, pages = {37--40}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/i2mtc/ChenCCHH16, author = {Ming{-}Fu Chen and Chih{-}Wen Chen and Chih{-}Yen Chen and Chi{-}Hung Hwang and Liang{-}Yin Hwang}, title = {An {AOI} system development for inspecting defects on 6 surfaces of chips}, booktitle = {{I2MTC}}, pages = {1--6}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/i2mtc/HungCLHL16, author = {Min{-}Wei Hung and Wen{-}Ning Chuang and Cheng{-}Ru Li and Kuo{-}Cheng Huang and Yu{-}Hsuan Lin}, title = {Quality estimation of microlenses using a multi-channel optical coupling system}, booktitle = {{I2MTC}}, pages = {1--5}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/i2mtc/LiTCHH16, author = {Cheng{-}Ru Li and Hsin{-}Yi Tsai and Wen{-}Ning Chuang and Min{-}Wei Hung and Kuo{-}Cheng Huang}, title = {Micro droplet generated by dual-differential piezoelectric ejection for powder-based 3D printer}, booktitle = {{I2MTC}}, pages = {1--5}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/icassp/ChenLCW16, author = {Kuan{-}Yu Chen and Shih{-}Hung Liu and Berlin Chen and Hsin{-}Min Wang}, title = {Improved spoken document summarization with coverage modeling techniques}, booktitle = {{ICASSP}}, pages = {6010--6014}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/icce-tw/ChenYYCWL16, author = {Shen{-}Li Chen and Chih{-}Hung Yang and Chih{-}Ying Yen and Kuei{-}Jyun Chen and Yi{-}Cih Wu and Jia{-}Ming Lin}, title = {Design on {ESD} robustness of source-side discrete distribution in the 60-V high-voltage nLDMOS devices}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/icce-tw/WeiCWLC16, author = {Ming{-}Fan Wei and Robert Chen{-}Hao Chang and Chia{-}Yu Wang and Chih{-}Hung Lin and Hung{-}Lieh Chen}, title = {Design of a flexible {PPG} signal processing wireless device}, booktitle = {{ICCE-TW}}, pages = {1--2}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/iccel/TsaiBCJL16, author = {Cheng{-}Hung Tsai and Ying{-}Wen Bai and Po{-}Chen Chen and Roger Jia Rong Jhang and Ming{-}Bo Lin}, title = {Reduction of the standby power consumption of an automatic door system}, booktitle = {{ICCE}}, pages = {583--584}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/icebe/LiuWC16, author = {Chien{-}Hung Liu and Chia{-}Jung Wu and Hsi{-}Min Chen}, title = {Testing of AJAX-Based Web Applications Using Hierarchical State Model}, booktitle = {{ICEBE}}, pages = {250--256}, publisher = {{IEEE} Computer Society}, year = {2016} }
@inproceedings{DBLP:conf/icit2/LinC16, author = {Sheng{-}Fang Lin and Ming{-}Hung Chen}, title = {Simulation of microinverter with coupled inductors and double-boost topology for solar power conversion systems}, booktitle = {{ICIT}}, pages = {454--459}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/icmlc/YehLCL16, author = {Ming{-}Feng Yeh and Hung{-}Ching Lu and Ti{-}Hung Chen and Min{-}Shyang Leu}, title = {Modified Gaussian barebones differential evolution with hybrid crossover strategy}, booktitle = {{ICMLC}}, pages = {7--12}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/icppw/ShihLHL16, author = {Wen{-}Li Shih and Cheng{-}Yen Lin and Ming{-}Yu Hung and Jenq Kuen Lee}, title = {A Probabilistic Framework for Compiler Optimization with Multithread Power-Gating Controls}, booktitle = {{ICPP} Workshops}, pages = {281--288}, publisher = {{IEEE} Computer Society}, year = {2016} }
@inproceedings{DBLP:conf/icpr/LiaoWL16, author = {Wen{-}Hung Liao and Chia{-}Chen Wu and Ming{-}Ching Lin}, title = {Feature descriptor based on local intensity order relations of pixel group}, booktitle = {{ICPR}}, pages = {1977--1981}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/interspeech/HsuYHC16, author = {Yao{-}Chi Hsu and Ming{-}Han Yang and Hsiao{-}Tsung Hung and Berlin Chen}, title = {Mispronunciation Detection Leveraging Maximum Performance Criterion Training of Acoustic Models and Decision Functions}, booktitle = {{INTERSPEECH}}, pages = {2646--2650}, publisher = {{ISCA}}, year = {2016} }
@inproceedings{DBLP:conf/interspeech/LeeTLWLCHJ16, author = {Hung{-}Shin Lee and Yu Tsao and Chi{-}Chun Lee and Hsin{-}Min Wang and Wei{-}Cheng Lin and Wei{-}Chen Chen and Shan{-}Wen Hsiao and Shyh{-}Kang Jeng}, title = {Minimization of Regression and Ranking Losses with Shallow Neural Networks on Automatic Sincerity Evaluation}, booktitle = {{INTERSPEECH}}, pages = {2031--2035}, publisher = {{ISCA}}, year = {2016} }
@inproceedings{DBLP:conf/interspeech/LiuCHCWYH16, author = {Shih{-}Hung Liu and Kuan{-}Yu Chen and Yu{-}Lun Hsieh and Berlin Chen and Hsin{-}Min Wang and Hsu{-}Chun Yen and Wen{-}Lian Hsu}, title = {Exploring Word Mover's Distance and Semantic-Aware Embedding Techniques for Extractive Broadcast News Summarization}, booktitle = {{INTERSPEECH}}, pages = {670--674}, publisher = {{ISCA}}, year = {2016} }
@inproceedings{DBLP:conf/kdd/HungSYHLPC16, author = {Hui{-}Ju Hung and Hong{-}Han Shuai and De{-}Nian Yang and Liang{-}Hao Huang and Wang{-}Chien Lee and Jian Pei and Ming{-}Syan Chen}, title = {When Social Influence Meets Item Inference}, booktitle = {{KDD}}, pages = {915--924}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/mhci/HsiuHCLHYC16, author = {Min{-}Chieh Hsiu and Da{-}Yuan Huang and Chi An Chen and Yu{-}Chih Lin and Yi{-}Ping Hung and De{-}Nian Yang and Mike Y. Chen}, title = {ForceBoard: using force as input technique on size-limited soft keyboard}, booktitle = {MobileHCI Adjunct}, pages = {599--604}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/mhci/HsiuWHLLYHC16, author = {Min{-}Chieh Hsiu and Chiuan Wang and Da{-}Yuan Huang and Jhe{-}Wei Lin and Yu{-}Chih Lin and De{-}Nian Yang and Yi{-}Ping Hung and Mike Y. Chen}, title = {Nail+: sensing fingernail deformation to detect finger force touch interactions on rigid surfaces}, booktitle = {MobileHCI}, pages = {1--6}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/mhci/TsaiHHHCH16, author = {Hsin{-}Ruey Tsai and Min{-}Chieh Hsiu and Jui{-}Chun Hsiao and Lee{-}Ting Huang and Mike Y. Chen and Yi{-}Ping Hung}, title = {TouchRing: subtle and always-available input using a multi-touch ring}, booktitle = {MobileHCI Adjunct}, pages = {891--898}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/mm/ChenLCWC16, author = {Kuan{-}Yu Chen and Shih{-}Hung Liu and Berlin Chen and Hsin{-}Min Wang and Hsin{-}Hsi Chen}, title = {Novel Word Embedding and Translation-based Language Modeling for Extractive Speech Summarization}, booktitle = {{ACM} Multimedia}, pages = {377--381}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/nems/ChenHLCT16, author = {Ming{-}Jei Chen and Chia{-}Hao Huang and Kin Fong Lei and Wei{-}Hung Cheng and Petrus Tang}, title = {Impedimetric quantification of the density of suspending Trichomonas vaginalis}, booktitle = {{NEMS}}, pages = {490--493}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/ntcir/DayTCLCSTCHCTLL16, author = {Min{-}Yuh Day and Cheng{-}Chia Tsai and Wei{-}Chun Chuang and Jin{-}Kun Lin and Hsiu{-}Yuan Chang and Tzu{-}Jui Sun and Yuan{-}Jie Tsai and Yi{-}Heng Chiang and Cheng{-}Zhi Han and Wei{-}Ming Chen and Yun{-}Da Tsai and Yi{-}Jing Lin and Yue{-}Da Lin and Yu{-}Ming Guo and Ching{-}Yuan Chien and Cheng{-}Hung Lee}, title = {{IMTKU} Question Answering System for World History Exams at {NTCIR-12} {QA} Lab2}, booktitle = {{NTCIR}}, publisher = {National Institute of Informatics {(NII)}}, year = {2016} }
@inproceedings{DBLP:conf/rocling/HsiehLCWHC16, author = {Yu{-}Lun Hsieh and Shih{-}Hung Liu and Kuan{-}Yu Chen and Hsin{-}Min Wang and Wen{-}Lian Hsu and Berlin Chen}, title = {{\unicode{36939}}{\unicode{29992}}{\unicode{24207}}{\unicode{21015}}{\unicode{21040}}{\unicode{24207}}{\unicode{21015}}{\unicode{29983}}{\unicode{25104}}{\unicode{26550}}{\unicode{27083}}{\unicode{26044}}{\unicode{37325}}{\unicode{23531}}{\unicode{24335}}{\unicode{33258}}{\unicode{21205}}{\unicode{25688}}{\unicode{35201}}(Exploiting Sequence-to-Sequence Generation Framework for Automatic Abstractive Summarization)[In Chinese]}, booktitle = {{ROCLING}}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2016} }
@inproceedings{DBLP:conf/rocling/HsuYHLC16, author = {Yao{-}Chi Hsu and Ming{-}Han Yang and Hsiao{-}Tsung Hung and Yi{-}Ju Lin and Berlin Chen}, title = {{\unicode{35413}}{\unicode{20272}}{\unicode{23610}}{\unicode{24230}}{\unicode{30456}}{\unicode{38364}}{\unicode{26368}}{\unicode{20339}}{\unicode{21270}}{\unicode{26041}}{\unicode{27861}}{\unicode{26044}}{\unicode{33775}}{\unicode{35486}}{\unicode{37679}}{\unicode{35492}}{\unicode{30332}}{\unicode{38899}}{\unicode{27298}}{\unicode{28204}}{\unicode{20043}}{\unicode{30740}}{\unicode{31350}}(Evaluation Metric-related Optimization Methods for Mandarin Mispronunciation Detection) [In Chinese]}, booktitle = {{ROCLING}}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2016} }
@inproceedings{DBLP:conf/rocling/YangHHCCC16, author = {Ming{-}Han Yang and Yao{-}Chi Hsu and Hsiao{-}Tsung Hung and Ying{-}Wen Chen and Berlin Chen and Kuan{-}Yu Chen}, title = {{\unicode{34701}}{\unicode{21512}}{\unicode{22810}}{\unicode{20219}}{\unicode{21209}}{\unicode{23416}}{\unicode{32722}}{\unicode{39006}}{\unicode{31070}}{\unicode{32147}}{\unicode{32178}}{\unicode{36335}}{\unicode{32882}}{\unicode{23416}}{\unicode{27169}}{\unicode{22411}}{\unicode{35347}}{\unicode{32244}}{\unicode{26044}}{\unicode{26371}}{\unicode{35696}}{\unicode{35486}}{\unicode{38899}}{\unicode{36776}}{\unicode{35672}}{\unicode{20043}}{\unicode{30740}}{\unicode{31350}}(Leveraging Multi-task Learning with Neural Network Based Acoustic Modeling for Improved Meeting Speech Recognition) [In Chinese]}, booktitle = {{ROCLING}}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2016} }
@inproceedings{DBLP:conf/slip/HsuLH16, author = {Chih{-}Cheng Hsu and Mark Po{-}Hung Lin and Masanori Hashimoto}, title = {Latch Clustering for Minimizing Detection-to-Boosting Latency Toward Low-Power Resilient Circuits}, booktitle = {{SLIP}}, pages = {2:1--2:6}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/smc/ChenLHPLW16, author = {Chi{-}Jim Chen and Ying{-}Tsang Lo and Jhen{-}Li Huang and Tun{-}Wen Pai and Min{-}Hui Liu and Chao{-}Hung Wang}, title = {Feature analysis on heart failure classes and associated medications}, booktitle = {{SMC}}, pages = {1382--1387}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/uist/WangYWWTLHC16, author = {Chiuan Wang and Hsuan{-}Ming Yeh and Bryan Wang and Te{-}Yen Wu and Hsin{-}Ruey Tsai and Rong{-}Hao Liang and Yi{-}Ping Hung and Mike Y. Chen}, title = {CircuitStack: Supporting Rapid Prototyping and Evolution of Electronic Circuits}, booktitle = {{UIST}}, pages = {687--695}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/vlsic/ChenLWCLLC16, author = {Yen{-}Huei Chen and Kao{-}Cheng Lin and Ching{-}Wei Wu and Wei{-}Min Chan and Jhon{-}Jhy Liaw and Hung{-}Jen Liao and Jonathan Chang}, title = {A 16nm dual-port {SRAM} with partial suppressed word-line, dummy read recovery and negative bit-line circuitries for low {VMIN} applications}, booktitle = {{VLSI} Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/vlsic/LiTYLCHLLKHCXS16, author = {Chao{-}Chieh Li and Tsung{-}Hsien Tsai and Min{-}Shueh Yuan and Chia{-}Chun Liao and Chih{-}Hsien Chang and Tien{-}Chien Huang and Hsien{-}Yuan Liao and Chung{-}Ting Lu and Hung{-}Yi Kuo and Kenny Hsieh and Mark Chen and Augusto Ronchini Ximenes and Robert Bogdan Staszewski}, title = {A 0.034mm\({}^{\mbox{2}}\), 725fs {RMS} jitter, 1.8{\%}/V frequency-pushing, 10.8-19.3GHz transformer-based fractional-N all-digital {PLL} in 10nm FinFET {CMOS}}, booktitle = {{VLSI} Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/wacv/TsengWYC16, author = {Hung{-}Yu Tseng and Po{-}Chen Wu and Ming{-}Hsuan Yang and Shao{-}Yi Chien}, title = {Direct 3D pose estimation of a planar target}, booktitle = {{WACV}}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2016} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.