Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Ricardo Ferreira"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/CarvalhoRC24, author = {Sa{\'{u}}l S. Carvalho and Jo{\~{a}}o Ricardo Reis and Rafael F. S. Caldeirinha}, title = {A State-of-the-Art Review on 4D Printed Antennas and Other Adaptable Designs}, journal = {{IEEE} Access}, volume = {12}, pages = {62861--62881}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3394950}, doi = {10.1109/ACCESS.2024.3394950}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/CarvalhoRC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CarvalhoRMC24, author = {Sa{\'{u}}l S. Carvalho and Jo{\~{a}}o Ricardo Reis and Artur Mateus and Rafael F. S. Caldeirinha}, title = {Exploring Design Approaches for 3D Printed Antennas}, journal = {{IEEE} Access}, volume = {12}, pages = {10718--10735}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3354372}, doi = {10.1109/ACCESS.2024.3354372}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/CarvalhoRMC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/CavalcantiDantasFDUMJCF24, author = {Vanessa de Melo Cavalcanti{-}Dantas and Brenda Fernandes and Pedro Henrique Lopes Ferreira Dantas and Glaucielle Ramalho Uchoa and Andrei F{\'{e}}lix Mendes and Waldecir Oliveira de Ara{\'{u}}jo J{\'{u}}nior and L{\'{u}}cio Roberto Can{\c{c}}ado Castellano and Ana Isabel Vieira Fernandes and Luiz Ricardo Goulart and Renato Ant{\^{o}}nio dos Santos Oliveira and Priscilla Anne Castro de Assis and Joelma Rodrigues De Souza and Clarice Neuenschwander Lins de Morais}, title = {Differential epitope prediction across diverse circulating variants of {SARS-COV-2} in Brazil}, journal = {Comput. Biol. Chem.}, volume = {112}, pages = {108139}, year = {2024}, url = {https://doi.org/10.1016/j.compbiolchem.2024.108139}, doi = {10.1016/J.COMPBIOLCHEM.2024.108139}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/CavalcantiDantasFDUMJCF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/FerreiraRRSS24, author = {Orizon Pereira Ferreira and Ricardo de Andrade Lira Rabelo and P. H. A. Ribeiro and Elianderson M. Santos and Jo{\~{a}}o Carlos de Oliveira Souza}, title = {Image denoising with a non-monotone boosted {DCA} for non-convex models}, journal = {Comput. Electr. Eng.}, volume = {117}, pages = {109306}, year = {2024}, url = {https://doi.org/10.1016/j.compeleceng.2024.109306}, doi = {10.1016/J.COMPELECENG.2024.109306}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/FerreiraRRSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computers/MoraisCC24, author = {Ricardo Morais and Ant{\'{o}}nio Miguel Rosado da Cruz and Estrela Ferreira Cruz}, title = {Fruit and Vegetables Blockchain-Based Traceability Platform}, journal = {Comput.}, volume = {13}, number = {5}, pages = {112}, year = {2024}, url = {https://doi.org/10.3390/computers13050112}, doi = {10.3390/COMPUTERS13050112}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computers/MoraisCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/datamine/MantovaniHRCJVC24, author = {Rafael Gomes Mantovani and Tom{\'{a}}s Horv{\'{a}}th and Andr{\'{e}} L. D. Rossi and Ricardo Cerri and Sylvio Barbon Junior and Joaquin Vanschoren and Andr{\'{e}} C. P. L. F. de Carvalho}, title = {Better trees: an empirical study on hyperparameter tuning of classification decision tree induction algorithms}, journal = {Data Min. Knowl. Discov.}, volume = {38}, number = {3}, pages = {1364--1416}, year = {2024}, url = {https://doi.org/10.1007/s10618-024-01002-5}, doi = {10.1007/S10618-024-01002-5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/datamine/MantovaniHRCJVC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/MachadoMCV24, author = {Andr{\'{e}} Paulo Ferreira Machado and Celso Jos{\'{e}} Munaro and Patrick Marques Ciarelli and Ricardo Emanuel Vaz Vargas}, title = {Time series clustering to improve one-class classifier performance}, journal = {Expert Syst. Appl.}, volume = {243}, pages = {122895}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122895}, doi = {10.1016/J.ESWA.2023.122895}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/MachadoMCV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FerreiraFFL24, author = {Ricardo Coelho Ferreira and Gustavo Fraidenraich and Felipe A. P. de Figueiredo and Eduardo Rodrigues de Lima}, title = {Secrecy Analysis of a Mu-MIMO LIS-Aided Communication Systems under Nakagami-m Fading Channels}, journal = {Sensors}, volume = {24}, number = {11}, pages = {3332}, year = {2024}, url = {https://doi.org/10.3390/s24113332}, doi = {10.3390/S24113332}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/FerreiraFFL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/Fagundes-Junior24, author = {Leonardo Fagundes{-}Junior and Kevin Braathen de Carvalho and Ricardo S. Ferreira and Alexandre Santos Brand{\~{a}}o}, title = {Machine Learning for Unmanned Aerial Vehicles Navigation: An Overview}, journal = {{SN} Comput. Sci.}, volume = {5}, number = {2}, pages = {256}, year = {2024}, url = {https://doi.org/10.1007/s42979-023-02592-5}, doi = {10.1007/S42979-023-02592-5}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sncs/Fagundes-Junior24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/SilvaFGFC24, author = {Joana R. B. Silva and Fernando A. F. Ferreira and Kannan Govindan and Neuza C. M. Q. F. Ferreira and Ricardo J. C. Correia}, title = {A {CM-BWM} Approach to Determinants of Open Innovation in Small and Medium-Sized Enterprises}, journal = {{IEEE} Trans. Engineering Management}, volume = {71}, pages = {2561--2578}, year = {2024}, url = {https://doi.org/10.1109/TEM.2022.3171591}, doi = {10.1109/TEM.2022.3171591}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/SilvaFGFC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dlog/LacerdaO024, author = {Victor Lacerda and Ana Ozaki and Ricardo Guimar{\~{a}}es}, editor = {Laura Giordano and Jean Christoph Jung and Ana Ozaki}, title = {Strong Faithfulness for {ELH} Ontology Embeddings (Extended Abstract)}, booktitle = {Proceedings of the 37th International Workshop on Description Logics {(DL} 2024), Bergen, Norway, June 18-21, 2024}, series = {{CEUR} Workshop Proceedings}, volume = {3739}, publisher = {CEUR-WS.org}, year = {2024}, url = {https://ceur-ws.org/Vol-3739/abstract-16.pdf}, timestamp = {Wed, 14 Aug 2024 15:24:13 +0200}, biburl = {https://dblp.org/rec/conf/dlog/LacerdaO024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/FerreiraRR24, author = {Marcos V. Ferreira and Tatiane Nogueira Rios and Ricardo Ara{\'{u}}jo Rios}, title = {Fuzzifying Chaos in Dynamical Systems}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2024, Yokohama, Japan, June 30 - July 5, 2024}, pages = {1--8}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/FUZZ-IEEE60900.2024.10612167}, doi = {10.1109/FUZZ-IEEE60900.2024.10612167}, timestamp = {Tue, 13 Aug 2024 15:05:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/FerreiraRR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarsc/FonsecaLFSSR24, author = {Tiago Fonseca and Gon{\c{c}}alo Le{\~{a}}o and Luis Lino Ferreira and Armando Sousa and Ricardo Severino and Lu{\'{\i}}s Paulo Reis}, editor = {Cristina Santos and Eurico Pedrosa and Jos{\'{e}} L. Lima and Lu{\'{\i}}s Garrote and Lu{\'{\i}}s Louro and Pedro Fonseca and Sara Paiva and V{\'{\i}}tor H. Pinto}, title = {Multi-Agent Reinforcement Learning for Side-by-Side Navigation of Autonomous Wheelchairs}, booktitle = {{IEEE} International Conference on Autonomous Robot Systems and Competitions, {ICARSC} 2024, Paredes de Coura, Portugal, May 2-3, 2024}, pages = {138--143}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICARSC61747.2024.10535919}, doi = {10.1109/ICARSC61747.2024.10535919}, timestamp = {Mon, 10 Jun 2024 16:03:53 +0200}, biburl = {https://dblp.org/rec/conf/icarsc/FonsecaLFSSR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/AleixoESFS24, author = {Sandra M. Aleixo and Ricardo Engui{\c{c}}a and Francisco Sedas and Paulo Ferreira and Andr{\'{e}} Silva}, editor = {Osvaldo Gervasi and Beniamino Murgante and Chiara Garau and David Taniar and Ana Maria A. C. Rocha and Noelia Faginas Lago}, title = {Regression Models in Lubricant Oil Quality Assessment}, booktitle = {Computational Science and Its Applications - {ICCSA} 2024 Workshops - Hanoi, Vietnam, July 1-4, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14815}, pages = {393--411}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-65154-0\_24}, doi = {10.1007/978-3-031-65154-0\_24}, timestamp = {Tue, 06 Aug 2024 21:14:46 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/AleixoESFS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/AlvimSALSO24, author = {Patrick B. N. Alvim and Jonathan C. F. da Silva and Vicente J. P. Amorim and Pedro S. O. Lazaroni and Mateus Coelho Silva and Ricardo A. R. Oliveira}, editor = {Joaquim Filipe and Michal Smialek and Alexander Brodsky and Slimane Hammoudi}, title = {The Power of Gyroscope Data: Advancing Human Movement Analysis for Walking and Running Activities}, booktitle = {Proceedings of the 26th International Conference on Enterprise Information Systems, {ICEIS} 2024, Angers, France, April 28-30, 2024, Volume 1}, pages = {510--519}, publisher = {{SCITEPRESS}}, year = {2024}, url = {https://doi.org/10.5220/0012702600003690}, doi = {10.5220/0012702600003690}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceis/AlvimSALSO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/propor/OliveiraRFSC24, author = {Hugo Gon{\c{c}}alo Oliveira and Ricardo Rodrigues and Bruno Ferreira and Purifica{\c{c}}{\~{a}}o Silvano and Sara Carvalho}, editor = {Pablo Gamallo and Daniela Claro and Ant{\'{o}}nio J. S. Teixeira and Livy Real and Marcos Garc{\'{\i}}a and Hugo Gon{\c{c}}alo Oliveira and Raquel Amaro}, title = {{BATS-PT:} Assessing Portuguese Masked Language Models in Lexico-Semantic Analogy Solving and Relation Completion}, booktitle = {Proceedings of the 16th International Conference on Computational Processing of Portuguese, {PROPOR} 2024, Santiago de Compostela, Galicia/Spain, 12-15 March, 2024}, pages = {207--217}, publisher = {Association for Computational Lingustics}, year = {2024}, url = {https://aclanthology.org/2024.propor-1.21}, timestamp = {Thu, 04 Apr 2024 08:26:29 +0200}, biburl = {https://dblp.org/rec/conf/propor/OliveiraRFSC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visigrapp/BarbosaMSMB24, author = {Gleidson Vinicius Gomes Barbosa and Larissa Ferreira Rodrigues Moreira and Pedro Moises de Sousa and Rodrigo Moreira and Andr{\'{e}} Ricardo Backes}, editor = {Petia Radeva and Antonino Furnari and Kadi Bouatouch and A. Augusto de Sousa}, title = {Optimization and Learning Rate Influence on Breast Cancer Image Classification}, booktitle = {Proceedings of the 19th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, {VISIGRAPP} 2024, Volume 3: VISAPP, Rome, Italy, February 27-29, 2024}, pages = {792--799}, publisher = {{SCITEPRESS}}, year = {2024}, url = {https://doi.org/10.5220/0012507100003660}, doi = {10.5220/0012507100003660}, timestamp = {Mon, 08 Apr 2024 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/visigrapp/BarbosaMSMB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visigrapp/FerreiraSEBM24, author = {Jocsan Ribeiro da Luz Ferreira and Leandro Henrique Furtado Pinto Silva and Maur{\'{\i}}cio Cunha Escarpinati and Andr{\'{e}} Ricardo Backes and Jo{\~{a}}o Fernando Mari}, editor = {Petia Radeva and Antonino Furnari and Kadi Bouatouch and A. Augusto de Sousa}, title = {Evaluating Multiple Combinations of Models and Encoders to Segment Clouds in Satellite Images}, booktitle = {Proceedings of the 19th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, {VISIGRAPP} 2024, Volume 3: VISAPP, Rome, Italy, February 27-29, 2024}, pages = {233--241}, publisher = {{SCITEPRESS}}, year = {2024}, url = {https://doi.org/10.5220/0012506700003660}, doi = {10.5220/0012506700003660}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/visigrapp/FerreiraSEBM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/worldcist/FreitasS0PFD24, author = {Josu{\'{e}} Freitas and Crist{\'{o}}v{\~{a}}o Sousa and Carla Pereira and Pedro Pinto and Ricardo Ferreira and Rui Diogo}, editor = {{\'{A}}lvaro Rocha and Hojjat Adeli and Gintautas Dzemyda and Fernando Moreira and Aneta Poniszewska{-}Maranda}, title = {Industrial Data Sharing Ecosystems: An Innovative Value Chain Traceability Platform Based in Data Spaces}, booktitle = {Good Practices and New Perspectives in Information Systems and Technologies - WorldCIST 2024, Volume 3, Lodz, Poland, 26-28 March 2024}, series = {Lecture Notes in Networks and Systems}, volume = {987}, pages = {423--432}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-60221-4\_40}, doi = {10.1007/978-3-031-60221-4\_40}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/worldcist/FreitasS0PFD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-02361, author = {Tiago Fonseca and Luis Lino Ferreira and Bernardo Cabral and Ricardo Severino and Isabel Pra{\c{c}}a}, title = {EnergAIze: Multi Agent Deep Deterministic Policy Gradient for Vehicle to Grid Energy Management}, journal = {CoRR}, volume = {abs/2404.02361}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.02361}, doi = {10.48550/ARXIV.2404.02361}, eprinttype = {arXiv}, eprint = {2404.02361}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-02361.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-06521, author = {Tiago Fonseca and Luis Lino Ferreira and Bernardo Cabral and Ricardo Severino and Kingsley Nweye and Dipanjan Ghose and Zolt{\'{a}}n Nagy}, title = {EVLearn: Extending the CityLearn Framework with Electric Vehicle Simulation}, journal = {CoRR}, volume = {abs/2404.06521}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.06521}, doi = {10.48550/ARXIV.2404.06521}, eprinttype = {arXiv}, eprint = {2404.06521}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-06521.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JuniorFFFL23, author = {Murilo Alencar Alves Junior and Gustavo Fraidenraich and Ricardo Coelho Ferreira and Felipe A. P. de Figueiredo and Eduardo Rodrigues de Lima}, title = {Multiple-Antenna Weibull-Fading Wireless Communications Enhanced by Reconfigurable Intelligent Surfaces}, journal = {{IEEE} Access}, volume = {11}, pages = {107218--107236}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3310936}, doi = {10.1109/ACCESS.2023.3310936}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JuniorFFFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MartinsCMBDCMCARNMWFMPSSMRCS23, author = {Joberto S. B. Martins and Tereza Cristina M. B. Carvalho and Rodrigo Moreira and Cristiano Bonato Both and Adnei W. Donatti and Jo{\~{a}}o Henrique G. M. Corr{\^{e}}a and Jos{\'{e}} A. S. Monteiro and Sand Luz Correa and Ant{\^{o}}nio J. G. Abel{\'{e}}m and Mois{\'{e}}s R. N. Ribeiro and Jos{\'{e}} Marcos S. Nogueira and Luiz Claudio Schara Magalh{\~{a}}es and Juliano Araujo Wickboldt and Tiago C. Ferreto and Ricardo C. de Mello and Rafael Pasquini and Marcos F. Schwarz and Leobino N. Sampaio and Daniel F. Macedo and Jos{\'{e}} Ferreira de Rezende and Kleber Vieira Cardoso and Fl{\'{a}}vio Oliveira Silva}, title = {Enhancing Network Slicing Architectures With Machine Learning, Security, Sustainability and Experimental Networks Integration}, journal = {{IEEE} Access}, volume = {11}, pages = {69144--69163}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3292788}, doi = {10.1109/ACCESS.2023.3292788}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MartinsCMBDCMCARNMWFMPSSMRCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MartinsL23, author = {Ricardo M. F. Martins and Nuno Louren{\c{c}}o}, title = {Analog Integrated Circuit Routing Techniques: An Extensive Review}, journal = {{IEEE} Access}, volume = {11}, pages = {35965--35983}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3265481}, doi = {10.1109/ACCESS.2023.3265481}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MartinsL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MatalotoFR23, author = {Bruno Mataloto and Jo{\~{a}}o Ferreira and Ricardo Resende}, title = {Long Term Energy Savings Through User Behavior Modeling in Smart Homes}, journal = {{IEEE} Access}, volume = {11}, pages = {44544--44558}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3272888}, doi = {10.1109/ACCESS.2023.3272888}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MatalotoFR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RamalhoCMIFF23, author = {Leonardo Ramalho and Ilan Correa and Marcos Eduardo Pivaro Monteiro and Diego Issicaba and Tarso V. Ferreira and Ricardo A. O. De Faria}, title = {A SBC-Based Data Acquisition System: {A} Case Study on Smart Reclosers and Multiagent Systems}, journal = {{IEEE} Access}, volume = {11}, pages = {48988--49001}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3276720}, doi = {10.1109/ACCESS.2023.3276720}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RamalhoCMIFF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/VieiraPMHL23, author = {Rafael Vieira and F{\'{a}}bio Passos and Ricardo Martins and Nuno Horta and Nuno Louren{\c{c}}o}, title = {Behavioral Analysis of Noise and Bandwidth Specifications of Heartbeat Detection Circuits for Ultra Low Power Devices}, journal = {{IEEE} Access}, volume = {11}, pages = {27330--27341}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3255166}, doi = {10.1109/ACCESS.2023.3255166}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/VieiraPMHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/MoreiraMTB23, author = {Larissa Ferreira Rodrigues Moreira and Rodrigo Moreira and Bruno Augusto Nassif Traven{\c{c}}olo and Andr{\'{e}} Ricardo Backes}, title = {An Artificial Intelligence-as-a-Service Architecture for deep learning model embodiment on low-cost devices: {A} case study of {COVID-19} diagnosis}, journal = {Appl. Soft Comput.}, volume = {134}, pages = {110014}, year = {2023}, url = {https://doi.org/10.1016/j.asoc.2023.110014}, doi = {10.1016/J.ASOC.2023.110014}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/MoreiraMTB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/MarinhoVVL23, author = {Ricardo Pagoto Marinho and Luiz Filipe M. Vieira and Marcos A. M. Vieira and Antonio A. F. Loureiro}, title = {{CAIN:} An energy-aware and intelligent increasing coverage area routing protocol for future 6G networks}, journal = {Comput. Networks}, volume = {228}, pages = {109733}, year = {2023}, url = {https://doi.org/10.1016/j.comnet.2023.109733}, doi = {10.1016/J.COMNET.2023.109733}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/MarinhoVVL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/BragancaCPCNF23, author = {Lucas Bragan{\c{c}}a and Michael Canesche and Jeronimo Costa Penha and Josu{\'{e}} Campos and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {Fast flow cloud: {A} stream dataflow framework for cloud {FPGA} accelerator overlays at runtime}, journal = {Concurr. Comput. Pract. Exp.}, volume = {35}, number = {17}, year = {2023}, url = {https://doi.org/10.1002/cpe.6977}, doi = {10.1002/CPE.6977}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/BragancaCPCNF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/CarvalhoCRNF23, author = {Westerley Carvalho and Michael Canesche and Lucas Reis and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {Heterogeneous reconfigurable architectures for machine learning dataflows}, journal = {Concurr. Comput. Pract. Exp.}, volume = {35}, number = {17}, year = {2023}, url = {https://doi.org/10.1002/cpe.6939}, doi = {10.1002/CPE.6939}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/CarvalhoCRNF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/FagundesJuniorCFB23, author = {Leonardo Fagundes{-}Junior and Michael Canesche and Ricardo S. Ferreira and Alexandre Santos Brand{\~{a}}o}, title = {High-performance graphics processing unit-based strategy for tuning a unmanned aerial vehicle controller subject to time-delay constraints}, journal = {Concurr. Comput. Pract. Exp.}, volume = {35}, number = {24}, year = {2023}, url = {https://doi.org/10.1002/cpe.7767}, doi = {10.1002/CPE.7767}, timestamp = {Wed, 27 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/FagundesJuniorCFB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/PenhaBCRNF23, author = {Jeronimo Costa Penha and Lucas Bragan{\c{c}}a and Michael Canesche and Dener Ribeiro and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {Gene regulatory accelerators on cloud {FPGA}}, journal = {Concurr. Comput. Pract. Exp.}, volume = {35}, number = {24}, year = {2023}, url = {https://doi.org/10.1002/cpe.7822}, doi = {10.1002/CPE.7822}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/PenhaBCRNF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/VilelaNPSS23, author = {Ricardo Ferreira Vilela and Jo{\~{a}}o Choma Neto and Victor Hugo Santiago Costa Pinto and Paulo Sergio Lopes de Souza and Simone do R{\'{o}}cio Senger de Souza}, title = {Bio-inspired optimization to support the test data generation of concurrent software}, journal = {Concurr. Comput. Pract. Exp.}, volume = {35}, number = {2}, year = {2023}, url = {https://doi.org/10.1002/cpe.7489}, doi = {10.1002/CPE.7489}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/VilelaNPSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/ProencaFerreiraBPMMP23, author = {Ant{\'{o}}nio Proen{\c{c}}a{-}Ferreira and Lu{\'{\i}}s Borda{-}de{-}{\'{A}}gua and Miguel Porto and Ant{\'{o}}nio Mira and Francisco Moreira and Ricardo Pita}, title = {\emph{dispfit}: An {R} package to estimate species dispersal kernels}, journal = {Ecol. Informatics}, volume = {75}, pages = {102018}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2023.102018}, doi = {10.1016/J.ECOINF.2023.102018}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/ProencaFerreiraBPMMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fbinf/FerreiraNRL23, author = {Marcos Vinicius Ferreira and Tatiane Nogueira Rios and Ricardo Ara{\'{u}}jo Rios and Tiago J. S. Lopes}, title = {A graph-based machine learning framework identifies critical properties of {FVIII} that lead to hemophilia {A}}, journal = {Frontiers Bioinform.}, volume = {3}, year = {2023}, url = {https://doi.org/10.3389/fbinf.2023.1152039}, doi = {10.3389/FBINF.2023.1152039}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fbinf/FerreiraNRL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbis/PereiraCDGS23, author = {Leandro Ferreira Pereira and Renato Lopes da Costa and {\'{A}}lvaro Dias and Rui Gon{\c{c}}alves and Ricardo Santos}, title = {How can you manage the knowledge of your projects?}, journal = {Int. J. Bus. Inf. Syst.}, volume = {44}, number = {2}, pages = {180--201}, year = {2023}, url = {https://doi.org/10.1504/IJBIS.2023.134947}, doi = {10.1504/IJBIS.2023.134947}, timestamp = {Wed, 06 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbis/PereiraCDGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/SilvaEMGSMRMF23, author = {Alberto Rodrigues da Silva and Jacinto Estima and Jorge Marques and Ivo Gamito and Alexandre Magalh{\~{a}}es Serra and Leonardo Moura and Ana Ricardo and Lu{\'{\i}}s Mendes and Rui Ferreira}, title = {A Web {GIS} Platform to Modeling, Simulate and Analyze Flood Events: The RiverCure Portal}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {12}, number = {7}, pages = {268}, year = {2023}, url = {https://doi.org/10.3390/ijgi12070268}, doi = {10.3390/IJGI12070268}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgi/SilvaEMGSMRMF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/GomesTC23, author = {Luiz Alberto Ferreira Gomes and Ricardo da Silva Torres and Mario L{\'{u}}cio C{\^{o}}rtes}, title = {{BERT-} and TF-IDF-based feature extraction for long-lived bug prediction in {FLOSS:} {A} comparative study}, journal = {Inf. Softw. Technol.}, volume = {160}, pages = {107217}, year = {2023}, url = {https://doi.org/10.1016/j.infsof.2023.107217}, doi = {10.1016/J.INFSOF.2023.107217}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/GomesTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itor/PereiraCFFC23, author = {Leandro Ferreira Pereira and Ricardo J. C. Correia and Fernando A. F. Ferreira and Pedro F. Falc{\~{a}}o and Renato Lopes da Costa}, title = {Unveiling the dynamics of social credit determinants using fuzzy cognitive mapping and system dynamics}, journal = {Int. Trans. Oper. Res.}, volume = {30}, number = {5}, pages = {2257--2276}, year = {2023}, url = {https://doi.org/10.1111/itor.12994}, doi = {10.1111/ITOR.12994}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itor/PereiraCFFC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jair/GuimaraesOPS23, author = {Ricardo Guimar{\~{a}}es and Ana Ozaki and Cosimo Persia and Baris Sertkaya}, title = {Mining {\(\mathscr{E}\)}{\(\mathscr{L}\)}{\(\perp\)} Bases with Adaptable Role Depth}, journal = {J. Artif. Intell. Res.}, volume = {76}, pages = {883--924}, year = {2023}, url = {https://doi.org/10.1613/jair.1.13777}, doi = {10.1613/JAIR.1.13777}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jair/GuimaraesOPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/MarreirosBFR23, author = {Carolina Ferraz Marreiros and Jo{\~{a}}o Bone and Jo{\~{a}}o C. Ferreira and Ricardo Ribeiro}, title = {Social Media Insights About {COVID-19} in Portugal: {A} Text Mining Approach}, journal = {J. Mobile Multimedia}, volume = {19}, number = {1}, pages = {325--362}, year = {2023}, url = {https://doi.org/10.13052/jmm1550-4646.19117}, doi = {10.13052/JMM1550-4646.19117}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmm/MarreirosBFR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jos/PereiraV0FDO23, author = {Guilherme A. B. Pereira and Ant{\'{o}}nio A. C. Vieira and Ricardo J. Machado and Bruno L. S. Ferreira and Lu{\'{\i}}s M. S. Dias and Jos{\'{e}} Ant{\'{o}}nio Vasconcelos Oliveira}, title = {Activity based simulation - {A} modeling tool for new teaching-learning strategies}, journal = {J. Simulation}, volume = {17}, number = {4}, pages = {499--508}, year = {2023}, url = {https://doi.org/10.1080/17477778.2022.2032431}, doi = {10.1080/17477778.2022.2032431}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jos/PereiraV0FDO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsan/SeverinoRAF23, author = {Ricardo Severino and Jo{\~{a}}o Rodrigues and Jo{\~{a}}o Alves and Luis Lino Ferreira}, title = {Performance Assessment and Mitigation of Timing Covert Channels over the {IEEE} 802.15.4}, journal = {J. Sens. Actuator Networks}, volume = {12}, number = {4}, pages = {60}, year = {2023}, url = {https://doi.org/10.3390/jsan12040060}, doi = {10.3390/JSAN12040060}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsan/SeverinoRAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mva/CastroFRRCFGASA23, author = {Eduardo Castro and Pedro M. Ferreira and Ana Rebelo and Isabel Rio{-}Torto and Leonardo Capozzi and Mafalda Falc{\~{a}}o Ferreira and Tiago Gon{\c{c}}alves and Tom{\'{e}} Albuquerque and Wilson Silva and Carolina Afonso and Ricardo Gamelas Sousa and Claudio Cimarelli and Nadia Daoudi and Gabriel Moreira and Hsiu{-}Yu Yang and Ingrid Hrga and Javed Ahmad and Monish Keswani and Sofia C. Beco}, title = {Fill in the blank for fashion complementary outfit product Retrieval: {VISUM} summer school competition}, journal = {Mach. Vis. Appl.}, volume = {34}, number = {1}, pages = {16}, year = {2023}, url = {https://doi.org/10.1007/s00138-022-01359-x}, doi = {10.1007/S00138-022-01359-X}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mva/CastroFRRCFGASA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/FerreiraCGR23, author = {Alejandro Ferreira and Millaray Curilem and Walter G{\'{o}}mez and Ricardo Ara{\'{u}}jo Rios}, title = {Deep learning and multi-station classification of volcano-seismic events of the Nevados del Chill{\'{a}}n volcanic complex (Chile)}, journal = {Neural Comput. Appl.}, volume = {35}, number = {35}, pages = {24859--24876}, year = {2023}, url = {https://doi.org/10.1007/s00521-023-08994-z}, doi = {10.1007/S00521-023-08994-Z}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/FerreiraCGR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pdln/AlbuquerqueSGPFCLSCO23, author = {Hidelberg Oliveira Albuquerque and Ellen Souza and Carlos Gomes and Matheus Henrique de C. Pinto and Ricardo P. S. Filho and Rosimeire Costa and Vin{\'{\i}}cius Teixeira de M. Lopes and N{\'{a}}dia F{\'{e}}lix F. da Silva and Andr{\'{e}} C. P. L. F. de Carvalho and Adriano L. I. Oliveira}, title = {Named Entity Recognition: a Survey for the Portuguese Language}, journal = {Proces. del Leng. Natural}, volume = {70}, pages = {171--185}, year = {2023}, url = {http://journal.sepln.org/sepln/ojs/ojs/index.php/pln/article/view/6488}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pdln/AlbuquerqueSGPFCLSCO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KlaubergVDFHBS23, author = {Carine Klauberg and Jason G. Vogel and Ricardo Dalagnol and Matheus Pinheiro Ferreira and Caio Hamamura and Eben Broadbent and Carlos Alberto Silva}, title = {Post-Hurricane Damage Severity Classification at the Individual Tree Level Using Terrestrial Laser Scanning and Deep Learning}, journal = {Remote. Sens.}, volume = {15}, number = {4}, pages = {1165}, year = {2023}, url = {https://doi.org/10.3390/rs15041165}, doi = {10.3390/RS15041165}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KlaubergVDFHBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CamposFerreiraLMMVML23, author = {Andres E. Campos{-}Ferreira and Jorge de{-}J. Lozoya{-}Santos and Juan C. Tudon Martinez and Ricardo A. Ram{\'{\i}}rez{-}Mendoza and Adriana Vargas{-}Mart{\'{\i}}nez and Rub{\'{e}}n Morales{-}Men{\'{e}}ndez and Diego F. Lozano{-}Garc{\'{\i}}a}, title = {Vehicle and Driver Monitoring System Using On-Board and Remote Sensors}, journal = {Sensors}, volume = {23}, number = {2}, pages = {814}, year = {2023}, url = {https://doi.org/10.3390/s23020814}, doi = {10.3390/S23020814}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/CamposFerreiraLMMVML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CorreaFSNBVK23, author = {Marcio Assolin Correa and Armando Ferreira and Arthur L. R. Souza and Jo{\~{a}}o. M. Dantas Neto and Felipe Bohn and Filipe Vaz and Galina V. Kurlyandskaya}, title = {Anomalous Nernst Effect in Flexible Co-Based Amorphous Ribbons}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1420}, year = {2023}, url = {https://doi.org/10.3390/s23031420}, doi = {10.3390/S23031420}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/CorreaFSNBVK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CunhaFS23, author = {Bruno Cunha and Ricardo Ferreira and Andreia S. P. Sousa}, title = {Home-Based Rehabilitation of the Shoulder Using Auxiliary Systems and Artificial Intelligence: An Overview}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7100}, year = {2023}, url = {https://doi.org/10.3390/s23167100}, doi = {10.3390/S23167100}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/CunhaFS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FerreiraCAGVMS23, author = {Ricardo Grosso Ferreira and Bernardo Brotas de Carvalho and Lu{\'{\i}}s Lemos Alves and Bruno Gon{\c{c}}alves and Victor Fernandez Villace and Lionel Marraffa and M{\'{a}}rio Lino da Silva}, title = {{VUV} to {IR} Emission Spectroscopy and Interferometry Diagnostics for the European Shock Tube for High-Enthalpy Research}, journal = {Sensors}, volume = {23}, number = {13}, pages = {6027}, year = {2023}, url = {https://doi.org/10.3390/s23136027}, doi = {10.3390/S23136027}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/FerreiraCAGVMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GoncalvesVS0SRV23, author = {Bruno Gon{\c{c}}alves and Paulo Varela and Ant{\'{o}}nio Silva and Filipe Silva and Jorge Santos and Emanuel Ricardo and Alberto Vale and Raul Lu{\'{\i}}s and Yohanes Nietiadi and Artur Malaquias and Jorge Belo and Jos{\'{e}} Dias and Jorge Ferreira and Thomas Franke and Wolfgang Biel and St{\'{e}}phane Heuraux and Tiago Ribeiro and Gianluca De Masi and Onofrio Tudisco and Roberto Cavazzana and Giuseppe Marchiori and Ocleto D'Arcangelo}, title = {Advances, Challenges, and Future Perspectives of Microwave Reflectometry for Plasma Position and Shape Control on Future Nuclear Fusion Devices}, journal = {Sensors}, volume = {23}, number = {8}, pages = {3926}, year = {2023}, url = {https://doi.org/10.3390/s23083926}, doi = {10.3390/S23083926}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/GoncalvesVS0SRV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MeloTFCAFSCVS23, author = {Ana S. C. Melo and Janet L. Taylor and Ricardo Ferreira and Bruno Cunha and Manuel Ascen{\c{c}}{\~{a}}o and Mathieu Fernandes and V{\'{\i}}tor Sousa and Eduardo B. Cruz and Jo{\~{a}}o Paulo Vilas{-}Boas and Andreia S. P. Sousa}, title = {Differences in Trapezius Muscle H-Reflex between Asymptomatic Subjects and Symptomatic Shoulder Pain Subjects}, journal = {Sensors}, volume = {23}, number = {9}, pages = {4217}, year = {2023}, url = {https://doi.org/10.3390/s23094217}, doi = {10.3390/S23094217}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MeloTFCAFSCVS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MonjeGSABCDILTF23, author = {Mariana H. G. Monje and Sylvie Grosjean and Martin Srp and Laura Antunes and Raquel Bou{\c{c}}a{-}Machado and Ricardo Cacho and Sergio Dom{\'{\i}}nguez and John Inocentes and Timothy Lynch and Argyri Tsakanika and Dimitrios I. Fotiadis and George Rigas and Evzen Ruzicka and Joaquim Ferreira and Angelo Antonini and Norberto Malpica and Tiago Mestre and {\'{A}}lvaro S{\'{a}}nchez{-}Ferro and iCARE{-}PD Consortium}, title = {Co-Designing Digital Technologies for Improving Clinical Care in People with Parkinson's Disease: What Did We Learn?}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4957}, year = {2023}, url = {https://doi.org/10.3390/s23104957}, doi = {10.3390/S23104957}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MonjeGSABCDILTF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SilvaSLDO23, author = {Jonathan Crist{\'{o}}v{\~{a}}o Ferreira da Silva and Mateus Coelho Silva and Eduardo Jos{\'{e}} da S. Luz and Saul E. Delabrida and Ricardo A. R. Oliveira}, title = {Using Mobile Edge {AI} to Detect and Map Diseases in Citrus Orchards}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2165}, year = {2023}, url = {https://doi.org/10.3390/s23042165}, doi = {10.3390/S23042165}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SilvaSLDO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZamiriSFLSJ23, author = {Majid Zamiri and Jo{\~{a}}o Sarraipa and Jos{\'{e}} Ferreira and Carlos Lopes and Tal Soffer and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {A Methodology for Training Toolkits Implementation in Smart Labs}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2626}, year = {2023}, url = {https://doi.org/10.3390/s23052626}, doi = {10.3390/S23052626}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZamiriSFLSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simods/ValdeiraFMS23, author = {Filipa Valdeira and Ricardo Ferreira and Alessandra Micheletti and Cl{\'{a}}udia Soares}, title = {Probabilistic Registration for Gaussian Process Three-Dimensional Shape Modelling in the Presence of Extensive Missing Data}, journal = {{SIAM} J. Math. Data Sci.}, volume = {5}, number = {2}, pages = {502--527}, year = {2023}, url = {https://doi.org/10.1137/22m1495494}, doi = {10.1137/22M1495494}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simods/ValdeiraFMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/OliveiraAJL23, author = {Pedro Henrique Ferreira de Oliveira and Geov{\'{a}} Alencar and Ivan Carneiro Jardim and Ricardo Renan Landim}, title = {On the Traversable Yukawa-Casimir Wormholes}, journal = {Symmetry}, volume = {15}, number = {2}, pages = {383}, year = {2023}, url = {https://doi.org/10.3390/sym15020383}, doi = {10.3390/SYM15020383}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/OliveiraAJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/PedroFPBMN23, author = {Ricardo Wandr{\'{e}} Dias Pedro and Ana Luiza Silveira Ferreira and Rodolph Vinicius Siqueira Pessoa and Almir Galv{\~{a}}o Vieira Bitencourt and Ariane Machado{-}Lima and F{\'{a}}tima L. S. Nunes}, title = {A Stochastic Grammar Approach to Mass Classification in Mammograms}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {20}, number = {3}, pages = {2302--2313}, year = {2023}, url = {https://doi.org/10.1109/TCBB.2023.3247144}, doi = {10.1109/TCBB.2023.3247144}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/PedroFPBMN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/EstupendoF0CPM23, author = {Gon{\c{c}}alo F. Estupendo and Fernando A. F. Ferreira and Kannan Govindan and Ricardo J. C. Correia and Leandro Ferreira Pereira and Ieva Meidute{-}Kavaliauskiene}, title = {"Life After Coal": Renewable Energy Impacts on {SME} Conduct}, journal = {{IEEE} Trans. Engineering Management}, volume = {70}, number = {10}, pages = {3571--3586}, year = {2023}, url = {https://doi.org/10.1109/TEM.2021.3088416}, doi = {10.1109/TEM.2021.3088416}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/EstupendoF0CPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/0001OR23, author = {Ricardo Guimar{\~{a}}es and Ana Ozaki and Jandson S. Ribeiro}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Finite Based Contraction and Expansion via Models}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {6389--6397}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i5.25786}, doi = {10.1609/AAAI.V37I5.25786}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/0001OR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/artech/PereiraFM23, author = {Selma Pereira and Ricardo Alexino Ferreira and Ad{\'{e}}rito Fernandes Marcos}, editor = {Lucas Fabi{\'{a}}n Olivero and Pedro Alves da Veiga and Ant{\'{o}}nio Bandeira Ara{\'{u}}jo and Patr{\'{\i}}cia Dourado and Bruno Mendes da Silva}, title = {Audiovisual Storytelling on Social Networks: a Digital Media-Art Film Artefact for Socio-Cultural Intervention: {A} narrativa audiovisual nas redes sociais: artefacto f{\'{\i}}lmico de m{\'{e}}dia-arte digital de interven{\c{c}}{\~{a}}o sociocultural}, booktitle = {Proceedings of the 11th International Conference on Digital and Interactive Arts, {ARTECH} 2023, Faro, Portugal, November 28-30, 2023}, pages = {40:1--40:7}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3632776.3632831}, doi = {10.1145/3632776.3632831}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/artech/PereiraFM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PassosLMMVH23, author = {F{\'{a}}bio Passos and Nuno Louren{\c{c}}o and Lu{\'{\i}}s Mendes and Ricardo Martins and Jo{\~{a}}o Caldinhas Vaz and Nuno Horta}, editor = {Atsushi Takahashi}, title = {Efficient Hierarchical mm-Wave System Synthesis with Embedded Accurate Transformer and Balun Machine Learning Models}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {64--69}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567879}, doi = {10.1145/3566097.3567879}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PassosLMMVH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/CuriosoRMSSFGB23, author = {Isabel Curioso and Bruno Ribeiro and Pedro Matias and Ricardo Santos and Joana Sousa and Jo{\~{a}}o Ferreira and Hugo Gamboa and David Belo}, editor = {Jo{\~{a}}o Rafael Almeida and Myra Spiliopoulou and Jos{\'{e}} Alberto Ben{\'{\i}}tez{-}Andrades and Giuseppe Placidi and Alejandro Rodr{\'{\i}}guez Gonz{\'{a}}lez and Rosa Sicilia and Bridget Kane}, title = {Pattern Recognition and Classification of Low-Intensity Emotions from Physiological Data}, booktitle = {36th {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2023, L'Aquila, Italy, June 22-24, 2023}, pages = {299--304}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CBMS58004.2023.00234}, doi = {10.1109/CBMS58004.2023.00234}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cbms/CuriosoRMSSFGB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cciot/MartinsRGFSM23, author = {Matheus Costa Martins and Rui Pedro Charters Lopes Rijo and Carlos Fernando Almeida Grilo and Lino Miguel Moreira Ferreira and S{\'{e}}rgio Manuel Silva and Ricardo Filipe Gon{\c{c}}alves Martinho}, title = {Smartool - a digital platform for Condition-Based Maintenance in Industry 4.0 environments}, booktitle = {Proceedings of the 8th International Conference on Cloud Computing and Internet of Things, {CCIOT} 2023, Okinawa, Japan, September 22-24, 2023}, pages = {53--58}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3627345.3627368}, doi = {10.1145/3627345.3627368}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cciot/MartinsRGFSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dlog/0001OR23, author = {Ricardo Guimar{\~{a}}es and Ana Ozaki and Jandson S. Ribeiro}, editor = {Oliver Kutz and Carsten Lutz and Ana Ozaki}, title = {Eviction and Reception for Description Logic Ontologies (Extended Abstract)}, booktitle = {Proceedings of the 36th International Workshop on Description Logics {(DL} 2023) co-located with the 20th International Conference on Principles of Knowledge Representation and Reasoning and the 21st International Workshop on Non-Monotonic Reasoning {(KR} 2023 and {NMR} 2023)., Rhodes, Greece, September 2-4, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3515}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3515/abstract-11.pdf}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dlog/0001OR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucnc/OliveiraRFMSC23, author = {Tiago E. S. Oliveira and Jo{\~{a}}o R. Reis and Telmo R. Fernandes and Samuel Madail and Jos{\'{e}} Salgado and Rafael F. S. Caldeirinha}, title = {A wideband reduced form factor antenna for 5G {SAWAP} applications}, booktitle = {2023 Joint European Conference on Networks and Communications {\&} 6G Summit, EuCNC/6G Summit 2023, Gothenburg, Sweden, June 6-9, 2023}, pages = {606--610}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EuCNC/6GSummit58263.2023.10188276}, doi = {10.1109/EUCNC/6GSUMMIT58263.2023.10188276}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eucnc/OliveiraRFMSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/geoinfo/CastejonVBQGCAQ23, author = {Emiliano Ferreira Castejon and L{\'{u}}bia Vinhas and Anderson R. Barbosa and Gilberto Ribeiro de Queiroz and Diego Gomes and Raphael W. Costa and Jeferson S. Arcanjo and Wildson Queiroz and Ricardo M. C. Souza and Julio C. L. Dalge and Jose T. M. Bacellar}, editor = {Fl{\'{a}}via F. Feitosa and L{\'{u}}bia Vinhas}, title = {CBERS-4A, {WPM} Fused Imagery Dataset}, booktitle = {{XXIV} Brazilian Symposium on Geoinformatics - {GEOINFO} 2023, S{\~{a}}o Jos{\'{e}} dos Campos, SP, Brazil, December 4-6, 2023}, pages = {418--423}, publisher = {{MCTI/INPE}}, year = {2023}, url = {http://urlib.net/ibi/8JMKD3MGPDW34P/4ADCPRH?ibiurl.backgroundlanguage=en}, timestamp = {Fri, 05 Jan 2024 22:36:36 +0100}, biburl = {https://dblp.org/rec/conf/geoinfo/CastejonVBQGCAQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/geoinfo/DutraFCYFGDBAAA23, author = {D{\'{e}}bora Joana Dutra and Igor Ferreira and Beatriz F. Cabral and Aurora Miho Yanai and Philip Martin Fearnside and Paulo Maur{\'{\i}}cio de Lima Alencastro Gra{\c{c}}a and Ricardo Dalagnol and Daniel Braga and Luiz E. O. C. Arag{\~{a}}o and Cl{\'{a}}udia Maria de Almeida and Liana O. Anderson}, editor = {Fl{\'{a}}via F. Feitosa and L{\'{u}}bia Vinhas}, title = {Performance de Um Modelo Preditivo Para Simula{\c{c}}{\~{a}}o do Desmatamento em Boca do Acre - Brasil}, booktitle = {{XXIV} Brazilian Symposium on Geoinformatics - {GEOINFO} 2023, S{\~{a}}o Jos{\'{e}} dos Campos, SP, Brazil, December 4-6, 2023}, pages = {316--321}, publisher = {{MCTI/INPE}}, year = {2023}, url = {http://urlib.net/ibi/8JMKD3MGPDW34P/4ADCC72?ibiurl.backgroundlanguage=en}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/geoinfo/DutraFCYFGDBAAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/geoinfo/MacielRNLDQFSEV23, author = {Adeline M. Maciel and Marcos Lima Rodrigues and Yuri Nunes and Luana B. Luz and Ana Paula Dal'Asta and Gilberto Ribeiro de Queiroz and Karine Reis Ferreira and Sidnei J. S. Sant'Anna and Maria Isabel Sobral Escada and Ana Claudia R. Vitor and Christovam Barcellos and Cl{\'{a}}udia T. Code{\c{c}}o and Diego Ricardo Xavier and Vanderlei P. Matos and Raphael de Freitas Saldanha and Abner Ern{\^{a}}ni dos Anjos and Fabiana Zioti and Gabriel Sansigolo and Raphael W. Costa and Rennan F. B. Marujo and L{\'{u}}bia Vinhas and Rachel Lowe and Ant{\^{o}}nio Miguel Vieira Monteiro}, editor = {Fl{\'{a}}via F. Feitosa and L{\'{u}}bia Vinhas}, title = {The Harmonize Project And The EODCtHRS Architecture: An Earth Observation Data Cube Tuned For Health Response Systems}, booktitle = {{XXIV} Brazilian Symposium on Geoinformatics - {GEOINFO} 2023, S{\~{a}}o Jos{\'{e}} dos Campos, SP, Brazil, December 4-6, 2023}, pages = {122--133}, publisher = {{MCTI/INPE}}, year = {2023}, url = {http://urlib.net/ibi/8JMKD3MGPDW34P/4ADBNKB?ibiurl.backgroundlanguage=em}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/geoinfo/MacielRNLDQFSEV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/SouzaSPMRC23, author = {Pedro Lopes de Souza and Wanderley Lopes de Souza and Lu{\'{\i}}s Ferreira Pires and Jo{\~{a}}o Luiz Rebelo Moreira and Ronitti Juner da Silva Rodrigues and Ricardo Rodrigues Ciferri}, editor = {Joaquim Filipe and Michal Smialek and Alexander Brodsky and Slimane Hammoudi}, title = {Ontology-Driven IoT System for Monitoring Hypertension}, booktitle = {Proceedings of the 25th International Conference on Enterprise Information Systems, {ICEIS} 2023, Volume 1, Prague, Czech Republic, April 24-26, 2023}, pages = {757--767}, publisher = {{SCITEPRESS}}, year = {2023}, url = {https://doi.org/10.5220/0011989100003467}, doi = {10.5220/0011989100003467}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceis/SouzaSPMRC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpram/SantosAM0BSF23, author = {Rui Santos and Ricardo Alexandre and Pedro Marques and M{\'{a}}rio Antunes and Jo{\~{a}}o Paulo Barraca and Jo{\~{a}}o Silva and Nuno Ferreira}, editor = {Maria De Marsico and Gabriella Sanniti di Baja and Ana Fred}, title = {Towards Improved Indoor Location with Unmodified {RFID} Systems}, booktitle = {Proceedings of the 12th International Conference on Pattern Recognition Applications and Methods, {ICPRAM} 2023, Lisbon, Portugal, February 22-24, 2023}, pages = {156--163}, publisher = {{SCITEPRESS}}, year = {2023}, url = {https://doi.org/10.5220/0011793700003411}, doi = {10.5220/0011793700003411}, timestamp = {Tue, 13 Aug 2024 14:18:08 +0200}, biburl = {https://dblp.org/rec/conf/icpram/SantosAM0BSF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpram/SobralSAM0BSF23, author = {Pedro Sobral and Rui Santos and Ricardo Alexandre and Pedro Marques and M{\'{a}}rio Antunes and Jo{\~{a}}o Paulo Barraca and Jo{\~{a}}o Silva and Nuno Ferreira}, editor = {Maria De Marsico and Gabriella Sanniti di Baja and Ana Fred}, title = {Real-World Indoor Location Assessment with Unmodified {RFID} Antennas}, booktitle = {Pattern Recognition Applications and Methods - 12th International Conference, {ICPRAM} 2023, Lisbon, Portugal, February 22-24, 2023, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {14547}, pages = {33--45}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-54726-3\_3}, doi = {10.1007/978-3-031-54726-3\_3}, timestamp = {Tue, 13 Aug 2024 14:18:08 +0200}, biburl = {https://dblp.org/rec/conf/icpram/SobralSAM0BSF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/FerreiraLRR23, author = {Marcos Vinicius Ferreira and Tiago J. S. Lopes and Ricardo Ara{\'{u}}jo Rios and Tatiane Nogueira Rios}, title = {Modeling Protein Activities and Mutations with Graph Neural Networks: Insights into Hemophilia}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2023, Gold Coast, Australia, June 18-23, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IJCNN54540.2023.10192027}, doi = {10.1109/IJCNN54540.2023.10192027}, timestamp = {Wed, 09 Aug 2023 16:25:09 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/FerreiraLRR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/FormigoniFNN23, author = {Ruan Evangelista Formigoni and Ricardo S. Ferreira and Omar P. Vilela Neto and Jos{\'{e}} Augusto Miranda Nacif}, title = {{L-BANCS:} {A} Multi-Phase Tile Design for Nanomagnetic Logic}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2023, Foz do Iguacu, Brazil, June 20-23, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISVLSI59464.2023.10238640}, doi = {10.1109/ISVLSI59464.2023.10238640}, timestamp = {Wed, 13 Sep 2023 08:43:37 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/FormigoniFNN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/OliveiraRFMSC23, author = {Tiago E. S. Oliveira and Jo{\~{a}}o R. Reis and Telmo R. Fernandes and Samuel Madail and Jos{\'{e}} Salgado and Rafael F. S. Caldeirinha}, title = {A Double Square Slot Antenna for 5G Small-Area Wireless Access Points in Street Furniture}, booktitle = {International Wireless Communications and Mobile Computing, {IWCMC} 2023, Marrakesh, Morocco, June 19-23, 2023}, pages = {273--277}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IWCMC58020.2023.10183176}, doi = {10.1109/IWCMC58020.2023.10183176}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwcmc/OliveiraRFMSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/ViegasRFC23, author = {Samuel Viegas and Jo{\~{a}}o R. Reis and Telmo R. Fernandes and Rafael F. S. Caldeirinha}, title = {4D {MIMO} Radar with 360{\textordmasculine} field of view: a practical validation}, booktitle = {International Wireless Communications and Mobile Computing, {IWCMC} 2023, Marrakesh, Morocco, June 19-23, 2023}, pages = {757--762}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IWCMC58020.2023.10182690}, doi = {10.1109/IWCMC58020.2023.10182690}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwcmc/ViegasRFC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nldl/Persia023, author = {Cosimo Persia and Ricardo Guimar{\~{a}}es}, title = {{RIDDLE:} Rule Induction with Deep Learning}, booktitle = {Proceedings of the 2023 Northern Lights Deep Learning Workshop, {NLDL} 2023, Troms{\o}, Norway, January 10-12, 2023}, publisher = {Septentrio Academic Publishing}, year = {2023}, url = {https://doi.org/10.7557/18.6801}, doi = {10.7557/18.6801}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nldl/Persia023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nmr/0001OR23, author = {Ricardo Guimar{\~{a}}es and Ana Ozaki and Jandson S. Ribeiro}, editor = {Kai Sauerwald and Matthias Thimm}, title = {Eviction and Reception for Description Logic Ontologies (Preliminary Results)}, booktitle = {Proceedings of the 21st International Workshop on Non-Monotonic Reasoning co-located with the 20th International Conference on Principles of Knowledge Representation and Reasoning {(KR} 2023) and co-located with the 36th International Workshop on Description Logics {(DL} 2023), Rhodes, Greece, September 2-4, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3464}, pages = {43--51}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3464/paper5.pdf}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nmr/0001OR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norcas/RezaeiyanMBZSPFFM23, author = {Yasser Rezaeiyan and Nikolaj Lykkeberg Madsen and Tim B{\"{o}}hnert and Milad Zamani and Sonal Shreya and Elvira Paz and Hooman Farkhani and Ricardo Ferreira and Farshad Moradi}, title = {A low-noise high-linear wide dynamic-range MTJ-based magnetic field sensor}, booktitle = {{IEEE} Nordic Circuits and Systems Conference, NorCAS 2023, Aalborg, Denmark, October 31 - Nov. 1, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NorCAS58970.2023.10305454}, doi = {10.1109/NORCAS58970.2023.10305454}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/norcas/RezaeiyanMBZSPFFM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pts/SantosFBBSEVT23, author = {Luciana Brasil Rebelo dos Santos and Erica Ferreira and Gian Ricardo Berkenbrock and Gerson Barbosa and Marlon da Silva and Andr{\'{e}} Takeshi Endo and Nandamudi Vijaykumar and Catia Trubiani}, editor = {Silvia Bonfanti and Angelo Gargantini and Paolo Salvaneschi}, title = {Prioritizing Test Cases with Markov Chains: {A} Preliminary Investigation}, booktitle = {Testing Software and Systems - 35th {IFIP} {WG} 6.1 International Conference, {ICTSS} 2023, Bergamo, Italy, September 18-20, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14131}, pages = {219--236}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43240-8\_14}, doi = {10.1007/978-3-031-43240-8\_14}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pts/SantosFBBSEVT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rulemlrr/ImenesGO23, author = {Anders Imenes and Ricardo Guimar{\~{a}}es and Ana Ozaki}, editor = {Anna Fensel and Ana Ozaki and Dumitru Roman and Ahmet Soylu}, title = {Marrying Query Rewriting and Knowledge Graph Embeddings}, booktitle = {Rules and Reasoning - 7th International Joint Conference, RuleML+RR 2023, Oslo, Norway, September 18-20, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14244}, pages = {126--140}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-45072-3\_9}, doi = {10.1007/978-3-031-45072-3\_9}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rulemlrr/ImenesGO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sast/FerreiraHSSS23, author = {Vin{\'{\i}}cius Gomes Ferreira and Caio Guimar{\~{a}}es Herrera and Simone R. S. Souza and Ricardo Ribeiro dos Santos and Paulo Sergio Lopes de Souza}, editor = {Awdren L. Font{\~{a}}o and D{\'{e}}bora M. B. Paiva and Hudson Borges and Maria Istela Cagnin and Patr{\'{\i}}cia Gomes Fernandes and Vanessa Borges and Silvana M. Melo and Vinicius H. S. Durelli and Edna Dias Canedo}, title = {Software Testing applied to the Development of IoT Systems: preliminary results}, booktitle = {8th Brazilian Symposium on Systematic and Automated Software Testing, {SAST} 2023, Campo Grande, MS, Brazil, September 25-29, 2023}, pages = {113--122}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3624032.3624049}, doi = {10.1145/3624032.3624049}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sast/FerreiraHSSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/LealCNFN23, author = {Stefan T. Couperus Leal and Michael Canesche and Omar P. Vilela Neto and Ricardo S. Ferreira and Jos{\'{e}} A. M. Nacif}, title = {A Non-Blocking Multistage Interconnection using Regular Clock Schemes for {QCA} Circuits}, booktitle = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SBCCI60457.2023.10261968}, doi = {10.1109/SBCCI60457.2023.10261968}, timestamp = {Wed, 11 Oct 2023 10:11:30 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/LealCNFN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/SilvaCNFN23, author = {Pedro Arthur R. L. Silva and Jeferson F. Chaves and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira and Omar Paranaiba Vilela Neto}, title = {Exploring Nanomagnetic Logic with Bennett Clocking}, booktitle = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SBCCI60457.2023.10261955}, doi = {10.1109/SBCCI60457.2023.10261955}, timestamp = {Wed, 27 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/SilvaCNFN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbesc/SilvaSMNF23, author = {Olavo A. B. Silva and Alysson K. C. Silva and {\'{I}}caro G. S. Moreira and Jos{\'{e}} A. M. Nacif and Ricardo S. Ferreira}, title = {{RDSF:} Everything at Same Place All at Once - {A} Random Decision Single Forest}, booktitle = {{XIII} Brazilian Symposium on Computing Systems Engineering, {SBESC} 2023, Porto Alegre, Brazil, November 21-24, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SBESC60926.2023.10324083}, doi = {10.1109/SBESC60926.2023.10324083}, timestamp = {Sat, 02 Dec 2023 14:05:35 +0100}, biburl = {https://dblp.org/rec/conf/sbesc/SilvaSMNF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbqs/SilvaVV23, author = {Rayane Moraes da Silva and Ricardo Ferreira Vilela and Pedro Henrique Dias Valle}, editor = {Edna Dias Canedo and Daniel de Paula Porto and F{\'{a}}bio L{\'{u}}cio Lopes de Mendon{\c{c}}a and Rafael Tim{\'{o}}teo de Sousa J{\'{u}}nior and Monalessa Perini Barcellos and Ismayle de Sousa Santos and Sheila S. Reinehr and S{\'{e}}rgio Soares and Uir{\'{a}} Kulesza and {\'{E}}rica Ferreira de Souza and Adriano Albuquerque and Carla I. M. Bezerra and Rodrigo Pereira dos Santos and Alessandro F. Garcia and Simone Dornelas Costa and Adolfo Gustavo Serra Seca Neto}, title = {Soft Skills of Software Developers: Exploring the Pandemic's Impact of {COVID-19}}, booktitle = {Proceedings of the {XXII} Brazilian Symposium on Software Quality, {SBQS} 2023, Brasilia, Brazil, November 7-10, 2023}, pages = {100--109}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3629479.3630016}, doi = {10.1145/3629479.3630016}, timestamp = {Wed, 05 Jun 2024 13:05:47 +0200}, biburl = {https://dblp.org/rec/conf/sbqs/SilvaVV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbqs/ValleVGS23, author = {Pedro Henrique Dias Valle and Ricardo Ferreira Vilela and Guilherme Corredato Guerino and Williamson Silva}, editor = {Edna Dias Canedo and Daniel de Paula Porto and F{\'{a}}bio L{\'{u}}cio Lopes de Mendon{\c{c}}a and Rafael Tim{\'{o}}teo de Sousa J{\'{u}}nior and Monalessa Perini Barcellos and Ismayle de Sousa Santos and Sheila S. Reinehr and S{\'{e}}rgio Soares and Uir{\'{a}} Kulesza and {\'{E}}rica Ferreira de Souza and Adriano Albuquerque and Carla I. M. Bezerra and Rodrigo Pereira dos Santos and Alessandro F. Garcia and Simone Dornelas Costa and Adolfo Gustavo Serra Seca Neto}, title = {Soft and Hard Skills of Software Testing Professionals: {A} Comprehensive Survey}, booktitle = {Proceedings of the {XXII} Brazilian Symposium on Software Quality, {SBQS} 2023, Brasilia, Brazil, November 7-10, 2023}, pages = {90--99}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3629479.3629509}, doi = {10.1145/3629479.3629509}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbqs/ValleVGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/AmaralGVMHL23, author = {Andr{\'{e}} Amaral and Ant{\'{o}}nio Gusm{\~{a}}o and Rafael Vieira and Ricardo Martins and Nuno Horta and Nuno Louren{\c{c}}o}, title = {An ANN-Based Approach to the Modelling and Simulation of Analogue Circuits}, booktitle = {19th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2023, Funchal, Portugal, July 3-5, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMACD58065.2023.10192134}, doi = {10.1109/SMACD58065.2023.10192134}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/AmaralGVMHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/PassosLMMVH23, author = {F{\'{a}}bio Passos and Nuno Louren{\c{c}}o and Lu{\'{\i}}s Mendes and Ricardo Martins and Jo{\~{a}}o Caldinhas Vaz and Nuno Horta}, title = {A 23.5-32.5GHz, 17dBm {PSAT} and 37.5{\%} {PAE} Power Amplifier Synthesized Using an Automated Design Methodology}, booktitle = {19th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2023, Funchal, Portugal, July 3-5, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMACD58065.2023.10192226}, doi = {10.1109/SMACD58065.2023.10192226}, timestamp = {Fri, 11 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/PassosLMMVH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/VieiraMHL23, author = {Rafael Vieira and Ricardo Martins and Nuno Horta and Nuno Louren{\c{c}}o}, title = {Design Space Exploration of Single-Stage OTAs towards an Ultra-Low-Power {LNA} for {ECG} Signals}, booktitle = {19th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2023, Funchal, Portugal, July 3-5, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMACD58065.2023.10192218}, doi = {10.1109/SMACD58065.2023.10192218}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/VieiraMHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/AraujoLODGPG23, author = {Thiago De Sousa Ara{\'{u}}jo and Ricardo Massa Ferreira Lima and Adriano Lorena In{\'{a}}cio de Oliveira and Raphael Jos{\'{e}} D'Castro and Br{\'{a}}ulio Gabriel Gusm{\~{a}}o and Rafael Leite Paulo and Jo{\~{a}}o Thiago De Fran{\c{c}}a Guerra}, title = {Investigating the Usability and Comprehensibility of Process Mining Tools Within an Application-Specific Context}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2023, Honolulu, Oahu, HI, USA, October 1-4, 2023}, pages = {4337--4342}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMC53992.2023.10393888}, doi = {10.1109/SMC53992.2023.10393888}, timestamp = {Tue, 13 Feb 2024 09:22:04 +0100}, biburl = {https://dblp.org/rec/conf/smc/AraujoLODGPG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-00158, author = {Pedro Casau and Ricardo G. Sanfelice and Carlos Silvestre}, title = {robust synergistic hybrid feedback}, journal = {CoRR}, volume = {abs/2301.00158}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.00158}, doi = {10.48550/ARXIV.2301.00158}, eprinttype = {arXiv}, eprint = {2301.00158}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-00158.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-03232, author = {Hamdam Ghanatian and Luana Benetti and Pedro Anacleto and Tim B{\"{o}}hnert and Hooman Farkhani and Ricardo Ferreira and Farshad Moradi}, title = {Spin-Orbit Torque Flash Analog-to-Digital Converter}, journal = {CoRR}, volume = {abs/2301.03232}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.03232}, doi = {10.48550/ARXIV.2301.03232}, eprinttype = {arXiv}, eprint = {2301.03232}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-03232.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-03034, author = {Ricardo Guimar{\~{a}}es and Ana Ozaki and Jandson S. Ribeiro}, title = {Finite Based Contraction and Expansion via Models}, journal = {CoRR}, volume = {abs/2303.03034}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.03034}, doi = {10.48550/ARXIV.2303.03034}, eprinttype = {arXiv}, eprint = {2303.03034}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-03034.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-17731, author = {Manuel Ferreira Junior and Jessica T. S. Reinaldo and Telmo de Menezes e Silva Filho and Eufrasio A. Lima Neto and Ricardo B. C. Prud{\^{e}}ncio}, title = {{\(\beta\)}\({}^{\mbox{4}}\)-IRT: {A} New {\(\beta\)}\({}^{\mbox{3}}\)-IRT with Enhanced Discrimination Estimation}, journal = {CoRR}, volume = {abs/2303.17731}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.17731}, doi = {10.48550/ARXIV.2303.17731}, eprinttype = {arXiv}, eprint = {2303.17731}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-17731.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09151, author = {Joberto S. B. Martins and Tereza Cristina M. B. Carvalho and Rodrigo Moreira and Cristiano Both and Adnei W. Donatti and Jo{\~{a}}o Henrique G. M. Corr{\^{e}}a and Jos{\'{e}} Augusto Suruagy Monteiro and Sand Luz Corr{\^{e}}a and Ant{\^{o}}nio J. G. Abel{\'{e}}m and Mois{\'{e}}s R. N. Ribeiro and Jos{\'{e}} Marcos S. Nogueira and Luiz Claudio Schara Magalh{\~{a}}es and Juliano Araujo Wickboldt and Tiago Ferreto and Ricardo C. de Mello and Rafael Pasquini and Marcos F. Schwarz and Leobino N. Sampaio and Daniel F. Macedo and Jos{\'{e}} Ferreira de Rezende and Kleber Vieira Cardoso and Fl{\'{a}}vio Oliveira Silva}, title = {Enhancing Network Slicing Architectures with Machine Learning, Security, Sustainability and Experimental Networks Integration}, journal = {CoRR}, volume = {abs/2307.09151}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09151}, doi = {10.48550/ARXIV.2307.09151}, eprinttype = {arXiv}, eprint = {2307.09151}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09151.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02198, author = {Victor Lacerda and Ana Ozaki and Ricardo Guimar{\~{a}}es}, title = {Strong Faithfulness for {ELH} Ontology Embeddings}, journal = {CoRR}, volume = {abs/2310.02198}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02198}, doi = {10.48550/ARXIV.2310.02198}, eprinttype = {arXiv}, eprint = {2310.02198}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02198.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-08978, author = {Ricardo Ferreira and Cl{\'{a}}udia Soares and Marta Guimar{\~{a}}es}, title = {Probability of Collision of satellites and space debris for short-term encounters: Rederivation and fast-to-compute upper and lower bounds}, journal = {CoRR}, volume = {abs/2311.08978}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.08978}, doi = {10.48550/ARXIV.2311.08978}, eprinttype = {arXiv}, eprint = {2311.08978}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-08978.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-13318, author = {Ricardo Ferreira and Marta Guimar{\~{a}}es and Filipa Valdeira and Cl{\'{a}}udia Soares}, title = {One-Shot Initial Orbit Determination in Low-Earth Orbit}, journal = {CoRR}, volume = {abs/2312.13318}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.13318}, doi = {10.48550/ARXIV.2312.13318}, eprinttype = {arXiv}, eprint = {2312.13318}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-13318.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AndradeRSVBGASL22, author = {Jos{\'{e}} R. Andrade and Concei{\c{c}}{\~{a}}o Rocha and Ricardo Silva and Jo{\~{a}}o P. Viana and Ricardo J. Bessa and Clara Gouveia and B. Almeida and R. J. Santos and Miguel Louro and P. M. Santos and Adrian Ribeiro Ferreira}, title = {Data-Driven Anomaly Detection and Event Log Profiling of {SCADA} Alarms}, journal = {{IEEE} Access}, volume = {10}, pages = {73758--73773}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3190398}, doi = {10.1109/ACCESS.2022.3190398}, timestamp = {Wed, 01 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AndradeRSVBGASL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/GusmaoPHLM22, author = {Ant{\'{o}}nio Gusm{\~{a}}o and Ricardo P{\'{o}}voa and Nuno Horta and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {DeepPlacer: {A} custom integrated OpAmp placement tool using deep models}, journal = {Appl. Soft Comput.}, volume = {115}, pages = {108188}, year = {2022}, url = {https://doi.org/10.1016/j.asoc.2021.108188}, doi = {10.1016/J.ASOC.2021.108188}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/GusmaoPHLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ase/AraujoGM22, author = {Adailton Ferreira de Ara{\'{u}}jo and Marcos P. S. G{\^{o}}lo and Ricardo M. Marcacini}, title = {Opinion mining for app reviews: an analysis of textual representation and predictive models}, journal = {Autom. Softw. Eng.}, volume = {29}, number = {1}, pages = {5}, year = {2022}, url = {https://doi.org/10.1007/s10515-021-00301-1}, doi = {10.1007/S10515-021-00301-1}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ase/AraujoGM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bdr/00010FV22, author = {Antonio Ferreira and Ana Paula Afonso and Lu{\'{\i}}s Ferreira and Ricardo Vaz}, title = {Visual Analytics of Trajectories with RoseTrajVis}, journal = {Big Data Res.}, volume = {27}, pages = {100294}, year = {2022}, url = {https://doi.org/10.1016/j.bdr.2021.100294}, doi = {10.1016/J.BDR.2021.100294}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bdr/00010FV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/MorenoVF22, author = {Marta Moreno and Ricardo Vila{\c{c}}a and Pedro G. Ferreira}, title = {Scalable transcriptomics analysis with Dask: applications in data science and machine learning}, journal = {{BMC} Bioinform.}, volume = {23}, number = {1}, pages = {514}, year = {2022}, url = {https://doi.org/10.1186/s12859-022-05065-3}, doi = {10.1186/S12859-022-05065-3}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/MorenoVF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/FerreiraBRD22, author = {Rafael E. P. Ferreira and Tiago Bresolin and Guilherme J. M. Rosa and Jo{\~{a}}o Ricardo Rebou{\c{c}}as D{\'{o}}rea}, title = {Using dorsal surface for individual identification of dairy calves through 3D deep learning algorithms}, journal = {Comput. Electron. Agric.}, volume = {201}, pages = {107272}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.107272}, doi = {10.1016/J.COMPAG.2022.107272}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/FerreiraBRD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/LimaLLB22, author = {Milton V. M. Lima and Ricardo Lima and Fernando Lins and Michel S. Bonfim}, title = {Beholder - {A} CEP-based intrusion detection and prevention systems for IoT environments}, journal = {Comput. Secur.}, volume = {120}, pages = {102824}, year = {2022}, url = {https://doi.org/10.1016/j.cose.2022.102824}, doi = {10.1016/J.COSE.2022.102824}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/LimaLLB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csysl/CasauSS22, author = {Pedro Casau and Ricardo G. Sanfelice and Carlos Silvestre}, title = {On the Robustness of Nominally Well-Posed Event-Triggered Controllers}, journal = {{IEEE} Control. Syst. Lett.}, volume = {6}, pages = {415--420}, year = {2022}, url = {https://doi.org/10.1109/LCSYS.2021.3078365}, doi = {10.1109/LCSYS.2021.3078365}, timestamp = {Wed, 14 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csysl/CasauSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/VieiraNWWW0NN22, author = {Maria D. Vieira and Samuel S. H. Ng and Marcel Walter and Robert Wille and Konrad Walus and Ricardo S. Ferreira and Omar P. Vilela Neto and Jos{\'{e}} Augusto Miranda Nacif}, title = {Three-Input {NPN} Class Gate Library for Atomic Silicon Quantum Dots}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {147--155}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3189814}, doi = {10.1109/MDAT.2022.3189814}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/VieiraNWWW0NN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/RudkeXMFUHSSAM22, author = {Anderson Paulo Rudke and Ana Carolina Freitas Xavier and Leila Droprinchinski Martins and Edmilson Dias de Freitas and Cintia Bertacchi Uvo and Ricardo Hallak and Rodrigo Augusto Ferreira Souza and Rita Val{\'{e}}ria Andreoli de Souza and T. T. de Almeida Albuquerque and Jorge Alberto Martins}, title = {Landscape changes over 30 years of intense economic activity in the upper Paran{\'{a}} River basin}, journal = {Ecol. Informatics}, volume = {72}, pages = {101882}, year = {2022}, url = {https://doi.org/10.1016/j.ecoinf.2022.101882}, doi = {10.1016/J.ECOINF.2022.101882}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/RudkeXMFUHSSAM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/GusmaoHLM22, author = {Ant{\'{o}}nio Paiva Lapas de Gusm{\~{a}}o and Nuno Cavaco Gomes Horta and Nuno Calado Correia Louren{\c{c}}o and Ricardo Miguel Ferreira Martins}, title = {Scalable and order invariant analog integrated circuit placement with Attention-based Graph-to-Sequence deep models}, journal = {Expert Syst. Appl.}, volume = {207}, pages = {117954}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.117954}, doi = {10.1016/J.ESWA.2022.117954}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/GusmaoHLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitsa/SantosPFM22, author = {Nuno Ant{\'{o}}nio Santos and Jaime Pereira and Nuno Ferreira and Ricardo J. Machado}, title = {Using Logical Architecture Models for Inter-Team Management of Distributed Agile Teams}, journal = {Int. J. Inf. Technol. Syst. Approach}, volume = {15}, number = {1}, pages = {1--17}, year = {2022}, url = {https://doi.org/10.4018/IJITSA.289996}, doi = {10.4018/IJITSA.289996}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijitsa/SantosPFM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/GoloARM22, author = {Marcos P. S. G{\^{o}}lo and Adailton Ferreira Araujo and Rafael Geraldeli Rossi and Ricardo M. Marcacini}, title = {Detecting relevant app reviews for software evolution and maintenance through multimodal one-class learning}, journal = {Inf. Softw. Technol.}, volume = {151}, pages = {106998}, year = {2022}, url = {https://doi.org/10.1016/j.infsof.2022.106998}, doi = {10.1016/J.INFSOF.2022.106998}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/GoloARM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbcs/FerreiraSVTSPSTEB22, author = {Fabiana Zaffalon Ferreira and Ricardo Lemos de Souza and Andr{\'{e}} Prisco Vargas and Davi Teixeira and Michel Neves dos Santos and Wanderson Paes and Rafael Augusto Penna dos Santos and Neilor Tonin and Paulo Jefferson Dias de Oliveira Evald and Silvia Silva da Costa Botelho}, title = {Model for evaluation of multiple abilities programming problems in online massive environments}, journal = {J. Braz. Comput. Soc.}, volume = {28}, number = {1}, pages = {104--117}, year = {2022}, url = {https://doi.org/10.5753/jbcs.2022.2744}, doi = {10.5753/JBCS.2022.2744}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbcs/FerreiraSVTSPSTEB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/RodriguesBTO22, author = {Larissa Ferreira Rodrigues and Andr{\'{e}} Ricardo Backes and Bruno Augusto Nassif Traven{\c{c}}olo and Gina Maira Barbosa de Oliveira}, title = {Optimizing a Deep Residual Neural Network with Genetic Algorithm for Acute Lymphoblastic Leukemia Classification}, journal = {J. Digit. Imaging}, volume = {35}, number = {3}, pages = {623--637}, year = {2022}, url = {https://doi.org/10.1007/s10278-022-00600-3}, doi = {10.1007/S10278-022-00600-3}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/RodriguesBTO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/MoraesRJFP22, author = {Jo{\~{a}}o V. C. Moraes and Jessica T. S. Reinaldo and Manuel Ferreira Junior and Telmo de Menezes e Silva Filho and Ricardo B. C. Prud{\^{e}}ncio}, title = {Evaluating regression algorithms at the instance level using item response theory}, journal = {Knowl. Based Syst.}, volume = {240}, pages = {108076}, year = {2022}, url = {https://doi.org/10.1016/j.knosys.2021.108076}, doi = {10.1016/J.KNOSYS.2021.108076}, timestamp = {Fri, 04 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/MoraesRJFP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/JuniorHCACCFBJ22, author = {Jurandir Barreto Galdino Junior and H{\'{e}}lio Roberto H{\'{e}}kis and Jos{\'{e}} Alfredo Ferreira Costa and {\'{I}}on Garcia Mascarenhas de Andrade and Eric Lucas dos Santos Cabral and Wilkson Ricardo Silva Castro and Davidson Rog{\'{e}}rio de Medeiros Florentino and Tiago de Oliveira Barreto and Jo{\~{a}}o Flor{\^{e}}ncio da Costa Junior}, title = {Application of the QFD-fuzzy-SERVQUAL methodology as a quality planning tool at the surgical centre of a public teaching hospital}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {8}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01746-4}, doi = {10.1186/S12911-022-01746-4}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/JuniorHCACCFBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/CanarioFFCR22, author = {Jo{\~{a}}o Paulo Can{\'{a}}rio and Marcos Vinicius Ferreira and Junot Freire and Matheus Carvalho and Ricardo Ara{\'{u}}jo Rios}, title = {A face detection ensemble to monitor the adoption of face masks inside the public transportation during the {COVID-19} pandemic}, journal = {Multim. Tools Appl.}, volume = {81}, number = {23}, pages = {33689--33714}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12806-2}, doi = {10.1007/S11042-022-12806-2}, timestamp = {Wed, 24 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/CanarioFFCR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/LimaAM22, author = {Vitor Mesaque Alves de Lima and Adailton Ferreira de Ara{\'{u}}jo and Ricardo Marcondes Marcacini}, title = {Temporal dynamics of requirements engineering from mobile app reviews}, journal = {PeerJ Comput. Sci.}, volume = {8}, pages = {e874}, year = {2022}, url = {https://doi.org/10.7717/peerj-cs.874}, doi = {10.7717/PEERJ-CS.874}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/LimaAM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rbie/RezendeCSAC22, author = {Caio C{\'{e}}zar da Silva Rezende and Luiz Antonio Borges Cantarino and Phillipe Ferreira de Souza and Tatiane Ornelas Martins Alves and Ricardo Silva Campos}, title = {O impacto de aspectos socioecon{\^{o}}micos no desempenho de estudantes de Sistemas de Informa{\c{c}}{\~{a}}o no Enade}, journal = {Revista Brasileira de Inform{\'{a}}tica na Educ.}, volume = {30}, pages = {157--181}, year = {2022}, url = {https://doi.org/10.5753/rbie.2022.2093}, doi = {10.5753/RBIE.2022.2093}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rbie/RezendeCSAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AlbuquerqueVFSO22, author = {Rafael Walter Albuquerque and Daniel Luis Mascia Vieira and Manuel Eduardo Ferreira and Lucas Pedrosa Soares and S{\o}ren Ingvor Olsen and Luciana Spinelli de Araujo and Luiz Eduardo Vicente and Julio Ricardo Caetano Tymus and Cintia Palheta Balieiro and Marcelo Matsumoto and Carlos Henrique Grohmann}, title = {Mapping Key Indicators of Forest Restoration in the Amazon Using a Low-Cost Drone and Artificial Intelligence}, journal = {Remote. Sens.}, volume = {14}, number = {4}, pages = {830}, year = {2022}, url = {https://doi.org/10.3390/rs14040830}, doi = {10.3390/RS14040830}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/AlbuquerqueVFSO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BarreiraNFPAF22, author = {Jo{\~{a}}o Barreira and Fabio Yuzo Nakamura and Ricardo Ferreira and Jo{\~{a}}o Pereira and Rodrigo Aquino and Pedro Figueiredo}, title = {Season Match Loads of a Portuguese Under-23 Soccer Team: Differences between Different Starting Statuses throughout the Season and Specific Periods within the Season Using Global Positioning Systems}, journal = {Sensors}, volume = {22}, number = {17}, pages = {6379}, year = {2022}, url = {https://doi.org/10.3390/s22176379}, doi = {10.3390/S22176379}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BarreiraNFPAF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/OliveiraRC22, author = {Tiago Emanuel Oliveira and Jo{\~{a}}o Ricardo Reis and Rafael Ferreira Silva Caldeirinha}, title = {Implementation of a {WSN} for Environmental Monitoring: From the Base Station to the Small Sensor Node}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7976}, year = {2022}, url = {https://doi.org/10.3390/s22207976}, doi = {10.3390/S22207976}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/OliveiraRC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RicardoJFNLA22, author = {Diana Marcela Martinez Ricardo and German Efrain Casta{\~{n}}eda Jimenez and Janito Vaqueiro Ferreira and Eur{\'{\i}}pedes Guilherme de Oliveira N{\'{o}}brega and Eduardo Rodrigues de Lima and Larissa Medeiros de Almeida}, title = {Evaluation of Machine Learning Methods for Monitoring the Health of Guyed Towers}, journal = {Sensors}, volume = {22}, number = {1}, pages = {213}, year = {2022}, url = {https://doi.org/10.3390/s22010213}, doi = {10.3390/S22010213}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/RicardoJFNLA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SouzaCBCFVF22, author = {Arthur L. R. Souza and Marcio Assolin Correa and Felipe Bohn and H{\'{e}}lder Castro and Margarida M. Fernandes and Filipe Vaz and Armando Ferreira}, title = {High Performance of Metallic Thin Films for Resistance Temperature Devices with Antimicrobial Properties}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7665}, year = {2022}, url = {https://doi.org/10.3390/s22197665}, doi = {10.3390/S22197665}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SouzaCBCFVF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpa/FerreiraRR22, author = {Marcos Vin{\'{\i}}cius dos Santos Ferreira and Ricardo Ara{\'{u}}jo Rios and Tatiane Nogueira Rios}, title = {\emph{sci}-FTS: Using soft clustering on Intrinsic Mode Functions to model Fuzzy Time Series}, journal = {Softw. Impacts}, volume = {11}, pages = {100230}, year = {2022}, url = {https://doi.org/10.1016/j.simpa.2022.100230}, doi = {10.1016/J.SIMPA.2022.100230}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpa/FerreiraRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sivp/AndradeDFAB22, author = {Renan Gomes de Andrade and Mariah Castro Durval and Isaura Maria Ferreira and Robson Carlos Antunes and Andr{\'{e}} Ricardo Backes}, title = {Automated assessment of water holding capacity in digital images}, journal = {Signal Image Video Process.}, volume = {16}, number = {2}, pages = {465--472}, year = {2022}, url = {https://doi.org/10.1007/s11760-021-01980-9}, doi = {10.1007/S11760-021-01980-9}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sivp/AndradeDFAB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/TanwearLPBGFH22, author = {Asfand Tanwear and Xiangpeng Liang and Elvira Paz and Tim B{\"{o}}hnert and Rami Ghannam and Ricardo Ferreira and Hadi Heidari}, title = {Spintronic Eyeblink Gesture Sensor With Wearable Interface System}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {16}, number = {5}, pages = {779--792}, year = {2022}, url = {https://doi.org/10.1109/TBCAS.2022.3190689}, doi = {10.1109/TBCAS.2022.3190689}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/TanwearLPBGFH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/GarciaDFFQHST22, author = {Diogo C. Garcia and Camilo C. Dorea and Renan U. B. Ferreira and Davi Rabbouni Freitas and Ricardo L. de Queiroz and Rog{\'{e}}rio Higa and Ismael Seidel and Vanessa Testoni}, title = {Differential Transform for Video-Based Plenoptic Point Cloud Coding}, journal = {{IEEE} Trans. Image Process.}, volume = {31}, pages = {1994--2003}, year = {2022}, url = {https://doi.org/10.1109/TIP.2022.3146641}, doi = {10.1109/TIP.2022.3146641}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/GarciaDFFQHST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aib/0001O22, author = {Ricardo Guimar{\~{a}}es and Ana Ozaki}, editor = {Camille Bourgaux and Ana Ozaki and Rafael Pe{\~{n}}aloza}, title = {Reasoning in Knowledge Graphs (Invited Paper)}, booktitle = {International Research School in Artificial Intelligence in Bergen, {AIB} 2022, June 7-11, 2022, University of Bergen, Norway}, series = {OASIcs}, volume = {99}, pages = {2:1--2:31}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/OASIcs.AIB.2022.2}, doi = {10.4230/OASICS.AIB.2022.2}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aib/0001O22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/PazAJFS22, author = {Fillipe Almeida Paz and Filipe Nascimento Almeida and R{\'{u}}bens de Souza Matos J{\'{u}}nior and Itauan Silva Edu{\~{a}}o Ferreira and Ricardo Jose Paiva de Britto Salgueiro}, editor = {Leonard Barolli and Farookh Hussain and Tomoya Enokido}, title = {Improving Urban Mobility with Vehicular Routing: {A} Parallel Approach}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 36th International Conference on Advanced Information Networking and Applications (AINA-2022), Sydney, NSW, Australia, 13-15 April 2022, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {449}, pages = {341--352}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-99584-3\_30}, doi = {10.1007/978-3-030-99584-3\_30}, timestamp = {Thu, 31 Mar 2022 15:19:27 +0200}, biburl = {https://dblp.org/rec/conf/aina/PazAJFS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/CanescheFNP22, author = {Michael Canesche and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif and Fernando Magno Quint{\~{a}}o Pereira}, editor = {Bernhard Egger and Aaron Smith}, title = {A polynomial time exact solution to the bit-aware register binding problem}, booktitle = {{CC} '22: 31st {ACM} {SIGPLAN} International Conference on Compiler Construction, Seoul, South Korea, April 2 - 3, 2022}, pages = {29--40}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3497776.3517773}, doi = {10.1145/3497776.3517773}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cc/CanescheFNP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/FariaC0VFC22, author = {Nuno Faria and Daniel Costa and Jos{\'{e}} Pereira and Ricardo Vila{\c{c}}a and Luis Meruje Ferreira and F{\'{a}}bio Coelho}, title = {{AIDA-DB:} {A} Data Management Architecture for the Edge and Cloud Continuum}, booktitle = {19th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2022, Las Vegas, NV, USA, January 8-11, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCNC49033.2022.9700692}, doi = {10.1109/CCNC49033.2022.9700692}, timestamp = {Mon, 28 Aug 2023 21:17:27 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/FariaC0VFC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/centeris/FerreiraS0C22, author = {Ricardo Ferreira and Crist{\'{o}}v{\~{a}}o Sousa and Davide Carneiro and Carlos Cardeiro}, editor = {Maria Manuela Cruz{-}Cunha and Ricardo Martinho and Rui Rijo and Dulce Domingos and Emanuel Peres}, title = {Data-Driven Production Planning Approach Based on Suppliers and Subcontractors Analysis: The Case of the Footwear Cluster}, booktitle = {{CENTERIS} 2022 - International Conference on ENTERprise Information Systems / ProjMAN - International Conference on Project MANagement / HCist - International Conference on Health and Social Care Information Systems and Technologies 2022, Hybrid Event / Lisbon, Portugal, November 9-11, 2022}, pages = {941--948}, publisher = {Elsevier}, year = {2022}, url = {https://doi.org/10.1016/j.procs.2023.01.370}, doi = {10.1016/J.PROCS.2023.01.370}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/centeris/FerreiraS0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/SilvaSO22, author = {Jonathan Crist{\'{o}}v{\~{a}}o Ferreira da Silva and Mateus Coelho Silva and Ricardo A. R. Oliveira}, editor = {Hong Va Leong and Sahra Sedigh Sarvestani and Yuuichi Teranishi and Alfredo Cuzzocrea and Hiroki Kashiwazaki and Dave Towey and Ji{-}Jiang Yang and Hossain Shahriar}, title = {Towards a novel wearable solution for citrus inspection using Edge {AI}}, booktitle = {46th {IEEE} Annual Computers, Software, and Applications Conferenc, {COMPSAC} 2022, Los Alamitos, CA, USA, June 27 - July 1, 2022}, pages = {966--971}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/COMPSAC54236.2022.00149}, doi = {10.1109/COMPSAC54236.2022.00149}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/SilvaSO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csndsp/OliveiraRC22, author = {Tiago E. S. Oliveira and Jo{\~{a}}o R. Reis and Rafael F. S. Caldeirinha}, title = {{WSN} Sensor Node Antenna Performance Under Fallen Leaves}, booktitle = {13th International Symposium on Communication Systems, Networks and Digital Signal Processing, {CSNDSP} 2022, Porto, Portugal, July 20-22, 2022}, pages = {214--218}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CSNDSP54353.2022.9907994}, doi = {10.1109/CSNDSP54353.2022.9907994}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csndsp/OliveiraRC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsai/ArtificeSFJ22, author = {Andreia Art{\'{\i}}fice and Jo{\~{a}}o Sarraipa and Fernando Ferreira and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {Attention-Aware Pedagogical Agent for Smart Book Reading}, booktitle = {Proceedings of the 10th International Conference on Software Development and Technologies for Enhancing Accessibility and Fighting Info-exclusion, {DSAI} 2022, Lisbon, Portugal, 31 August 2022 - 2 September 2022}, pages = {129--134}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3563137.3563175}, doi = {10.1145/3563137.3563175}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsai/ArtificeSFJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/SeverinoRF22, author = {Ricardo Severino and Jo{\~{a}}o Rodrigues and Luis Lino Ferreira}, title = {Exploring Timing Covert Channel Performance over the {IEEE} 802.15.4}, booktitle = {27th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2022, Stuttgart, Germany, September 6-9, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ETFA52439.2022.9921473}, doi = {10.1109/ETFA52439.2022.9921473}, timestamp = {Wed, 02 Nov 2022 18:01:35 +0100}, biburl = {https://dblp.org/rec/conf/etfa/SeverinoRF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/FerreiraPSTPETD22, author = {Fabiana Zaffalon Ferreira and Andr{\'{e}} Prisco and Ricardo Lemos de Souza and Davi Teixeira and Wanderson Paes and Paulo Jefferson Dias de Oliveira Evald and Neilor Tonin and Sam Devincenzi and Silvia Botelho}, title = {A Recommender System of Computer Programming Exercises based on Student's Multiple Abilities and Skills Model}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2022, Uppsala, Sweden, October 8-11, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FIE56618.2022.9962646}, doi = {10.1109/FIE56618.2022.9962646}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/FerreiraPSTPETD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/ZaffalonPSPETDB22, author = {Fabiana Zaffalon Ferreira and Andr{\'{e}} Prisco and Ricardo Lemos de Souza and Wanderson Paes and Paulo Jefferson Dias de Oliveira Evald and Neilor Tonin and Sam Devincenzi and Silvia Botelho}, title = {Student's Multiple Abilities and Skills Model for Online Judge Systems}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2022, Uppsala, Sweden, October 8-11, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FIE56618.2022.9962428}, doi = {10.1109/FIE56618.2022.9962428}, timestamp = {Wed, 08 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/ZaffalonPSPETDB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/FerreiraCC22, author = {Ana Ferreira and Rui Chilro and Ricardo Cruz{-}Correia}, editor = {Masaaki Kurosu and Sakae Yamamoto and Hirohiko Mori and Marcelo M. Soares and Elizabeth Rosenzweig and Aaron Marcus and Pei{-}Luen Patrick Rau and Don Harris and Wen{-}Chin Li}, title = {AnyMApp Framework: Anonymous Digital Twin Human-App Interactions}, booktitle = {{HCI} International 2022 - Late Breaking Papers. Design, User Experience and Interaction - 24th International Conference on Human-Computer Interaction, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13516}, pages = {214--225}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17615-9\_15}, doi = {10.1007/978-3-031-17615-9\_15}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/FerreiraCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccst/FerreiraBDOSSNP22, author = {Lindemberg Naffah Ferreira and Rog{\'{e}}rio Zupo Braga and Bruno Meira Ten{\'{o}}rio D'Albuquerque and Bruno Eduardo Abreu de Oliveira and Carlos Augusto Lisboa da Silva and Guilherme Couto Soares and Alex Discacciati Neves and Pierre Juli{\~{a}}o Pimentel and Marcelo Maximiliano Maciel and Eufr{\'{a}}sio Alves Cambu{\'{\i}} J{\'{u}}nior and Carlos Renato Machado Confar and Aline Chevrand Campos and Alessandro M{\'{a}}rcio de Moraes Zebral and Jos{\'{e}} Roberto Miranda and Ricardo Petrini de Morais and Adriano Ara{\'{u}}jo Campolina and Osvaldo Lage Scavazza and Luiz Cl{\'{a}}udio Fernandes L. Gomes}, title = {Secure Tax State Line: a Brazilian State Platform for Monitoring Roads, Designed to Support Tax Enforcement and Compliance}, booktitle = {{IEEE} International Carnahan Conference on Security Technology, {ICCST} 2022, Vale{\v{c}} u Hrotovic, Czech Republic, September 7-9, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCST52959.2022.9896597}, doi = {10.1109/ICCST52959.2022.9896597}, timestamp = {Thu, 06 Oct 2022 22:35:08 +0200}, biburl = {https://dblp.org/rec/conf/iccst/FerreiraBDOSSNP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceme/GomesMR22, author = {S{\'{e}}rgio Ricardo Santos Gomes and Jo{\~{a}}o Alexandre L{\^{o}}bo Marques and Ricardo Ferreira Reis}, title = {Intersectoral Linkages in Booming Sector Economies}, booktitle = {13th International Conference on E-business, Management and Economics, {ICEME} 2022, Beijing, China, July 16-18, 2022}, pages = {225--232}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3556089.3556111}, doi = {10.1145/3556089.3556111}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceme/GomesMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/MarujoFQCAS22, author = {Rennan F. B. Marujo and Karine Reis Ferreira and Gilberto Ribeiro de Queiroz and Raphael W. Costa and Jeferson S. Arcanjo and Ricardo C. M. Souza}, title = {Generating Analysis Ready Data Collections for Brazil}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2022, Kuala Lumpur, Malaysia, July 17-22, 2022}, pages = {6844--6847}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IGARSS46834.2022.9884104}, doi = {10.1109/IGARSS46834.2022.9884104}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/MarujoFQCAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intsys/Francisco0B022, author = {Bruno Francisco and Ricardo Ribeiro and Fernando Batista and Jo{\~{a}}o Ferreira}, editor = {Ana L{\'{u}}cia Martins and Jo{\~{a}}o C. Ferreira and Alexander Kocian and Ulpan Tokkozhina}, title = {Analysis of the Tourist's Behavior in Lisbon Using Data from a Mobile Operator}, booktitle = {Intelligent Transport Systems - 6th {EAI} International Conference, {INTSYS} 2022, Lisbon, Portugal, December 15-16, 2022, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {486}, pages = {3--22}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-30855-0\_1}, doi = {10.1007/978-3-031-30855-0\_1}, timestamp = {Thu, 06 Jun 2024 08:02:56 +0200}, biburl = {https://dblp.org/rec/conf/intsys/Francisco0B022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MendesVP0022, author = {Lu{\'{\i}}s Mendes and Jo{\~{a}}o Caldinhas Vaz and F{\'{a}}bio Passos and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {Automatic Design of High-Gain 26.5-to-29.5-GHz Transformer-Less Low-Noise Amplifier 1.86-to-8.87-mW Variants in 65-nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {1988--1992}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937230}, doi = {10.1109/ISCAS48785.2022.9937230}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MendesVP0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vaz0H0022, author = {Pedro Vaz and Ant{\'{o}}nio Gusm{\~{a}}o and Nuno Horta and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {Speeding-Up Complex {RF} {IC} Sizing Optimizations with a Process, Voltage and Temperature Corner Performance Estimator based on ANNs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {1570--1574}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937911}, doi = {10.1109/ISCAS48785.2022.9937911}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Vaz0H0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/MarquesGFVRS22, author = {J{\'{u}}lio Vitor Monteiro Marques and Cl{\'{e}}sio de Ara{\'{u}}jo Gon{\c{c}}alves and Jos{\'{e}} Fernando de Carvalho Ferreira and Rodrigo de Melo Souza Veras and Ricardo de Andrade Lira Rabelo and Romuere R{\^{o}}drigues Veloso e Silva}, editor = {Ajith Abraham and Sabri Pllana and Gabriella Casalino and Kun Ma and Anu Bajaj}, title = {Detection of {COVID-19} in Computed Tomography Images Using Deep Learning}, booktitle = {Intelligent Systems Design and Applications - 22nd International Conference on Intelligent Systems Design and Applications {(ISDA} 2022) Held December 12-14, 2022 - Volume 4}, series = {Lecture Notes in Networks and Systems}, volume = {717}, pages = {143--152}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-35510-3\_15}, doi = {10.1007/978-3-031-35510-3\_15}, timestamp = {Thu, 22 Feb 2024 09:42:25 +0100}, biburl = {https://dblp.org/rec/conf/isda/MarquesGFVRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kr/FerreiraR0022, author = {Jo{\~{a}}o Ferreira and Manuel de Sousa Ribeiro and Ricardo Gon{\c{c}}alves and Jo{\~{a}}o Leite}, editor = {Gabriele Kern{-}Isberner and Gerhard Lakemeyer and Thomas Meyer}, title = {Looking Inside the Black-Box: Logic-based Explanations for Neural Networks}, booktitle = {Proceedings of the 19th International Conference on Principles of Knowledge Representation and Reasoning, {KR} 2022, Haifa, Israel, July 31 - August 5, 2022}, year = {2022}, url = {https://proceedings.kr.org/2022/45/}, timestamp = {Tue, 05 Sep 2023 14:47:29 +0200}, biburl = {https://dblp.org/rec/conf/kr/FerreiraR0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/larc/SilvaCSMMJF22, author = {Bruno S. da Silva and Taua M. Cabreira and Bruno Jos{\'{e}} Olivieri de Souza and Nicholas R. Matias and Ricardo A. O. Machado and L{\'{u}}cio Andr{\'{e}} de Castro Jorge and Paulo Roberto Ferreira Jr.}, editor = {Thiago Pedro Donadon Homem and Reinaldo Augusto da Costa Bianchi and Bruno Marques Ferreira da Silva and Carla da Costa Fernandes Curvelo and Milena Faria Pinto}, title = {Framework for Biological Control with Unmanned Aerial Vehicles}, booktitle = {Latin American Robotics Symposium, Brazilian Symposium on Robotics and Workshop on Robotics in Education {LARS/SBR/WRE} 2022, S{\~{a}}o Bernardo do Campo, Brazil, October 18-21, 2022}, pages = {25--30}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/LARS/SBR/WRE56824.2022.9995875}, doi = {10.1109/LARS/SBR/WRE56824.2022.9995875}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/larc/SilvaCSMMJF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/LuzNFN22, author = {Laysson Oliveira Luz and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira and Omar P. Vilela Neto}, title = {An {NML} in-plane Wire Crossing Structure}, booktitle = {13th {IEEE} Latin America Symposium on Circuits and System, {LASCAS} 2022, Puerto Varas, Chile, March 1-4, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/LASCAS53948.2022.9789062}, doi = {10.1109/LASCAS53948.2022.9789062}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lascas/LuzNFN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/RibeiroJJSS22, author = {Lucas Ribeiro and Ricardo P. Jacobi and Francisco J{\'{u}}nior and Jones Yudi Mori Alves da Silva and Ivan Saraiva Silva}, title = {Evaluating a Machine Learning-based Approach for Cache Configuration}, booktitle = {13th {IEEE} Latin America Symposium on Circuits and System, {LASCAS} 2022, Puerto Varas, Chile, March 1-4, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/LASCAS53948.2022.9789040}, doi = {10.1109/LASCAS53948.2022.9789040}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lascas/RibeiroJJSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobilesoft/PereiraFMA22, author = {Ricardo B. Pereira and Jo{\~{a}}o F. Ferreira and Alexandra Mendes and Rui Abreu}, title = {Extending EcoAndroid with Automated Detection of Resource Leaks}, booktitle = {9th {IEEE/ACM} International Conference on Mobile Software Engineering and Systems, MobileSoft@ICSE 2022, Pittsburgh, PA, USA, May 17-18, 2022}, pages = {17--27}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1145/3524613.3527815}, doi = {10.1145/3524613.3527815}, timestamp = {Wed, 29 Jun 2022 17:24:42 +0200}, biburl = {https://dblp.org/rec/conf/mobilesoft/PereiraFMA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sast/NetoCMVCS22, author = {Jo{\~{a}}o Choma Neto and Ricardo Chagas and Allan Mori and Ricardo Ferreira Vilela and Thelma Elita Colanzi and Simone R. S. Souza}, editor = {Marcelo de Almeida Maia and Fabiano A. Dor{\c{c}}a and Rafael Dias Ara{\'{u}}jo and Breno Alexandro Ferreira de Miranda and Paulo Sergio Lopes de Souza and Edna Dias Canedo}, title = {A Strategy to Support the Infeasible Test Requirements Identification}, booktitle = {{SAST} 2022: 7th Brazilian Symposium on Systematic and Automated Software Testing, Uberlandia, Brazil, October 3 - 7, 2022}, pages = {29--38}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3559744.3559748}, doi = {10.1145/3559744.3559748}, timestamp = {Thu, 19 Oct 2023 13:35:17 +0200}, biburl = {https://dblp.org/rec/conf/sast/NetoCMVCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/0003PV0HGP22, author = {Nuno Louren{\c{c}}o and F{\'{a}}bio Passos and Rafael Vieira and Ricardo Martins and Nuno Horta and Jorge Guilherme and Ricardo P{\'{o}}voa}, title = {Radiation-Hardened Bandgap Voltage and Current Reference for Space Applications with 2.38 ppm/{\textdegree}C Temperature Coefficient}, booktitle = {18th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2022, Villasimius, Italy, June 12-15, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMACD55068.2022.9816300}, doi = {10.1109/SMACD55068.2022.9816300}, timestamp = {Fri, 22 Jul 2022 13:09:21 +0200}, biburl = {https://dblp.org/rec/conf/smacd/0003PV0HGP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/AlvesGH0022, author = {Pedro Alves and Ant{\'{o}}nio Gusm{\~{a}}o and Nuno Horta and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {ANN-based Analog {IC} Floorplan Recommender with a Broader Topological Constraints Coverage}, booktitle = {18th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2022, Villasimius, Italy, June 12-15, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMACD55068.2022.9816195}, doi = {10.1109/SMACD55068.2022.9816195}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/AlvesGH0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/DominguesGH0022, author = {Jo{\~{a}}o Domingues and Ant{\'{o}}nio Gusm{\~{a}}o and Nuno Horta and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {Accelerating Voltage-Controlled Oscillator Sizing Optimizations with ANN-based Convergence Classifiers and Frequency Guess Predictors}, booktitle = {18th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2022, Villasimius, Italy, June 12-15, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMACD55068.2022.9816265}, doi = {10.1109/SMACD55068.2022.9816265}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/DominguesGH0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/PassosL0RCH022, author = {F{\'{a}}bio Passos and Nuno C. Louren{\c{c}}o and Ricardo Martins and Elisenda Roca and Rafael Castro{-}L{\'{o}}pez and Nuno Horta and Francisco V. Fern{\'{a}}ndez}, title = {Machine Learning Approaches for Transformer Modeling}, booktitle = {18th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2022, Villasimius, Italy, June 12-15, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMACD55068.2022.9816303}, doi = {10.1109/SMACD55068.2022.9816303}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/PassosL0RCH022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/VieiraPP0HG022, author = {Rafael Vieira and F{\'{a}}bio Passos and Ricardo Povoa and Ricardo Martins and Nuno Horta and Jorge Guilherme and Nuno Louren{\c{c}}o}, title = {Architectural Design for Heartbeat Detection Circuits using Verilog-A Behavioral Modeling}, booktitle = {18th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2022, Villasimius, Italy, June 12-15, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMACD55068.2022.9816253}, doi = {10.1109/SMACD55068.2022.9816253}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/VieiraPP0HG022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/LiMFMAPFJR22, author = {Weigang Li and Luiz Martins and Nikson Ferreira and Christian Miranda and Lucas S. Althoff and Walner Pessoa and Myl{\`{e}}ne C. Q. Farias and Ricardo Jacobi and Mauricio Rincon}, title = {Heuristic Once Learning for Image {\&} Text Duality Information Processing}, booktitle = {{IEEE} Smartworld, Ubiquitous Intelligence {\&} Computing, Scalable Computing {\&} Communications, Digital Twin, Privacy Computing, Metaverse, Autonomous {\&} Trusted Vehicles, SmartWorld/UIC/ScalCom/DigitalTwin/PriComp/Meta 2022, Haikou, China, December 15-18, 2022}, pages = {1353--1359}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SmartWorld-UIC-ATC-ScalCom-DigitalTwin-PriComp-Metaverse56740.2022.00195}, doi = {10.1109/SMARTWORLD-UIC-ATC-SCALCOM-DIGITALTWIN-PRICOMP-METAVERSE56740.2022.00195}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/LiMFMAPFJR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webmedia/RodriguesSBS22, author = {Renato O. Rodrigues and Jos{\'{e}} Ricardo da Silva Jr. and Diego Brand{\~{a}}o and Joel A. F. dos Santos}, editor = {Thiago Henrique Silva and Leyza Baldo Dorini and Jussara M. Almeida and Humberto Torres Marques{-}Neto}, title = {An Approach for Sensory Effects Dispersion Simulation with Computational Fluid Dynamics}, booktitle = {WebMedia '22: Brazilian Symposium on Multimedia and Web, Curitiba, Brazil, November 7 - 11, 2022}, pages = {368--376}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3539637.3556999}, doi = {10.1145/3539637.3556999}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webmedia/RodriguesSBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-14113, author = {Filipa Valdeira and Ricardo Ferreira and Alessandra Micheletti and Cl{\'{a}}udia Soares}, title = {Probabilistic Registration for Gaussian Process 3D shape modelling in the presence of extensive missing data}, journal = {CoRR}, volume = {abs/2203.14113}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.14113}, doi = {10.48550/ARXIV.2203.14113}, eprinttype = {arXiv}, eprint = {2203.14113}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-14113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-00983, author = {Johanna J{\o}sang and Ricardo Guimar{\~{a}}es and Ana Ozaki}, title = {On the Effectiveness of Knowledge Graph Embeddings: a Rule Mining Approach}, journal = {CoRR}, volume = {abs/2206.00983}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.00983}, doi = {10.48550/ARXIV.2206.00983}, eprinttype = {arXiv}, eprint = {2206.00983}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-00983.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-08640, author = {Jo{\~{a}}o Conde and Ricardo Moreira and Jo{\~{a}}o Torres and Pedro Cardoso and Hugo R. C. Ferreira and Marco O. P. Sampaio and Jo{\~{a}}o Tiago Ascens{\~{a}}o and Pedro Bizarro}, title = {Lightweight Automated Feature Monitoring for Data Streams}, journal = {CoRR}, volume = {abs/2207.08640}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.08640}, doi = {10.48550/ARXIV.2207.08640}, eprinttype = {arXiv}, eprint = {2207.08640}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-08640.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-01131, author = {Nathan Leroux and Danijela Markovic and D{\'{e}}dalo Sanz{-}Hern{\'{a}}ndez and Juan Trastoy and Paolo Bortolotti and Alejandro Schulman and Luana Benetti and Alex Jenkins and Ricardo Ferreira and Julie Grollier and Alice Mizrahi}, title = {{RF} signal classification in hardware with an {RF} spintronic neural network}, journal = {CoRR}, volume = {abs/2211.01131}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.01131}, doi = {10.48550/ARXIV.2211.01131}, eprinttype = {arXiv}, eprint = {2211.01131}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-01131.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-03659, author = {Andrew Ross and Nathan Leroux and Arnaud De Riz and Danijela Markovic and D{\'{e}}dalo Sanz{-}Hern{\'{a}}ndez and Juan Trastoy and Paolo Bortolotti and Damien Querlioz and Leandro Martins and Luana Benetti and Marcel S. Claro and Pedro Anacleto and Alejandro Schulman and Thierry Taris and Jean{-}Baptiste B{\'{e}}gueret and Sylvain Sa{\"{\i}}ghi and Alex S. Jenkins and Ricardo Ferreira and Adrien F. Vincent and Alice Mizrahi and Julie Grollier}, title = {Multilayer spintronic neural networks with radio-frequency connections}, journal = {CoRR}, volume = {abs/2211.03659}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.03659}, doi = {10.48550/ARXIV.2211.03659}, eprinttype = {arXiv}, eprint = {2211.03659}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-03659.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CanelasPLMRCHF21, author = {Ant{\'{o}}nio Canelas and F{\'{a}}bio Passos and Nuno Louren{\c{c}}o and Ricardo Martins and Elisenda Roca and Rafael Castro{-}L{\'{o}}pez and Nuno Horta and Francisco V. Fern{\'{a}}ndez}, title = {Hierarchical Yield-Aware Synthesis Methodology Covering Device-, Circuit-, and System-Level for Radiofrequency ICs}, journal = {{IEEE} Access}, volume = {9}, pages = {124152--124164}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3110758}, doi = {10.1109/ACCESS.2021.3110758}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/CanelasPLMRCHF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/FigueiredoFFARP21, author = {Felipe A. P. de Figueiredo and Michelle S. P. Facina and Ricardo Coelho Ferreira and Yun Ai and Rukhsana Ruby and Quoc{-}Viet Pham and Gustavo Fraidenraich}, title = {Large Intelligent Surfaces With Discrete Set of Phase-Shifts Communicating Through Double-Rayleigh Fading Channels}, journal = {{IEEE} Access}, volume = {9}, pages = {20768--20787}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3053773}, doi = {10.1109/ACCESS.2021.3053773}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/FigueiredoFFARP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MendesVPLM21, author = {Lu{\'{\i}}s Mendes and Jo{\~{a}}o Caldinhas Vaz and F{\'{a}}bio Passos and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {In-Depth Design Space Exploration of 26.5-to-29.5-GHz 65-nm {CMOS} Low-Noise Amplifiers for Low-Footprint-and-Power 5G Communications Using One-and- Two -Step Design Optimization}, journal = {{IEEE} Access}, volume = {9}, pages = {70353--70368}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3078240}, doi = {10.1109/ACCESS.2021.3078240}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MendesVPLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ValdeiraFMS21, author = {Filipa Valdeira and Ricardo Ferreira and Alessandra Micheletti and Cl{\'{a}}udia Soares}, title = {From Noisy Point Clouds to Complete Ear Shapes: Unsupervised Pipeline}, journal = {{IEEE} Access}, volume = {9}, pages = {127720--127734}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3111811}, doi = {10.1109/ACCESS.2021.3111811}, timestamp = {Sat, 06 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ValdeiraFMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/FerreiraRMR21, author = {Marcos Vin{\'{\i}}cius dos Santos Ferreira and Ricardo Ara{\'{u}}jo Rios and Rodrigo Fernandes de Mello and Tatiane Nogueira Rios}, title = {Using fuzzy clustering to address imprecision and uncertainty present in deterministic components of time series}, journal = {Appl. Soft Comput.}, volume = {113}, number = {Part}, pages = {108011}, year = {2021}, url = {https://doi.org/10.1016/j.asoc.2021.108011}, doi = {10.1016/J.ASOC.2021.108011}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/FerreiraRMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogcom/SimaoFPOPRRCCT21, author = {Ana Margarida Veiga Sim{\~{a}}o and Paula Costa Ferreira and N{\'{a}}dia Salgado Pereira and Sofia Oliveira and Paula Paulino and Hugo Rosa and Ricardo Ribeiro and Lu{\'{\i}}sa Coheur and Jo{\~{a}}o Paulo Carvalho and Isabel Trancoso}, title = {Prosociality in Cyberspace: Developing Emotion and Behavioral Regulation to Decrease Aggressive Communication}, journal = {Cogn. Comput.}, volume = {13}, number = {3}, pages = {736--750}, year = {2021}, url = {https://doi.org/10.1007/s12559-021-09852-7}, doi = {10.1007/S12559-021-09852-7}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cogcom/SimaoFPOPRRCCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computers/TeixeiraFMAVLCA21, author = {Filipe B. Teixeira and Bruno M. Ferreira and Nuno Moreira and Nuno Abreu and Murillo Villa and Jo{\~{a}}o P. Loureiro and Nuno Alexandre Cruz and Jos{\'{e}} Carlos Alves and Manuel Ricardo and Rui Campos}, title = {A Novel Simulation Platform for Underwater Data Muling Communications Using Autonomous Underwater Vehicles}, journal = {Comput.}, volume = {10}, number = {10}, pages = {119}, year = {2021}, url = {https://doi.org/10.3390/computers10100119}, doi = {10.3390/COMPUTERS10100119}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computers/TeixeiraFMAVLCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/MartinsLPH21, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Ricardo P{\'{o}}voa and Nuno Horta}, title = {Shortening the gap between pre- and post-layout analog {IC} performance by reducing the LDE-induced variations with multi-objective simulated quantum annealing}, journal = {Eng. Appl. Artif. Intell.}, volume = {98}, pages = {104102}, year = {2021}, url = {https://doi.org/10.1016/j.engappai.2020.104102}, doi = {10.1016/J.ENGAPPAI.2020.104102}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/MartinsLPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/FerreiraFFFL21, author = {Ricardo Coelho Ferreira and Michelle S. P. Facina and Felipe A. P. de Figueiredo and Gustavo Fraidenraich and Eduardo Rodrigues de Lima}, title = {Secrecy Analysis and Error Probability of LIS-Aided Communication Systems under Nakagami-m Fading}, journal = {Entropy}, volume = {23}, number = {10}, pages = {1284}, year = {2021}, url = {https://doi.org/10.3390/e23101284}, doi = {10.3390/E23101284}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/FerreiraFFFL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/FerreiraP21, author = {Ricardo M. Ferreira and Paulo J. Pereira}, title = {A dynamic model for venture capitalists' entry-exit investment decisions}, journal = {Eur. J. Oper. Res.}, volume = {290}, number = {2}, pages = {779--789}, year = {2021}, url = {https://doi.org/10.1016/j.ejor.2020.08.014}, doi = {10.1016/J.EJOR.2020.08.014}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/FerreiraP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/DiasMNCMP21, author = {Lucas V. Dias and P{\'{e}}ricles B. C. de Miranda and Andr{\'{e}} C. A. Nascimento and Filipe R. Cordeiro and Rafael Ferreira Mello and Ricardo B. C. Prud{\^{e}}ncio}, title = {ImageDataset2Vec: An image dataset embedding for algorithm selection}, journal = {Expert Syst. Appl.}, volume = {180}, pages = {115053}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115053}, doi = {10.1016/J.ESWA.2021.115053}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/DiasMNCMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijehmc/PereiraPFSSJS21, author = {Leandro Ferreira Pereira and V{\^{a}}nia Patr{\'{\i}}cio and Ant{\'{o}}nio Fernandes and Jos{\'{e}} Santos and Ricardo Santos and Carlos M. Jer{\'{o}}nimo and Francisco Sim{\~{o}}es}, title = {Benefits Measurement of a Plan to Reduce Hypertension in a Healthcare Foundation Using the BCTool}, journal = {Int. J. {E} Health Medical Commun.}, volume = {12}, number = {6}, pages = {1--19}, year = {2021}, url = {https://doi.org/10.4018/ijehmc.20211101.oa13}, doi = {10.4018/IJEHMC.20211101.OA13}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijehmc/PereiraPFSSJS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/GomesTC21, author = {Luiz Alberto Ferreira Gomes and Ricardo da Silva Torres and Mario L{\'{u}}cio C{\^{o}}rtes}, title = {On the prediction of long-lived bugs: An analysis and comparative study using {FLOSS} projects}, journal = {Inf. Softw. Technol.}, volume = {132}, pages = {106508}, year = {2021}, url = {https://doi.org/10.1016/j.infsof.2020.106508}, doi = {10.1016/J.INFSOF.2020.106508}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/GomesTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/AfacanLMD21, author = {Engin Afacan and Nuno Louren{\c{c}}o and Ricardo Martins and G{\"{u}}nhan D{\"{u}}ndar}, title = {Review: Machine learning techniques in analog/RF integrated circuit design, synthesis, layout, and test}, journal = {Integr.}, volume = {77}, pages = {113--130}, year = {2021}, url = {https://doi.org/10.1016/j.vlsi.2020.11.006}, doi = {10.1016/J.VLSI.2020.11.006}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/AfacanLMD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgs/MartinesFTADD21, author = {Marcos Roberto Martines and Ricardo Vicente Ferreira and Rog{\'{e}}rio Hartung Toppa and Luiza Maria de Assun{\c{c}}{\~{a}}o and Michael R. Desjardins and Eric M. Delmelle}, title = {Detecting space-time clusters of {COVID-19} in Brazil: mortality, inequality, socioeconomic vulnerability, and the relative risk of the disease in Brazilian municipalities}, journal = {J. Geogr. Syst.}, volume = {23}, number = {1}, pages = {7--36}, year = {2021}, url = {https://doi.org/10.1007/s10109-020-00344-0}, doi = {10.1007/S10109-020-00344-0}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgs/MartinesFTADD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/FerreiraGIF21, author = {Andr{\'{e}} Prata Ferreira and Chetna Gupta and Pedro R. M. In{\'{a}}cio and M{\'{a}}rio M. Freire}, title = {Behaviour-based Malware Detection in Mobile AndroidPlatforms Using Machine Learning Algorithms}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {12}, number = {4}, pages = {62--88}, year = {2021}, url = {https://doi.org/10.22667/JOWUA.2021.12.31.062}, doi = {10.22667/JOWUA.2021.12.31.062}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jowua/FerreiraGIF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsan/DionisioTRF21, author = {Rogerio Dionisio and Pedro M. B. Torres and Armando Ramalho and Ricardo Ferreira}, title = {Magnetoresistive Sensors and Piezoresistive Accelerometers for Vibration Measurements: {A} Comparative Study}, journal = {J. Sens. Actuator Networks}, volume = {10}, number = {1}, pages = {22}, year = {2021}, url = {https://doi.org/10.3390/jsan10010022}, doi = {10.3390/JSAN10010022}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsan/DionisioTRF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jserd/SouzaFVFMSC21, author = {{\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Nandamudi L. Vijaykumar and K{\'{a}}tia Romero Felizardo and Giovani V. Meinerz and Marcos S. Specimille and Alexandre G. N. Coelho}, title = {Development of an Ontology-based Approach for Knowledge Management in Software Testing}, journal = {J. Softw. Eng. Res. Dev.}, volume = {9}, pages = {12:1--12:21}, year = {2021}, url = {https://doi.org/10.5753/jserd.2021.1898}, doi = {10.5753/JSERD.2021.1898}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jserd/SouzaFVFMSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/HannPZGBNFP21, author = {Evan Hann and Iulia A. Popescu and Qiang Zhang and Ricardo A. Gonzales and Ahmet Barutcu and Stefan Neubauer and Vanessa M. Ferreira and Stefan K. Piechnik}, title = {Deep neural network ensemble for on-the-fly quality control-driven segmentation of cardiac {MRI} {T1} mapping}, journal = {Medical Image Anal.}, volume = {71}, pages = {102029}, year = {2021}, url = {https://doi.org/10.1016/j.media.2021.102029}, doi = {10.1016/J.MEDIA.2021.102029}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/HannPZGBNFP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuromorphic/LerouxMMSTBMJFG21, author = {Nathan Leroux and Alice Mizrahi and Danijela Markovic and D{\'{e}}dalo Sanz{-}Hern{\'{a}}ndez and Juan Trastoy and Paolo Bortolotti and Leandro Martins and Alex Jenkins and Ricardo Ferreira and Julie Grollier}, title = {Hardware realization of the multiply and accumulate operation on radio-frequency signals with magnetic tunnel junctions}, journal = {Neuromorph. Comput. Eng.}, volume = {1}, number = {1}, pages = {11001}, year = {2021}, url = {https://doi.org/10.1088/2634-4386/abfca6}, doi = {10.1088/2634-4386/ABFCA6}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuromorphic/LerouxMMSTBMJFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AlbuquerqueFOTB21, author = {Rafael Walter Albuquerque and Manuel Eduardo Ferreira and S{\o}ren Ingvor Olsen and Julio Ricardo Caetano Tymus and Cintia Palheta Balieiro and Hendrik Mansur and Ciro Jos{\'{e}} Ribeiro Moura and Jo{\~{a}}o Vitor Silva Costa and Maur{\'{\i}}cio Ruiz Castello Branco and Carlos Henrique Grohmann}, title = {Forest Restoration Monitoring Protocol with a Low-Cost Remotely Piloted Aircraft: Lessons Learned from a Case Study in the Brazilian Atlantic Forest}, journal = {Remote. Sens.}, volume = {13}, number = {12}, pages = {2401}, year = {2021}, url = {https://doi.org/10.3390/rs13122401}, doi = {10.3390/RS13122401}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/AlbuquerqueFOTB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KuckFSBSD21, author = {Tahisa Neitzel Kuck and Paulo Fernando Ferreira Silva Filho and Edson Eyji Sano and Polyanna da Concei{\c{c}}{\~{a}}o Bispo and Elcio Hideiti Shiguemori and Ricardo Dalagnol}, title = {Change Detection of Selective Logging in the Brazilian Amazon Using X-Band {SAR} Data and Pre-Trained Convolutional Neural Networks}, journal = {Remote. Sens.}, volume = {13}, number = {23}, pages = {4944}, year = {2021}, url = {https://doi.org/10.3390/rs13234944}, doi = {10.3390/RS13234944}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/KuckFSBSD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Bouca-MachadoPL21, author = {Raquel Bou{\c{c}}a{-}Machado and Filipa Pona{-}Ferreira and Mariana Leit{\~{a}}o and Ana Clemente and Diogo Vila{-}Vi{\c{c}}osa and Linda Azevedo Kauppila and Rui M. Costa and Ricardo Matias and Joaquim Ferreira}, title = {Feasibility of a Mobile-Based System for Unsupervised Monitoring in Parkinson's Disease}, journal = {Sensors}, volume = {21}, number = {15}, pages = {4972}, year = {2021}, url = {https://doi.org/10.3390/s21154972}, doi = {10.3390/S21154972}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Bouca-MachadoPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Ferreira-GomesO21, author = {Bruno Ferreira{-}Gomes and Osvaldo N. Oliveira and Jorge Ricardo Mej{\'{\i}}a{-}Salazar}, title = {Chiral Dielectric Metasurfaces for Highly Integrated, Broadband Circularly Polarized Antenna}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2071}, year = {2021}, url = {https://doi.org/10.3390/s21062071}, doi = {10.3390/S21062071}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Ferreira-GomesO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FerreiraGOSC21, author = {C{\'{e}}lio Marcio Soares Ferreira and Charles Tim Batista Garrocho and Ricardo Augusto Rabelo Oliveira and Jorge S{\'{a}} Silva and Carlos Frederico Marcelo da Cunha Cavalcanti}, title = {IoT Registration and Authentication in Smart City Applications with Blockchain}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1323}, year = {2021}, url = {https://doi.org/10.3390/s21041323}, doi = {10.3390/S21041323}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/FerreiraGOSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PaixaoFDA21, author = {Tiago Paix{\~{a}}o and Ricardo Ferreira and Maria de F{\'{a}}tima Domingues and Paulo Fernando da Costa Antunes}, title = {Fiber Optic Load Cells with Enhanced Sensitivity by Optical Vernier Effect}, journal = {Sensors}, volume = {21}, number = {22}, pages = {7737}, year = {2021}, url = {https://doi.org/10.3390/s21227737}, doi = {10.3390/S21227737}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/PaixaoFDA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ReisVOFC21, author = {Jo{\~{a}}o R. Reis and M{\'{a}}rio Vala and Tiago Emanuel Oliveira and Telmo Rui Fernandes and Rafael F. S. Caldeirinha}, title = {Metamaterial-Inspired Flat Beamsteering Antenna for 5G Base Stations at 3.6 GHz}, journal = {Sensors}, volume = {21}, number = {23}, pages = {8116}, year = {2021}, url = {https://doi.org/10.3390/s21238116}, doi = {10.3390/S21238116}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ReisVOFC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SilvaSDBRSO21, author = {Mateus C. Silva and Jonathan Crist{\'{o}}v{\~{a}}o Ferreira da Silva and Saul E. Delabrida and Andrea G. C. Bianchi and S{\'{e}}rvio Pontes Ribeiro and Jorge S{\'{a}} Silva and Ricardo A. R. Oliveira}, title = {Wearable Edge {AI} Applications for Ecological Environments}, journal = {Sensors}, volume = {21}, number = {15}, pages = {5082}, year = {2021}, url = {https://doi.org/10.3390/s21155082}, doi = {10.3390/S21155082}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SilvaSDBRSO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SouzaGFOVBC21, author = {Arthur L. R. Souza and Matheus Gamino and Armando Ferreira and Alexandre Barbosa de Oliveira and Filipe Vaz and Felipe Bohn and Marcio Assolin Correa}, title = {Directional Field-Dependence of Magnetoimpedance Effect on Integrated YIG/Pt-Stripline System}, journal = {Sensors}, volume = {21}, number = {18}, pages = {6145}, year = {2021}, url = {https://doi.org/10.3390/s21186145}, doi = {10.3390/S21186145}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SouzaGFOVBC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZamiriSLMOCJ21, author = {Majid Zamiri and Jo{\~{a}}o Sarraipa and Fernando Luis{-}Ferreira and Gary McManus and Philip O'Brien and Luis M. Camarinha{-}Matos and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {Review of Technology-Supported Multimodal Solutions for People with Dementia}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4806}, year = {2021}, url = {https://doi.org/10.3390/s21144806}, doi = {10.3390/S21144806}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZamiriSLMOCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CanescheMCTJNF21, author = {Michael Canesche and Marcelo M. Menezes and Westerley Carvalho and Frank Sill Torres and Peter Jamieson and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {{TRAVERSAL:} {A} Fast and Adaptive Graph-Based Placement and Routing for CGRAs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {8}, pages = {1600--1612}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3025513}, doi = {10.1109/TCAD.2020.3025513}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/CanescheMCTJNF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/AlmeidaBT0N21, author = {Danilo Dami{\~{a}}o Almeida and Lucas Bragan{\c{c}}a and Frank Sill Torres and Ricardo Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, title = {HAMBug: {A} Hybrid {CPU-FPGA} System to Detect Race Conditions}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {9}, pages = {3158--3162}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2021.3093985}, doi = {10.1109/TCSII.2021.3093985}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/AlmeidaBT0N21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/MendesOCNB21, author = {Ricardo Mendes and Tiago Oliveira and Vinicius Vielmo Cogo and Nuno Neves and Alysson Bessani}, title = {Charon: {A} Secure Cloud-of-Clouds System for Storing and Sharing Big Data}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {9}, number = {4}, pages = {1349--1361}, year = {2021}, url = {https://doi.org/10.1109/TCC.2019.2916856}, doi = {10.1109/TCC.2019.2916856}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/MendesOCNB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/CanescheCROMJN021, author = {Michael Canesche and Westerley Carvalho and Lucas Reis and Matheus Aguilar de Oliveira and Salles V. G. Magalh{\~{a}}es and Peter Jamieson and Jos{\'{e}} Augusto Miranda Nacif and Ricardo Ferreira}, title = {You Only Traverse Twice: {A} {YOTT} Placement, Routing, and Timing Approach for CGRAs}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {20}, number = {5s}, pages = {52:1--52:25}, year = {2021}, url = {https://doi.org/10.1145/3477038}, doi = {10.1145/3477038}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/CanescheCROMJN021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/Ferreira21, author = {Ricardo Felipe Ferreira}, title = {Concentration Bounds for Plug-In Estimator of Directed Information}, journal = {{IEEE} Trans. Inf. Theory}, volume = {67}, number = {8}, pages = {4939--4947}, year = {2021}, url = {https://doi.org/10.1109/TIT.2021.3075612}, doi = {10.1109/TIT.2021.3075612}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/Ferreira21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/0003OPS21, author = {Ricardo Guimar{\~{a}}es and Ana Ozaki and Cosimo Persia and Baris Sertkaya}, title = {Mining {EL} Bases with Adaptable Role Depth}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {6367--6374}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i7.16790}, doi = {10.1609/AAAI.V35I7.16790}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/0003OPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/artech/CletoFC21, author = {B{\'{a}}rbara Cleto and Maria Ferreira and Ricardo Carvalho}, editor = {Ad{\'{e}}rito Fernandes Marcos and Paulo Bernardino Bastos and Maria Manuela Lopes and Ant{\'{o}}nio Bandeira Ara{\'{u}}jo and Lucas Fabi{\'{a}}n Olivero}, title = {An{\'{a}}lise de intera{\c{c}}{\~{o}}es de alunos do ensino secund{\'{a}}rio em ambientes virtuais}, booktitle = {{ARTECH} 2021: 10th International Conference on Digital and Interactive Arts, Aveiro, Portugal, October 13 - 15, 2021}, pages = {34:1--34:8}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3483529.3483678}, doi = {10.1145/3483529.3483678}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/artech/CletoFC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/AlmeidaSV21, author = {Ricardo Almeida and Nuno Andr{\'{e}} Silva and Andr{\'{e}} Vasconcelos}, editor = {Catia Pesquita and Ana Fred and Hugo Gamboa}, title = {A Machine Learning Approach for Real Time Prediction of Last Minute Medical Appointments No-shows}, booktitle = {Proceedings of the 14th International Joint Conference on Biomedical Engineering Systems and Technologies, {BIOSTEC} 2021, Volume 5: HEALTHINF, Online Streaming, February 11-13, 2021}, pages = {328--336}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010221903280336}, doi = {10.5220/0010221903280336}, timestamp = {Tue, 13 Aug 2024 14:18:08 +0200}, biburl = {https://dblp.org/rec/conf/biostec/AlmeidaSV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blockchain/GoncalvesFGPP21, author = {Ricardo Gon{\c{c}}alves and In{\^{e}}s Ferreira and Radu Godina and Pedro Pinto and Ant{\'{o}}nio Pinto}, editor = {Javier Prieto and Alberto Partida and Paulo Leit{\~{a}}o and Ant{\'{o}}nio Pinto}, title = {A Smart Contract Architecture to Enhance the Industrial Symbiosis Process Between the Pulp and Paper Companies - {A} Case Study}, booktitle = {Blockchain and Applications - 3rd International Congress, {BLOCKCHAIN} 2021, Salamanca, Spain, 6-8 October, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {320}, pages = {252--260}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-86162-9\_25}, doi = {10.1007/978-3-030-86162-9\_25}, timestamp = {Sat, 30 Sep 2023 09:35:36 +0200}, biburl = {https://dblp.org/rec/conf/blockchain/GoncalvesFGPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bracis/FerreiraACSNR21, author = {Marcos Vin{\'{\i}}cius dos Santos Ferreira and Ariel Almeida and Jo{\~{a}}o Paulo Can{\'{a}}rio and Matheus Souza and Tatiane M. Nogueira and Ricardo Ara{\'{u}}jo Rios}, editor = {Andr{\'{e}} Britto and Karina Valdivia Delgado}, title = {Ethics of {AI:} Do the Face Detection Models Act with Prejudice?}, booktitle = {Intelligent Systems - 10th Brazilian Conference, {BRACIS} 2021, Virtual Event, November 29 - December 3, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13074}, pages = {89--103}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-91699-2\_7}, doi = {10.1007/978-3-030-91699-2\_7}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bracis/FerreiraACSNR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/centeris/LimaAVRMYBF21, author = {In{\'{a}}cia Bezerra de Lima and Domingos Alves and Andr{\'{e}} Luiz Teixeira Vinci and Rui Pedro Charters Lopes Rijo and Ricardo Martinho and Diego Bettiol Yamada and Filipe Andrade Bernardi and Antonia Regina Ferreira Furegato}, editor = {Maria Manuela Cruz{-}Cunha and Ricardo Martinho and Rui Rijo and Dulce Domingos and Emanuel Peres}, title = {Mental health indicators in the hospitalization process in a Brazilian psychosocial care network}, booktitle = {{CENTERIS} 2021 - International Conference on ENTERprise Information Systems / ProjMAN 2021 - International Conference on Project MANagement / HCist 2021 - International Conference on Health and Social Care Information Systems and Technologies 2021, Braga, Portugal}, series = {Procedia Computer Science}, volume = {196}, pages = {623--630}, publisher = {Elsevier}, year = {2021}, url = {https://doi.org/10.1016/j.procs.2021.12.057}, doi = {10.1016/J.PROCS.2021.12.057}, timestamp = {Tue, 23 Apr 2024 23:18:35 +0200}, biburl = {https://dblp.org/rec/conf/centeris/LimaAVRMYBF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clei/OliveiraOVPU21, author = {Denis B. Oliveira and Ricardo R. Oliveira and Ricardo Ferreira Vilela and Victor H. S. C. Pinto and Roberto N. Ungarelli}, title = {Experimental Performance Evaluation Among Cloud Infrastructure Providers Under Different Load Levels}, booktitle = {{XLVII} Latin American Computing Conference, {CLEI} 2021, Cartago, Costa Rica, October 25-29, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CLEI53233.2021.9639943}, doi = {10.1109/CLEI53233.2021.9639943}, timestamp = {Mon, 03 Jan 2022 22:33:12 +0100}, biburl = {https://dblp.org/rec/conf/clei/OliveiraOVPU21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/JamiesonKN021, author = {Peter Jamieson and Santhiya Sampath Kumar and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {Analyzing a Low-bit rate Audio Codec - Codec2 - on an {FPGA}}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2021, Las Vegas, NV, USA, December 15-17, 2021}, pages = {1486--1492}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CSCI54926.2021.00065}, doi = {10.1109/CSCI54926.2021.00065}, timestamp = {Tue, 23 Apr 2024 12:44:18 +0200}, biburl = {https://dblp.org/rec/conf/csci/JamiesonKN021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GusmaoH0021, author = {Ant{\'{o}}nio Gusm{\~{a}}o and Nuno Horta and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {Late Breaking Results: Attention in Graph2Seq Neural Networks towards Push-Button Analog {IC} Placement}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1360--1361}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586177}, doi = {10.1109/DAC18074.2021.9586177}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GusmaoH0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dli/CletoCF21, author = {B{\'{a}}rbara Cleto and Ricardo Carvalho and Maria Ferreira}, editor = {Eva Brooks and Jeanette Sj{\"{o}}berg and Anders Kalsgaard M{\o}ller}, title = {Students' Perceptions Exploring a WebXR Learning Environment}, booktitle = {Design, Learning, and Innovation - 6th {EAI} International Conference, {DLI} 2021, Virtual Event, December 10-11, 2021, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {435}, pages = {230--241}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-06675-7\_17}, doi = {10.1007/978-3-031-06675-7\_17}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dli/CletoCF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dlog/0001OPS21, author = {Ricardo Guimar{\~{a}}es and Ana Ozaki and Cosimo Persia and Baris Sertkaya}, editor = {Martin Homola and Vladislav Ryzhikov and Renate A. Schmidt}, title = {Mining EL{\(\perp\)} Bases with Adaptable Role Depth (Extended Abstract)}, booktitle = {Proceedings of the 34th International Workshop on Description Logics {(DL} 2021) part of Bratislava Knowledge September {(BAKS} 2021), Bratislava, Slovakia, September 19th to 22nd, 2021}, series = {{CEUR} Workshop Proceedings}, volume = {2954}, publisher = {CEUR-WS.org}, year = {2021}, url = {https://ceur-ws.org/Vol-2954/abstract-19.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:15 +0100}, biburl = {https://dblp.org/rec/conf/dlog/0001OPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dlog/Ribeiro0O21, author = {Jandson S. Ribeiro and Ricardo Guimar{\~{a}}es and Ana Ozaki}, editor = {Martin Homola and Vladislav Ryzhikov and Renate A. Schmidt}, title = {Revising Ontologies via Models: The ALC-formula Case}, booktitle = {Proceedings of the 34th International Workshop on Description Logics {(DL} 2021) part of Bratislava Knowledge September {(BAKS} 2021), Bratislava, Slovakia, September 19th to 22nd, 2021}, series = {{CEUR} Workshop Proceedings}, volume = {2954}, publisher = {CEUR-WS.org}, year = {2021}, url = {https://ceur-ws.org/Vol-2954/paper-26.pdf}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dlog/Ribeiro0O21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/epia/FerreiraL0KK021, author = {Ricardo Ferreira and Carolina Lopes and Ricardo Gon{\c{c}}alves and Matthias Knorr and Ludwig Krippahl and Jo{\~{a}}o Leite}, editor = {Goreti Marreiros and Francisco S. Melo and Nuno Lau and Henrique Lopes Cardoso and Lu{\'{\i}}s Paulo Reis}, title = {Deep Neural Networks for Approximating Stream Reasoning with {C-SPARQL}}, booktitle = {Progress in Artificial Intelligence - 20th {EPIA} Conference on Artificial Intelligence, {EPIA} 2021, Virtual Event, September 7-9, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12981}, pages = {338--350}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-86230-5\_27}, doi = {10.1007/978-3-030-86230-5\_27}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/epia/FerreiraL0KK021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/epia/FerreiraL0KK021a, author = {Jo{\~{a}}o Ferreira and Diogo Lavado and Ricardo Gon{\c{c}}alves and Matthias Knorr and Ludwig Krippahl and Jo{\~{a}}o Leite}, editor = {Goreti Marreiros and Francisco S. Melo and Nuno Lau and Henrique Lopes Cardoso and Lu{\'{\i}}s Paulo Reis}, title = {Faster Than {LASER} - Towards Stream Reasoning with Deep Neural Networks}, booktitle = {Progress in Artificial Intelligence - 20th {EPIA} Conference on Artificial Intelligence, {EPIA} 2021, Virtual Event, September 7-9, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12981}, pages = {363--375}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-86230-5\_29}, doi = {10.1007/978-3-030-86230-5\_29}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/epia/FerreiraL0KK021a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/FerreiraPSTNBTP21, author = {Fabiana Zaffalon Ferreira and Andr{\'{e}} Prisco and Ricardo Lemos de Souza and Davi Teixeira and Michel Neves and Jean Luca Bez and Neilor Tonin and Rafael Penna and Silvia Botelho}, title = {Estimating the Multiple Skills of Students in Massive Programming Environments}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2021, Lincoln, NE, USA, October 13-16, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FIE49875.2021.9637456}, doi = {10.1109/FIE49875.2021.9637456}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/FerreiraPSTNBTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/JamiesonFN21, author = {Peter Jamieson and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, title = {Personalizing Online Computer Engineering Resources and Labs for Digital, Embedded, and Computer System Courses}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2021, Lincoln, NE, USA, October 13-16, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FIE49875.2021.9637244}, doi = {10.1109/FIE49875.2021.9637244}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/JamiesonFN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/SouzaFB21, author = {Ricardo Lemos de Souza and Fabiana Zaffalon Ferreira and Silvia Silva da Costa Botelho}, title = {Static Analysis Model For Assessing Source Codes With {TFIDF}}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2021, Lincoln, NE, USA, October 13-16, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FIE49875.2021.9637183}, doi = {10.1109/FIE49875.2021.9637183}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fie/SouzaFB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/PugaBBFM21, author = {Ricardo Puga and Jos{\'{e}} Baptista and Jos{\'{e}} Boaventura Cunha and Judite Ferreira and Ana Madureira}, editor = {Ajith Abraham and Ana Maria Madureira and Arturas Kaklauskas and Niketa Gandhi and Anu Bajaj and Azah Kamilah Muda and Dalia Kriksciuniene and Jo{\~{a}}o Carlos Ferreira}, title = {State of the Art of Wind and Power Prediction for Wind Farms}, booktitle = {Innovations in Bio-Inspired Computing and Applications - Proceedings of the 12th International Conference on Innovations in Bio-Inspired Computing and Applications {(IBICA} 2021) Held During December 16-18, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {419}, pages = {723--732}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96299-9\_68}, doi = {10.1007/978-3-030-96299-9\_68}, timestamp = {Tue, 21 Mar 2023 21:00:55 +0100}, biburl = {https://dblp.org/rec/conf/ibica/PugaBBFM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/PugaBFM21, author = {Ricardo Puga and Jos{\'{e}} Boaventura Cunha and Judite Ferreira and Ana Madureira}, editor = {Ajith Abraham and Ana Maria Madureira and Arturas Kaklauskas and Niketa Gandhi and Anu Bajaj and Azah Kamilah Muda and Dalia Kriksciuniene and Jo{\~{a}}o Carlos Ferreira}, title = {State of the Art on Advanced Control of Electric Energy Transformation to Hydrogen}, booktitle = {Innovations in Bio-Inspired Computing and Applications - Proceedings of the 12th International Conference on Innovations in Bio-Inspired Computing and Applications {(IBICA} 2021) Held During December 16-18, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {419}, pages = {733--742}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96299-9\_69}, doi = {10.1007/978-3-030-96299-9\_69}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/PugaBFM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/SilvaFOTMPCCCSB21, author = {Mateus O. Silva and David Alan de Oliveira Ferreira and Kethilen Y. Ouchi and Gustavo M. Torres and Edma V. C. Urtiga Mattos and Ant{\^{o}}nio M. C. Pereira and Luciana R. Costa and Victor L. G. Cavalcante and Caio F. S. Cruz and Agemilson P. Silva and Ruan J. S. Bel{\'{e}}m and Anderson S. Jesus and Wilson C. C. Junior and Ricardo G. Paula and Thiago B. Bezerra and Waldir S. S. Junior and Celso B. Carvalho}, title = {Automated Bright Pixel Detection System on {LCD} Displays}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2021, Las Vegas, NV, USA, January 10-12, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE50685.2021.9427743}, doi = {10.1109/ICCE50685.2021.9427743}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/SilvaFOTMPCCCSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/TorresSFJOVSCMP21, author = {Gustavo M. Torres and Adriana S. Souza and David A. O. Ferreira and Luiz C. S. Garcia J{\'{u}}nior and Kethilen Y. Ouchi and Myke D. M. Valad{\~{a}}o and Mateus O. Silva and Victor L. G. Cavalcante and Edma V. C. Urtiga Mattos and Ant{\^{o}}nio M. C. Pereira and Caio F. S. Cruz and Agemilson P. Silva and Ruan J. S. Bel{\'{e}}m and Andr{\'{e}} S. Costa and Lucas Gabriel Coimbra Evangelista and Wilson C. C. Junior and Ricardo G. Paula and Thiago B. Bezerra and Waldir S. S. Junior and Celso B. Carvalho}, title = {Automated Mura Defect Detection System on {LCD} Displays using Random Forest Classifier}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2021, Las Vegas, NV, USA, January 10-12, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE50685.2021.9427579}, doi = {10.1109/ICCE50685.2021.9427579}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/TorresSFJOVSCMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ice-itmc/ZamiriFSSGJ21, author = {Majid Zamiri and Jos{\'{e}} Ferreira and Jo{\~{a}}o Sarraipa and Claudio Sassanelli and Sergio Gusmeroli and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {Towards {A} Conceptual Framework for Developing Sustainable Digital Innovation Hubs}, booktitle = {2021 {IEEE} International Conference on Engineering, Technology and Innovation, {ICE/ITMC} 2021, Cardiff, United Kingdom, June 21-23, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICE/ITMC52061.2021.9570120}, doi = {10.1109/ICE/ITMC52061.2021.9570120}, timestamp = {Tue, 09 Nov 2021 09:24:11 +0100}, biburl = {https://dblp.org/rec/conf/ice-itmc/ZamiriFSSGJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/NetoMVCS21, author = {Jo{\~{a}}o Choma Neto and Allan Mori and Ricardo Ferreira Vilela and Thelma Elita Colanzi and Simone R. S. Souza}, editor = {Joaquim Filipe and Michal Smialek and Alexander Brodsky and Slimane Hammoudi}, title = {How to Identify the Infeasible Test Requirements using Static Analyse? An Exploratory Study}, booktitle = {Proceedings of the 23rd International Conference on Enterprise Information Systems, {ICEIS} 2021, Online Streaming, April 26-28, 2021, Volume 1}, pages = {782--789}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010497107820789}, doi = {10.5220/0010497107820789}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iceis/NetoMVCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/SilvaSO21, author = {Mateus Coelho Silva and Jonathan Crist{\'{o}}v{\~{a}}o Ferreira da Silva and Ricardo Augusto Rabelo Oliveira}, editor = {Joaquim Filipe and Michal Smialek and Alexander Brodsky and Slimane Hammoudi}, title = {IDiSSC: Edge-computing-based Intelligent Diagnosis Support System for Citrus Inspection}, booktitle = {Proceedings of the 23rd International Conference on Enterprise Information Systems, {ICEIS} 2021, Online Streaming, April 26-28, 2021, Volume 1}, pages = {685--692}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010444106850692}, doi = {10.5220/0010444106850692}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iceis/SilvaSO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/VitorKBDSOS21, author = {Rafael Ferreira Vitor and Breno N. S. Keller and D{\'{e}}bora Lage Moreira Barbosa and D{\'{e}}bora Nasser Diniz and Mateus C. Silva and Ricardo A. R. Oliveira and Saul Emanuel Delabrida Silva}, editor = {Joaquim Filipe and Michal Smialek and Alexander Brodsky and Slimane Hammoudi}, title = {Synchronous and Asynchronous Requirements for Digital Twins Applications in Industry 4.0}, booktitle = {Proceedings of the 23rd International Conference on Enterprise Information Systems, {ICEIS} 2021, Online Streaming, April 26-28, 2021, Volume 2}, pages = {637--647}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010444406370647}, doi = {10.5220/0010444406370647}, timestamp = {Tue, 06 Jun 2023 14:58:01 +0200}, biburl = {https://dblp.org/rec/conf/iceis/VitorKBDSOS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/VitorKBDSOS21a, author = {Rafael Ferreira Vitor and Breno N. S. Keller and D{\'{e}}bora Lage Moreira Barbosa and D{\'{e}}bora Nasser Diniz and Mateus C. Silva and Ricardo A. R. Oliveira and Saul Emanuel Delabrida Silva}, editor = {Joaquim Filipe and Michal Smialek and Alexander Brodsky and Slimane Hammoudi}, title = {Enabling Digital Twins in Industry 4.0}, booktitle = {Enterprise Information Systems - 23rd International Conference, {ICEIS} 2021, Virtual Event, April 26-28, 2021, Revised Selected Papers}, series = {Lecture Notes in Business Information Processing}, volume = {455}, pages = {465--488}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-08965-7\_24}, doi = {10.1007/978-3-031-08965-7\_24}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceis/VitorKBDSOS21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/SeidelFDGFHQT21, author = {Ismael Seidel and Davi Rabbouni Freitas and Camilo C. Dorea and Diogo C. Garcia and Renan U. B. Ferreira and Rog{\'{e}}rio Higa and Ricardo L. de Queiroz and Vanessa Testoni}, title = {Memory-Friendly Segmentation Refinement for Video-Based Point Cloud Compression}, booktitle = {2021 {IEEE} International Conference on Image Processing, {ICIP} 2021, Anchorage, AK, USA, September 19-22, 2021}, pages = {3383--3387}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICIP42928.2021.9506515}, doi = {10.1109/ICIP42928.2021.9506515}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/SeidelFDGFHQT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/HristoskovaGKSM21, author = {Anna Hristoskova and Nicol{\'{a}}s Gonz{\'{a}}lez{-}Deleito and Sarah Klein and Joana Sousa and Nuno Martins and Jo{\~{a}}o Tagaio and Jo{\~{a}}o Serra and Carlos Silva and Jo{\~{a}}o M. Ferreira and Pedro M. Santos and Ricardo Morla and Lu{\'{\i}}s Almeida and Baris Bulut and Sencer Sultanoglu}, editor = {Ilias Maglogiannis and John MacIntyre and Lazaros Iliadis}, title = {An Initial Analysis of the Shortcomings of Conventional {AI} and the Benefits of Distributed {AI} Approaches in Industrial Use Cases}, booktitle = {Artificial Intelligence Applications and Innovations. {AIAI} 2021 {IFIP} {WG} 12.5 International Workshops - 5G-PINE 2021, {AI-BIO} 2021, {DAAI} 2021, {DARE} 2021, {EEAI} 2021, and {MHDW} 2021, Hersonissos, Crete, Greece, June 25-27, 2021, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {628}, pages = {281--292}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-79157-5\_23}, doi = {10.1007/978-3-030-79157-5\_23}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/HristoskovaGKSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/SantosSMMTSSSSF21, author = {Pedro M. Santos and Joana Sousa and Ricardo Morla and Nuno Martins and Jo{\~{a}}o Tagaio and Jo{\~{a}}o Serra and Carlos Silva and M{\'{a}}rio J. Sousa and Pedro F. Souto and Luis Lino Ferreira and Jo{\~{a}}o M. Ferreira and Lu{\'{\i}}s Almeida}, editor = {Ilias Maglogiannis and John MacIntyre and Lazaros Iliadis}, title = {Towards a Distributed Learning Architecture for Securing {ISP} Home Customers}, booktitle = {Artificial Intelligence Applications and Innovations. {AIAI} 2021 {IFIP} {WG} 12.5 International Workshops - 5G-PINE 2021, {AI-BIO} 2021, {DAAI} 2021, {DARE} 2021, {EEAI} 2021, and {MHDW} 2021, Hersonissos, Crete, Greece, June 25-27, 2021, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {628}, pages = {311--322}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-79157-5\_26}, doi = {10.1007/978-3-030-79157-5\_26}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/SantosSMMTSSSSF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/SimoesFAZCA21, author = {Ana Correia Sim{\~{o}}es and Filipe Ferreira and Ant{\'{o}}nio Almeida and Ricardo Zimmermann and H{\'{e}}lio Castro and Am{\'{e}}rico Azevedo}, editor = {Luis M. Camarinha{-}Matos and Xavier Boucher and Hamideh Afsarmanesh}, title = {Innovative Learning Scheme to Up-skilling and Re-skilling - Designing a Collaborative Training Program Between Industry and Academia Towards Digital Transformation}, booktitle = {Smart and Sustainable Collaborative Networks 4.0 - 22nd {IFIP} {WG} 5.5 Working Conference on Virtual Enterprises, {PRO-VE} 2021, Saint-{\'{E}}tienne, France, November 22-24, 2021, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {629}, pages = {729--737}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85969-5\_69}, doi = {10.1007/978-3-030-85969-5\_69}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/SimoesFAZCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifipiot/OliveiraVFRLC21, author = {Tiago E. S. Oliveira and M{\'{a}}rio Vala and Stef{\^{a}}nia Faria and Jo{\~{a}}o R. Reis and Nuno Leonor and Rafael F. S. Caldeirinha}, editor = {Luis M. Camarinha{-}Matos and Geert Heijenk and Srinivas Katkoori and Leon Strous}, title = {A Study on Small Sensor Node Antenna Performance Camouflaged Under Grassland Fire}, booktitle = {Internet of Things. Technology and Applications - 4th {IFIP} International Cross-Domain Conference, IFIPIoT 2021, Virtual Event, November 4-5, 2021, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {641}, pages = {86--95}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96466-5\_6}, doi = {10.1007/978-3-030-96466-5\_6}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifipiot/OliveiraVFRLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imcl/CletoCF21, author = {B{\'{a}}rbara Cleto and Ricardo Carvalho and Maria Ferreira}, editor = {Michael E. Auer and Thrasyvoulos Tsiatsos}, title = {Work in Progress: Immersive Web Environments to Support Pedagogical Activities in Formal Contexts}, booktitle = {New Realities, Mobile Systems and Applications - Proceedings of the 14th {IMCL} Conference, Virtual Event / Thessaloniki, Greece, 4-5 November 2021}, series = {Lecture Notes in Networks and Systems}, volume = {411}, pages = {703--710}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96296-8\_63}, doi = {10.1007/978-3-030-96296-8\_63}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imcl/CletoCF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CanescheBNNF21, author = {Michael Canesche and Lucas Bragan{\c{c}}a and Omar Paranaiba Vilela Neto and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {Google Colab {CAD4U:} Hands-On Cloud Laboratories for Digital Design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401151}, doi = {10.1109/ISCAS51556.2021.9401151}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CanescheBNNF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuzNFN21, author = {Laysson Oliveira Luz and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira and Omar P. Vilela Neto}, title = {NMLib: {A} Nanomagnetic Logic Standard Cell Library}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401107}, doi = {10.1109/ISCAS51556.2021.9401107}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuzNFN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NelsonFNJ21, author = {Isaac Nelson and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif and Peter Jamieson}, title = {Is It Time to Include High-Level Synthesis Design in Digital System Education for Undergraduate Computer Engineers?}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401774}, doi = {10.1109/ISCAS51556.2021.9401774}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NelsonFNJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VieiraCBCSFN21, author = {Maria D. Vieira and Michael Canesche and Lucas Bragan{\c{c}}a and Josu{\'{e}} Campos and Mateus Silva and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, title = {{RESHAPE:} {A} Run-Time Dataflow Hardware-Based Mapping for {CGRA} Overlays}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401168}, doi = {10.1109/ISCAS51556.2021.9401168}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/VieiraCBCSFN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/ValentimFFBRN21, author = {S{\'{e}}rgio Valentim and Tiago Fonseca and Jo{\~{a}}o Ferreira and Tom{\'{a}}s Brand{\~{a}}o and Ricardo Ribeiro and Stefan Nae}, editor = {Ajith Abraham and Niketa Gandhi and Thomas Hanne and Tzung{-}Pei Hong and Tatiane Nogueira Rios and Weiping Ding}, title = {Gun Model Classification Based on Fired Cartridge Case Head Images with Siamese Networks}, booktitle = {Intelligent Systems Design and Applications - 21st International Conference on Intelligent Systems Design and Applications {(ISDA} 2021) Held During December 13-15, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {418}, pages = {1281--1291}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96308-8\_119}, doi = {10.1007/978-3-030-96308-8\_119}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isda/ValentimFFBRN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/larc/GiacomossiSCCFM21, author = {Luiz Giacomossi and Flavio Souza and Raphael Gomes Cortes and Huascar Mirko Montecinos Cortez and Caue Ferreira and Cesar Augusto Cavalheiro Marcondes and Denis Silva Loubach and Elton Felipe Sbruzzi and Filipe Alves Neto Verri and Johnny Cardoso Marques and Louren{\c{c}}o Alves Pereira Jr. and Marcos R. O. A. M{\'{a}}ximo and Vitor Venceslau Curtis}, title = {Autonomous and Collective Intelligence for {UAV} Swarm in Target Search Scenario}, booktitle = {Latin American Robotics Symposium, Brazilian Symposium on Robotics, and Workshop on Robotics in Education, {LARS/SBR/WRE} 2021, Natal, Brazil, October 11-15, 2021}, pages = {72--77}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/LARS/SBR/WRE54079.2021.9605450}, doi = {10.1109/LARS/SBR/WRE54079.2021.9605450}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/larc/GiacomossiSCCFM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/larc/SantosFMF21, author = {D{\'{e}}bora F. Dos Santos and Andr{\'{e}} O. Fran{\c{c}}ani and Marcos R. O. A. M{\'{a}}ximo and Arthur S. C. Ferreira}, title = {Performance Comparison of Convolutional Neural Network Models for Object Detection in Tethered Balloon Imagery}, booktitle = {Latin American Robotics Symposium, Brazilian Symposium on Robotics, and Workshop on Robotics in Education, {LARS/SBR/WRE} 2021, Natal, Brazil, October 11-15, 2021}, pages = {246--251}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/LARS/SBR/WRE54079.2021.9605459}, doi = {10.1109/LARS/SBR/WRE54079.2021.9605459}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/larc/SantosFMF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mis4tel/SilvaFCPR21, author = {F{\'{a}}bio Silva and Ricardo Ferreira and Ab{\'{\i}}lio Castro and Pedro Pinto and Jo{\~{a}}o Ramos}, editor = {Fernando de la Prieta and Rosella Gennari and Marco Temperini and Tania Di Mascio and Pierpaolo Vittorini and Zuzana Kubincov{\'{a}} and Elvira Popescu and Davide Rua Carneiro and Loreto Lancia and Agnese Addone}, title = {Experiments on Gamification with Virtual and Augmented Reality for Practical Application Learning}, booktitle = {Methodologies and Intelligent Systems for Technology Enhanced Learning, 11th International Conference, {MIS4TEL} 2021, Salamanca, Spain, 6-8 October, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {326}, pages = {175--184}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-86618-1\_18}, doi = {10.1007/978-3-030-86618-1\_18}, timestamp = {Mon, 05 Feb 2024 20:34:28 +0100}, biburl = {https://dblp.org/rec/conf/mis4tel/SilvaFCPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miua/HannGPZFP21, author = {Evan Hann and Ricardo A. Gonzales and Iulia A. Popescu and Qiang Zhang and Vanessa M. Ferreira and Stefan K. Piechnik}, editor = {Bartlomiej W. Papiez and Mohammad Yaqub and Jianbo Jiao and Ana I. L. Namburete and J. Alison Noble}, title = {Ensemble of Deep Convolutional Neural Networks with Monte Carlo Dropout Sampling for Automated Image Segmentation Quality Control and Robust Deep Learning Using Small Datasets}, booktitle = {Medical Image Understanding and Analysis - 25th Annual Conference, {MIUA} 2021, Oxford, United Kingdom, July 12-14, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12722}, pages = {280--293}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-80432-9\_22}, doi = {10.1007/978-3-030-80432-9\_22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miua/HannGPZFP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/SousaFCACSMSFRH21, author = {Ricardo Gamelas Sousa and Pedro Miguel Ferreira and Pedro Moreira Costa and Pedro Azevedo and Jo{\~{a}}o Paulo Costeira and Carlos Santiago and Jo{\~{a}}o Magalh{\~{a}}es and David Semedo and Rafael Ferreira and Alexander I. Rudnicky and Alexander G. Hauptmann}, editor = {Alexander Hauptmann and Jo{\~{a}}o Magalh{\~{a}}es and Ricardo Gamelas Sousa and Carlos Santigo}, title = {iFetch: Multimodal Conversational Agents for the Online Fashion Marketplace}, booktitle = {MuCAI'21: Proceedings of the 2nd {ACM} Multimedia Workshop on Multimodal Conversational AI, Virtual Event, China, 20 October 2021}, pages = {25--26}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3475959.3485395}, doi = {10.1145/3475959.3485395}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/SousaFCACSMSFRH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/BendjeddouVLGPB21, author = {Imadeddine Bendjeddou and Ahmed Sidi El Valli and Artem Litvinenko and Yannis Le Guennec and Florence Podevin and Sylvain Bourdel and Emmanuel Pistono and Dominique Morche and Alex Jenkins and Ricardo Ferreira and Mafalda Jotta Garcia and Romain Lebrun and Vincent Cros and Paolo Bortolotti and Ursula Ebels}, title = {Radio Receivers based on Spin-Torque Diodes as Energy Detectors}, booktitle = {19th {IEEE} International New Circuits and Systems Conference, {NEWCAS} 2021, Toulon, France, June 13-16, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/NEWCAS50681.2021.9462731}, doi = {10.1109/NEWCAS50681.2021.9462731}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/newcas/BendjeddouVLGPB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nime/PortovedoFMG21, author = {Henrique Portovedo and Paulo Ferreira{-}Lopes and Ricardo Mendes and Tiago Gala}, title = {{HASGS:} Five Years of Reduced Augmented Evolution}, booktitle = {21th International Conference on New Interfaces for Musical Expression, {NIME} 2021, Shanghai, China, June 14-18, 2021}, publisher = {nime.org}, year = {2021}, url = {https://doi.org/10.21428/92fbeb44.643abd8c}, doi = {10.21428/92FBEB44.643ABD8C}, timestamp = {Thu, 06 Apr 2023 14:19:31 +0200}, biburl = {https://dblp.org/rec/conf/nime/PortovedoFMG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/AraujoM21, author = {Adailton Ferreira de Ara{\'{u}}jo and Ricardo Marcondes Marcacini}, editor = {Chih{-}Cheng Hung and Jiman Hong and Alessio Bechini and Eunjee Song}, title = {{RE-BERT:} automatic extraction of software requirements from app reviews using {BERT} language model}, booktitle = {{SAC} '21: The 36th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, Republic of Korea, March 22-26, 2021}, pages = {1321--1327}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3412841.3442006}, doi = {10.1145/3412841.3442006}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/AraujoM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbes/AraujoM21, author = {Adailton Ferreira de Ara{\'{u}}jo and Ricardo M. Marcacini}, editor = {Cristiano D. Vasconcellos and Karina Girardi Roggia and Vanessa Collere and Paulo Bousfield}, title = {Hierarchical Cluster Labeling of Software Requirements using Contextual Word Embeddings}, booktitle = {35th Brazilian Symposium on Software Engineering, {SBES} 2021, Joinville, Santa Catarina, Brazil, 27 September 2021 - 1 October 2021}, pages = {297--302}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474624.3477067}, doi = {10.1145/3474624.3477067}, timestamp = {Thu, 18 Aug 2022 13:45:55 +0200}, biburl = {https://dblp.org/rec/conf/sbes/AraujoM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbesc/BragancaCPCCNF21, author = {Lucas Bragan{\c{c}}a and Michael Canesche and Jeronimo Costa Penha and Westerley Carvalho and Giovanni Comarela and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {An Open Source Custom K-means Generator for {AWS} Cloud {FPGA} Accelerators}, booktitle = {{XI} Brazilian Symposium on Computing Systems Engineering, {SBESC} 2021, Florianopolis, Brazil, November 22-26, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SBESC53686.2021.9628301}, doi = {10.1109/SBESC53686.2021.9628301}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbesc/BragancaCPCCNF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siie/AlmeidaARF21, author = {Maria Emilia Bigotte de Almeida and Ricardo Almeida and Carolina Rocha and Rui Ferreira}, editor = {Antonio Balderas and Ant{\'{o}}nio Jos{\'{e}} Mendes and Juan Manuel Dodero}, title = {Impact Evaluation: Scratch in Basic Education}, booktitle = {23rd International Symposium on Computers in Education, {SIIE} 2021, Malaga, Spain, September 23-24, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SIIE53363.2021.9583643}, doi = {10.1109/SIIE53363.2021.9583643}, timestamp = {Fri, 10 Feb 2023 23:17:09 +0100}, biburl = {https://dblp.org/rec/conf/siie/AlmeidaARF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/JacobsPRFGWR21, author = {Arthur Selle Jacobs and Ricardo J. Pfitscher and Rafael Hengen Ribeiro and Ronaldo A. Ferreira and Lisandro Zambenedetti Granville and Walter Willinger and Sanjay G. Rao}, editor = {Irina Calciu and Geoff Kuenning}, title = {Hey, Lumi! Using Natural Language for Intent-Based Network Management}, booktitle = {Proceedings of the 2021 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2021, July 14-16, 2021}, pages = {625--639}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/atc21/presentation/jacobs}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/JacobsPRFGWR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-10689, author = {Ricardo Guimar{\~{a}}es and Ana Ozaki and Cosimo Persia and Baris Sertkaya}, title = {Mining {EL} Bases with Adaptable Role Depth}, journal = {CoRR}, volume = {abs/2102.10689}, year = {2021}, url = {https://arxiv.org/abs/2102.10689}, eprinttype = {arXiv}, eprint = {2102.10689}, timestamp = {Wed, 30 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-10689.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-08452, author = {Ricardo Ferreira and Carolina Lopes and Ricardo Gon{\c{c}}alves and Matthias Knorr and Ludwig Krippahl and Jo{\~{a}}o Leite}, title = {Deep Neural Networks for Approximating Stream Reasoning with {C-SPARQL}}, journal = {CoRR}, volume = {abs/2106.08452}, year = {2021}, url = {https://arxiv.org/abs/2106.08452}, eprinttype = {arXiv}, eprint = {2106.08452}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-08452.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-08457, author = {Jo{\~{a}}o Ferreira and Diogo Lavado and Ricardo Gon{\c{c}}alves and Matthias Knorr and Ludwig Krippahl and Jo{\~{a}}o Leite}, title = {Faster than {LASER} - Towards Stream Reasoning with Deep Neural Networks}, journal = {CoRR}, volume = {abs/2106.08457}, year = {2021}, url = {https://arxiv.org/abs/2106.08457}, eprinttype = {arXiv}, eprint = {2106.08457}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-08457.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-12331, author = {Jandson S. Ribeiro and Ricardo Guimar{\~{a}}es and Ana Ozaki}, title = {Revising Ontologies via Models: The ALC-formula Case}, journal = {CoRR}, volume = {abs/2108.12331}, year = {2021}, url = {https://arxiv.org/abs/2108.12331}, eprinttype = {arXiv}, eprint = {2108.12331}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-12331.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-13626, author = {Andr{\'{e}} Ferreira and Ricardo Magalh{\~{a}}es and S{\'{e}}bastien M{\'{e}}riaux and Victor Alves}, title = {Generation of Synthetic Rat Brain {MRI} scans with a 3D Enhanced Alpha-GAN}, journal = {CoRR}, volume = {abs/2112.13626}, year = {2021}, url = {https://arxiv.org/abs/2112.13626}, eprinttype = {arXiv}, eprint = {2112.13626}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-13626.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/GusmaoHLM20, author = {Ant{\'{o}}nio Gusm{\~{a}}o and Nuno Horta and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {Analog {IC} Placement Generation via Neural Networks from Unlabeled Data}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50061-0}, doi = {10.1007/978-3-030-50061-0}, isbn = {978-3-030-50060-3}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/GusmaoHLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PassosRMLASCHF20, author = {F{\'{a}}bio Passos and Elisenda Roca and Ricardo Martins and Nuno Louren{\c{c}}o and Saiyd Ahyoune and Javier J. Sieiro and Rafael Castro{-}L{\'{o}}pez and Nuno Horta and Francisco V. Fern{\'{a}}ndez}, title = {Ready-to-Fabricate {RF} Circuit Synthesis Using a Layout- and Variability-Aware Optimization-Based Methodology}, journal = {{IEEE} Access}, volume = {8}, pages = {51601--51609}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2980211}, doi = {10.1109/ACCESS.2020.2980211}, timestamp = {Thu, 15 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PassosRMLASCHF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RosaGML20, author = {Ricardo Erikson Veras De Sena Rosa and Felipe Augusto Souza Guimar{\~{a}}es and Rafael da Silva Mendon{\c{c}}a and Vicente Ferreira de Lucena Jr.}, title = {Improving Prediction Accuracy in Neighborhood-Based Collaborative Filtering by Using Local Similarity}, journal = {{IEEE} Access}, volume = {8}, pages = {142795--142809}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3013733}, doi = {10.1109/ACCESS.2020.3013733}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RosaGML20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/CondeCF20, author = {Daniel A. S. Conde and Ricardo B. Canelas and Rui M. L. Ferreira}, title = {A unified object-oriented framework for {CPU+GPU} explicit hyperbolic solvers}, journal = {Adv. Eng. Softw.}, volume = {148}, pages = {102802}, year = {2020}, url = {https://doi.org/10.1016/j.advengsoft.2020.102802}, doi = {10.1016/J.ADVENGSOFT.2020.102802}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aes/CondeCF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/FigueirasGCGGJT20, author = {Paulo Figueiras and Diogo Gon{\c{c}}alves and Ruben Costa and Guilherme Guerreiro and Panos Georgakis and Ricardo Jardim{-}Gon{\c{c}}alves and Athena Tsirimpa and Amalia Polydoropoulou and Ioannis Tsouros and Ioanna Pagoni}, title = {Corrigendum to "Novel Big Data-supported dynamic toll charging system: Impact assessment on Portugal's shadow-toll highways" [Comput. Ind. Eng. 135 {(2019)} 476-491]}, journal = {Comput. Ind. Eng.}, volume = {148}, pages = {106699}, year = {2020}, url = {https://doi.org/10.1016/j.cie.2020.106699}, doi = {10.1016/J.CIE.2020.106699}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/FigueirasGCGGJT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cga/RodriguesFPPS20, author = {Ricardo Rodrigues and Paula Costa Ferreira and Rui Prada and Paula Paulino and Ana Margarida Veiga Sim{\~{a}}o}, title = {Developing Children's Regulation of Learning in Problem-Solving With a Serious Game}, journal = {{IEEE} Computer Graphics and Applications}, volume = {40}, number = {5}, pages = {26--40}, year = {2020}, url = {https://doi.org/10.1109/MCG.2020.3011616}, doi = {10.1109/MCG.2020.3011616}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cga/RodriguesFPPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/GarrochoSFCO20, author = {Charles Tim Batista Garrocho and Mateus Coelho Silva and Celio Marcio Soares Ferreira and Carlos Frederico Marcelo da Cunha Cavalcanti and Ricardo Augusto Rabelo Oliveira}, title = {Real-Time Systems Implications in the Blockchain-Based Vertical Integration of Industry 4.0}, journal = {Computer}, volume = {53}, number = {9}, pages = {46--55}, year = {2020}, url = {https://doi.org/10.1109/MC.2020.3002686}, doi = {10.1109/MC.2020.3002686}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computer/GarrochoSFCO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/Jardim-Goncalves20, author = {Ricardo Jardim{-}Gon{\c{c}}alves and David Romero and Diogo Gon{\c{c}}alves and Jo{\~{a}}o Pedro Mendon{\c{c}}a da Silva}, title = {Interoperability enablers for cyber-physical enterprise systems}, journal = {Enterp. Inf. Syst.}, volume = {14}, number = {8}, pages = {1061--1070}, year = {2020}, url = {https://doi.org/10.1080/17517575.2020.1815084}, doi = {10.1080/17517575.2020.1815084}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eis/Jardim-Goncalves20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/GuazzelliTGLFB20, author = {Ricardo Aquino Guazzelli and Matheus Garay Trindade and Leonel Acunha Guimaraes and Thiago Ferreira de Paiva Leite and Laurent Fesquet and Rodrigo Possamai Bastos}, title = {Trojan Detection Test for Clockless Circuits}, journal = {J. Electron. Test.}, volume = {36}, number = {1}, pages = {23--31}, year = {2020}, url = {https://doi.org/10.1007/s10836-020-05857-6}, doi = {10.1007/S10836-020-05857-6}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/GuazzelliTGLFB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/GoncalvesSL20, author = {Rosiberto Dos Santos Gon{\c{c}}alves and Jesse J. M. Soares and Ricardo M. F. Lima}, title = {An IoT-Based Framework for Smart Water Supply Systems Management}, journal = {Future Internet}, volume = {12}, number = {7}, pages = {114}, year = {2020}, url = {https://doi.org/10.3390/fi12070114}, doi = {10.3390/FI12070114}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fi/GoncalvesSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijguc/MendoncaLA20, author = {J{\'{u}}lio Mendon{\c{c}}a and Ricardo Lima and Ermeson C. Andrade}, title = {Evaluating and modelling solutions for disaster recovery}, journal = {Int. J. Grid Util. Comput.}, volume = {11}, number = {5}, pages = {683--704}, year = {2020}, url = {https://doi.org/10.1504/IJGUC.2020.110055}, doi = {10.1504/IJGUC.2020.110055}, timestamp = {Thu, 22 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijguc/MendoncaLA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/TelinoMMGM20, author = {Veronica Telino and Ricardo Massa and Ion{\'{a}} Mota and Alex Sandro Gomes and Fernando Moreira}, title = {A Methodology for Creating a Macro Action Plan to Improve {IT} Use and Its Governance in Organizations}, journal = {Inf.}, volume = {11}, number = {9}, pages = {427}, year = {2020}, url = {https://doi.org/10.3390/info11090427}, doi = {10.3390/INFO11090427}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/information/TelinoMMGM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/PovoaCMHLG20, author = {Ricardo P{\'{o}}voa and Ant{\'{o}}nio Canelas and Ricardo Martins and Nuno Horta and Nuno Louren{\c{c}}o and Jo{\~{a}}o Goes}, title = {A new family of {CMOS} inverter-based OTAs for biomedical and healthcare applications}, journal = {Integr.}, volume = {71}, pages = {38--48}, year = {2020}, url = {https://doi.org/10.1016/j.vlsi.2019.12.004}, doi = {10.1016/J.VLSI.2019.12.004}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/PovoaCMHLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdis/SampaioAFBM20, author = {Ricardo Barros Sampaio and Ant{\'{o}}nio Abreu and Bruno Santos Ferreira and Maur{\'{\i}}cio Lima Barreto and Jes{\'{u}}s P. Mena{-}Chalco}, title = {Scientometric Analysis of Research Output from Brazil in Response to the Zika Crisis Using e-Lattes}, journal = {J. Data Inf. Sci.}, volume = {5}, number = {4}, pages = {137--146}, year = {2020}, url = {https://doi.org/10.2478/jdis-2020-0038}, doi = {10.2478/JDIS-2020-0038}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdis/SampaioAFBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/TorresSFWNFNCWN20, author = {Frank Sill Torres and Pedro Arthur Silva and Geraldo Fontes and Marcel Walter and Jos{\'{e}} Augusto Miranda Nacif and Ricardo Santos Ferreira and Omar Paranaiba Vilela Neto and Jeferson F. Chaves and Robert Wille and Philipp Niemann and Daniel Gro{\ss}e and Rolf Drechsler}, title = {On the impact of the synchronization constraint and interconnections in quantum-dot cellular automata}, journal = {Microprocess. Microsystems}, volume = {76}, pages = {103109}, year = {2020}, url = {https://doi.org/10.1016/j.micpro.2020.103109}, doi = {10.1016/J.MICPRO.2020.103109}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/TorresSFWNFNCWN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/PovoaACPMLH20, author = {Ricardo P{\'{o}}voa and Richa Arya and Ant{\'{o}}nio Canelas and F{\'{a}}bio Passos and Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta}, title = {Sub-{\(\mu\)}W Tow-Thomas based biquad filter with improved gain for biomedical applications}, journal = {Microelectron. J.}, volume = {95}, year = {2020}, url = {https://doi.org/10.1016/j.mejo.2019.104675}, doi = {10.1016/J.MEJO.2019.104675}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/PovoaACPMLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/FerreiraFFFL20, author = {Ricardo Coelho Ferreira and Michelle S. P. Facina and Felipe A. P. de Figueiredo and Gustavo Fraidenraich and Eduardo Rodrigues de Lima}, title = {Bit Error Probability for Large Intelligent Surfaces Under Double-Nakagami Fading Channels}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {1}, pages = {750--759}, year = {2020}, url = {https://doi.org/10.1109/OJCOMS.2020.2996797}, doi = {10.1109/OJCOMS.2020.2996797}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcs/FerreiraFFFL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/Sanchez-Matilla20, author = {Ricardo Sanchez{-}Matilla and Konstantinos I. Chatzilygeroudis and Apostolos Modas and Nuno Ferreira Duarte and Alessio Xompero and Pascal Frossard and Aude Billard and Andrea Cavallaro}, title = {Benchmark for Human-to-Robot Handovers of Unseen Containers With Unknown Filling}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {5}, number = {2}, pages = {1642--1649}, year = {2020}, url = {https://doi.org/10.1109/LRA.2020.2969200}, doi = {10.1109/LRA.2020.2969200}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/Sanchez-Matilla20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rbie/FerreiraPSBTPB20, author = {Fabiana Zaffalon Ferreira and Andr{\'{e}} Prisco and Ricardo Lemos de Souza and Jean Luca Bez and Neilor Tonin and Rafael Augusto Penna and Silvia Botelho}, title = {Estudo Comparativo entre Modelos que Estimam a Habilidade dos Estudantes em Ambientes Virtuais de Programa{\c{c}}{\~{a}}o}, journal = {Revista Brasileira de Inform{\'{a}}tica na Educ.}, volume = {28}, pages = {776--795}, year = {2020}, url = {https://doi.org/10.5753/rbie.2020.28.0.776}, doi = {10.5753/RBIE.2020.28.0.776}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rbie/FerreiraPSBTPB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rbie/FerrreiraBFPO20, author = {Ta{\'{\i}}s Borges Ferreira and Jose Antonio Buiar and M{\'{a}}rcia Aparecida Fernandes and Andrey Ricardo Pimentel and Luis S. Oliveira}, title = {Regras para forma{\c{c}}{\~{a}}o de grupos de colabora{\c{c}}{\~{a}}o utilizando detec{\c{c}}{\~{a}}o autom{\'{a}}tica de tra{\c{c}}os de personalidade}, journal = {Revista Brasileira de Inform{\'{a}}tica na Educ.}, volume = {28}, pages = {273--296}, year = {2020}, url = {https://doi.org/10.5753/rbie.2020.28.0.273}, doi = {10.5753/RBIE.2020.28.0.273}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rbie/FerrreiraBFPO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/BragaPDFTAVSW20, author = {Jos{\'{e}} R. G. Braga and Vin{\'{\i}}cius Peripato and Ricardo Dalagnol and Matheus Pinheiro Ferreira and Yuliya Tarabalka and Luiz E. O. C. Arag{\~{a}}o and Haroldo F. de Campos Velho and Elcio H. Shiguemori and Fabien Hubert Wagner}, title = {Tree Crown Delineation Algorithm Based on a Convolutional Neural Network}, journal = {Remote. Sens.}, volume = {12}, number = {8}, pages = {1288}, year = {2020}, url = {https://doi.org/10.3390/rs12081288}, doi = {10.3390/RS12081288}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/BragaPDFTAVSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/FerreiraQVMSPCC20, author = {Karine Reis Ferreira and Gilberto Ribeiro de Queiroz and L{\'{u}}bia Vinhas and Rennan F. B. Marujo and Rolf Ezequiel O. Sim{\~{o}}es and Michelle Cristina Ara{\'{u}}jo Picoli and Gilberto C{\^{a}}mara and Ricardo Cartaxo and Vitor Conrado Faria Gomes and Lorena A. Santos and Alber H. S{\'{a}}nchez and Jeferson S. Arcanjo and Jos{\'{e}} Guilherme Fronza and Carlos Alberto Noronha and Raphael W. Costa and Matheus Cavassan Zaglia and Fabiana Zioti and Thales Sehn K{\"{o}}rting and Anderson Reis Soares and Michel E. D. Chaves and Leila M. G. Fonseca}, title = {Earth Observation Data Cubes for Brazil: Requirements, Methodology and Products}, journal = {Remote. Sens.}, volume = {12}, number = {24}, pages = {4033}, year = {2020}, url = {https://doi.org/10.3390/rs12244033}, doi = {10.3390/RS12244033}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/FerreiraQVMSPCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ValerioFGPMFS20, author = {Francesco Valerio and Eduardo Ferreira and S{\'{e}}rgio Godinho and Ricardo Pita and Ant{\'{o}}nio Mira and Nelson Fernandes and Sara M. Santos}, title = {Predicting Microhabitat Suitability for an Endangered Small Mammal Using Sentinel-2 Data}, journal = {Remote. Sens.}, volume = {12}, number = {3}, pages = {562}, year = {2020}, url = {https://doi.org/10.3390/rs12030562}, doi = {10.3390/RS12030562}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ValerioFGPMFS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/dOliveiraBOAPFZ20, author = {Marcus V. N. d'Oliveira and Eben Broadbent and Luis C. Oliveira and Danilo Roberti Alves de Almeida and Daniel de Almeida Papa and Manuel Eduardo Ferreira and Angelica M. Almeyda Zambrano and Carlos Alberto Silva and Felipe Spina Avino and Gabriel Atticciati Prata and Ricardo A. Mello and Evandro O. Figueiredo and L{\'{u}}cio Andr{\'{e}} de Castro Jorge and Leomar Junior and Rafael Walter Albuquerque and Pedro Henrique Santin Brancalion and Benjamin Wilkinson and Marcelo Oliveira{-}da{-}Costa}, title = {Aboveground Biomass Estimation in Amazonian Tropical Forests: a Comparison of Aircraft- and GatorEye UAV-borne LiDAR Data in the Chico Mendes Extractive Reserve in Acre, Brazil}, journal = {Remote. Sens.}, volume = {12}, number = {11}, pages = {1754}, year = {2020}, url = {https://doi.org/10.3390/rs12111754}, doi = {10.3390/RS12111754}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/dOliveiraBOAPFZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/SantosSMCLRS20, author = {Lu{\'{\i}}s Santos and Filipe Neves dos Santos and Jorge Mendes and Pedro Costa and Jos{\'{e}} Lima and Ricardo Reis and Pranjali Shinde}, title = {Path Planning Aware of Robot's Center of Mass for Steep Slope Vineyards}, journal = {Robotica}, volume = {38}, number = {4}, pages = {684--698}, year = {2020}, url = {https://doi.org/10.1017/S0263574719000961}, doi = {10.1017/S0263574719000961}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotica/SantosSMCLRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FerreiraFFFL20, author = {Ricardo Coelho Ferreira and Michelle S. P. Facina and Felipe A. P. de Figueiredo and Gustavo Fraidenraich and Eduardo Rodrigues de Lima}, title = {Large Intelligent Surfaces Communicating Through Massive {MIMO} Rayleigh Fading Channels}, journal = {Sensors}, volume = {20}, number = {22}, pages = {6679}, year = {2020}, url = {https://doi.org/10.3390/s20226679}, doi = {10.3390/S20226679}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/FerreiraFFFL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinoLSMVM20, author = {Miguel Lino Ferreira Neto and {\'{E}}rico Le{\~{a}}o and Andr{\'{e}} Soares and Carlos Montez and Francisco Vasques and Ricardo de Moraes}, title = {Dynamic Reconfiguration of Cluster-Tree Wireless Sensor Networks to Handle Communication Overloads in Disaster-Related Situations}, journal = {Sensors}, volume = {20}, number = {17}, pages = {4707}, year = {2020}, url = {https://doi.org/10.3390/s20174707}, doi = {10.3390/S20174707}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LinoLSMVM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MatalotoFRML20, author = {Bruno Mataloto and Jo{\~{a}}o Ferreira and Ricardo Resende and Rita Moura and S{\'{\i}}lvia Lu{\'{\i}}s}, title = {{BIM} in People2People and Things2People Interactive Process}, journal = {Sensors}, volume = {20}, number = {10}, pages = {2982}, year = {2020}, url = {https://doi.org/10.3390/s20102982}, doi = {10.3390/S20102982}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MatalotoFRML20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/CasauCSS20, author = {Pedro Casau and Rita Cunha and Ricardo G. Sanfelice and Carlos Silvestre}, title = {Hybrid Control for Robust and Global Tracking on Smooth Manifolds}, journal = {{IEEE} Trans. Autom. Control.}, volume = {65}, number = {5}, pages = {1870--1885}, year = {2020}, url = {https://doi.org/10.1109/TAC.2019.2927708}, doi = {10.1109/TAC.2019.2927708}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/CasauCSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/TanwearLLVGBPFF20, author = {Asfand Tanwear and Xiangpeng Liang and Yuchi Liu and Aleksandra Vuckovic and Rami Ghannam and Tim B{\"{o}}hnert and Elvira Paz and Paulo P. Freitas and Ricardo Ferreira and Hadi Heidari}, title = {Spintronic Sensors Based on Magnetic Tunnel Junctions for Wireless Eye Movement Gesture Control}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {14}, number = {6}, pages = {1299--1310}, year = {2020}, url = {https://doi.org/10.1109/TBCAS.2020.3027242}, doi = {10.1109/TBCAS.2020.3027242}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/TanwearLLVGBPFF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CanelasPMLGCH20, author = {Ant{\'{o}}nio Canelas and Ricardo P{\'{o}}voa and Ricardo Martins and Nuno Louren{\c{c}}o and Jorge Guilherme and Jo{\~{a}}o Paulo Carvalho and Nuno Horta}, title = {{FUZYE:} {A} Fuzzy \emph{c}-Means Analog {IC} Yield Optimization Using Evolutionary-Based Algorithms}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {1}, pages = {1--13}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2018.2883978}, doi = {10.1109/TCAD.2018.2883978}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CanelasPMLGCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MartinsLHZYMM20, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta and Shenke Zhong and Jun Yin and Pui{-}In Mak and Rui Paulo Martins}, title = {Design of a 4.2-to-5.1 GHz Ultralow-Power Complementary Class-B/C Hybrid-Mode {VCO} in 65-nm {CMOS} Fully Supported by {EDA} Tools}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {11}, pages = {3965--3977}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.3009857}, doi = {10.1109/TCSI.2020.3009857}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MartinsLHZYMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/PovoaLMCHG20, author = {Ricardo P{\'{o}}voa and Nuno Louren{\c{c}}o and Ricardo Martins and Ant{\'{o}}nio Canelas and Nuno Horta and Jo{\~{a}}o Goes}, title = {A Folded Voltage-Combiners Biased Amplifier for Low Voltage and High Energy-Efficiency Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {2}, pages = {230--234}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2913083}, doi = {10.1109/TCSII.2019.2913083}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/PovoaLMCHG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/GarciaFFQ20, author = {Diogo C. Garcia and Tiago A. da Fonseca and Renan U. Ferreira and Ricardo L. de Queiroz}, title = {Geometry Coding for Dynamic Voxelized Point Clouds Using Octrees and Multiple Contexts}, journal = {{IEEE} Trans. Image Process.}, volume = {29}, pages = {313--322}, year = {2020}, url = {https://doi.org/10.1109/TIP.2019.2931466}, doi = {10.1109/TIP.2019.2931466}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/GarciaFFQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/RicardoRB20, author = {Guilherme Iecker Ricardo and Jos{\'{e}} Ferreira de Rezende and Valmir C. Barbosa}, title = {Scheduling Wireless Links in the Physical Interference Model by Fractional Edge Coloring}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {9}, number = {4}, pages = {528--532}, year = {2020}, url = {https://doi.org/10.1109/LWC.2019.2961361}, doi = {10.1109/LWC.2019.2961361}, timestamp = {Tue, 22 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/RicardoRB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/Dias0QQV20, author = {Pedro Dias and Ana Ferreira and Cl{\'{a}}udia Quaresma and Carla Quint{\~{a}}o and Ricardo Vig{\'{a}}rio}, editor = {Isabel L. Nunes}, title = {Assessing Visual Engagement for Visuomotor Skills Rehabilitation Training in Infants}, booktitle = {Advances in Human Factors and Systems Interaction - Proceedings of the {AHFE} 2020 Virtual Conference on Human Factors and Systems Interaction, July 16-20, 2020, {USA}}, series = {Advances in Intelligent Systems and Computing}, volume = {1207}, pages = {272--279}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-51369-6\_37}, doi = {10.1007/978-3-030-51369-6\_37}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/Dias0QQV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/DiasFVQQ20, author = {Pedro Dias and Ana Ferreira and Ricardo Vig{\'{a}}rio and Cl{\'{a}}udia Quaresma and Carla Quint{\~{a}}o}, editor = {Xuesong Ye and Ana Fred and Hugo Gamboa}, title = {RehabVisual: Implementation of a Low Cost Eye Tracker without Pre-calibration}, booktitle = {Proceedings of the 13th International Joint Conference on Biomedical Engineering Systems and Technologies {(BIOSTEC} 2020) - Volume 1: BIODEVICES, Valletta, Malta, February 24-26, 2020}, pages = {235--241}, publisher = {{SCITEPRESS}}, year = {2020}, url = {https://doi.org/10.5220/0009148002350241}, doi = {10.5220/0009148002350241}, timestamp = {Tue, 13 Aug 2024 14:18:07 +0200}, biburl = {https://dblp.org/rec/conf/biostec/DiasFVQQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bracis/MeloLCZANA20, author = {Ram{\'{a}}sio Ferreira de Melo and Gustavo Lameir{\~{a}}o de Lima and Guilherme Ribeiro Corr{\^{e}}a and Bruno Zatt and Marilton Sanchotene de Aguiar and Gilmar Ribeiro Nachtigall and Ricardo Matsumura de Ara{\'{u}}jo}, editor = {Ricardo Cerri and Ronaldo C. Prati}, title = {Diagnosis of Apple Fruit Diseases in the Wild with Mask {R-CNN}}, booktitle = {Intelligent Systems - 9th Brazilian Conference, {BRACIS} 2020, Rio Grande, Brazil, October 20-23, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12319}, pages = {256--270}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61377-8\_18}, doi = {10.1007/978-3-030-61377-8\_18}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bracis/MeloLCZANA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csndsp/ReisVFC20, author = {Jo{\~{a}}o R. Reis and M{\'{a}}rio Vala and Telmo R. Fernandes and Rafael F. S. Caldeirinha}, title = {Metamaterial-inspired Flat-Antenna Design for 5G Small-cell Base-Stations Operating at 3.6 GHz}, booktitle = {12th International Symposium on Communication Systems, Networks and Digital Signal Processing, {CSNDSP} 2020, Porto, Portugal, July 20-22, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CSNDSP49049.2020.9249497}, doi = {10.1109/CSNDSP49049.2020.9249497}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csndsp/ReisVFC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drcn/MendoncaLAAK20, author = {J{\'{u}}lio Mendon{\c{c}}a and Ricardo Lima and Ermeson Carneiro de Andrade and Julian Araujo and Dong Seong Kim}, title = {Multiple-criteria Evaluation of Disaster Recovery Strategies Based on Stochastic Models}, booktitle = {16th International Conference on the Design of Reliable Communication Networks, {DRCN} 2020, Milan, Italy, March 25-27, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DRCN48652.2020.1570614925}, doi = {10.1109/DRCN48652.2020.1570614925}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/drcn/MendoncaLAAK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eatis/SilvaAPVCPRB20, author = {Rodrigo Dantas da Silva and Jean Jar Pereira de Ara{\'{u}}jo and {\'{A}}lvaro Ferreira Pires de Paiva and Ricardo Alexsandro de Medeiros Valentim and Karilany Dantas Coutinho and Jailton Carlos de Paiva and Azim Roussanaly and Anne Boyer}, editor = {Ang{\'{e}}lica F{\'{e}}lix de Castro and Joaquim Sousa Pinto and Rog{\'{e}}rio Patr{\'{\i}}cio Chagas do Nascimento and Santiago Felici{-}Castell and Jos{\'{e}} Javier Samper Zapater}, title = {A big data architecture to a multiple purpose in healthcare surveillance: the Brazilian syphilis case}, booktitle = {{EATIS} 2020: 10th Euro American Conference on Telematics and Information Systems, Aveiro, Portugal, November 25-27, 2020}, pages = {58:1--58:6}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3401895.3402092}, doi = {10.1145/3401895.3402092}, timestamp = {Fri, 16 Feb 2024 08:13:15 +0100}, biburl = {https://dblp.org/rec/conf/eatis/SilvaAPVCPRB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/PereiraFCC20, author = {Daniel Pereira and Manuel Jo{\~{a}}o Ferreira and Ricardo Jo{\~{a}}o Cruz Correia and Miguel T. Coimbra}, title = {Teaching Cardiopulmonary Auscultation to Medical Students using a Virtual Patient Simulation Technology}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {6032--6035}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9175920}, doi = {10.1109/EMBC44109.2020.9175920}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/PereiraFCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ZuoNBPFFH20, author = {Siming Zuo and Kianoush Nazarpour and Tim B{\"{o}}hnert and Elvira Paz and Paulo P. Freitas and Ricardo Ferreira and Hadi Heidari}, title = {Integrated Pico-Tesla Resolution Magnetoresistive Sensors for Miniaturised Magnetomyography}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {3415--3419}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176266}, doi = {10.1109/EMBC44109.2020.9176266}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ZuoNBPFFH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/enase/MorgadoM020, author = {Ricardo Morgado and Ib{\'{e}}ria Medeiros and Nuno Neves}, editor = {Raian Ali and Hermann Kaindl and Leszek A. Maciaszek}, title = {Towards Web Application Security by Automated Code Correction}, booktitle = {Proceedings of the 15th International Conference on Evaluation of Novel Approaches to Software Engineering, {ENASE} 2020, Prague, Czech Republic, May 5-6, 2020}, pages = {86--96}, publisher = {{SCITEPRESS}}, year = {2020}, url = {https://doi.org/10.5220/0009369900860096}, doi = {10.5220/0009369900860096}, timestamp = {Tue, 06 Jun 2023 14:58:00 +0200}, biburl = {https://dblp.org/rec/conf/enase/MorgadoM020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/SouzaFB20, author = {Ricardo Lemos de Souza and Fabiana Zaffalon Ferreira and Silvia Silva da Costa Botelho}, title = {A Proposal for Source Code Assessment Through Static Analysis}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2020, Uppsala, Sweden, October 21-24, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FIE44824.2020.9274050}, doi = {10.1109/FIE44824.2020.9274050}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fie/SouzaFB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/ZaffalonPSBTPB20, author = {Fabiana Zaffalon Ferreira and Andr{\'{e}} Prisco and Ricardo Lemos de Souza and Jean Luca Bez and Neilor Tonin and Rafael Penna and Silvia Botelho}, title = {Estimating Programming Skills with Combined {M-ERS} and {ELO} Multidimensional Models}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2020, Uppsala, Sweden, October 21-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FIE44824.2020.9274205}, doi = {10.1109/FIE44824.2020.9274205}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/ZaffalonPSBTPB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/JamiesonFN20, author = {Peter Jamieson and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, editor = {Carlos Artemio Coello Coello}, title = {GA-lapagos, an open-source c framework including a python-based system for data analysis}, booktitle = {{GECCO} '20: Genetic and Evolutionary Computation Conference, Companion Volume, Canc{\'{u}}n, Mexico, July 8-12, 2020}, pages = {1589--1590}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3377929.3398113}, doi = {10.1145/3377929.3398113}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/JamiesonFN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gistam/MenesesFMM20, author = {Filipe Meneses and Ricardo Ferreira and Adriano J. C. Moreira and Carlos Manuel Martins}, editor = {C{\'{e}}dric Grueau and Robert Laurini and Lemonia Ragia}, title = {In-house Localization for Wi-Fi Coverage Diagnostics}, booktitle = {Proceedings of the 6th International Conference on Geographical Information Systems Theory, Applications and Management, {GISTAM} 2020, Prague, Czech Republic, May 7-9, 2020}, pages = {216--224}, publisher = {{SCITEPRESS}}, year = {2020}, url = {https://doi.org/10.5220/0009426102160224}, doi = {10.5220/0009426102160224}, timestamp = {Tue, 06 Jun 2023 14:58:00 +0200}, biburl = {https://dblp.org/rec/conf/gistam/MenesesFMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ice-itmc/Luis-FerreiraGS20, author = {Fernando Luis{-}Ferreira and Jo{\~{a}}o Gi{\~{a}}o and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves and Gary McManus and Philip O'Brien}, title = {Sleeping Movement Detection Towards Mental Health Indicators - {A} Review}, booktitle = {2020 {IEEE} International Conference on Engineering, Technology and Innovation, {ICE/ITMC} 2020, Cardiff, United Kingdom, June 15-17, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICE/ITMC49519.2020.9198640}, doi = {10.1109/ICE/ITMC49519.2020.9198640}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ice-itmc/Luis-FerreiraGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/TanwearHPBF20, author = {Asfand Tanwear and Hadi Heidari and Elvira Paz and Tim B{\"{o}}hnert and Ricardo Ferreira}, title = {Eyelid Gesture Control using Wearable Tunnelling Magnetoresistance Sensors}, booktitle = {27th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICECS49266.2020.9294878}, doi = {10.1109/ICECS49266.2020.9294878}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/TanwearHPBF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/AlvesPFMJCTCNR20, author = {Paulo Henrique Cardoso Alves and Ronnie Paskin and Isabella Zalcberg Frajhof and Yang Ricardo Miranda and Jo{\~{a}}o Gabriel Jardim and Jose Jorge Brum Cardoso and Eduardo Henrique Haddad Tress and Rog{\'{e}}rio Ferreira da Cunha and Rafael Nasser and Gustavo Robichez}, editor = {Joaquim Filipe and Michal Smialek and Alexander Brodsky and Slimane Hammoudi}, title = {Exploring Blockchain Technology to Improve Multi-party Relationship in Business Process Management Systems}, booktitle = {Proceedings of the 22nd International Conference on Enterprise Information Systems, {ICEIS} 2020, Prague, Czech Republic, May 5-7, 2020, Volume 2}, pages = {817--825}, publisher = {{SCITEPRESS}}, year = {2020}, url = {https://doi.org/10.5220/0009565108170825}, doi = {10.5220/0009565108170825}, timestamp = {Tue, 06 Jun 2023 14:58:01 +0200}, biburl = {https://dblp.org/rec/conf/iceis/AlvesPFMJCTCNR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/CarvalhoCRTSJNF20, author = {Westerley Carvalho and Michael Canesche and Lucas Reis and Frank Sill Torres and Lucas B. da Silva and Peter Jamieson and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {A Design Exploration of Scalable Mesh-based Fully Pipelined Accelerators}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {233--236}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00040}, doi = {10.1109/ICFPT51103.2020.00040}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/CarvalhoCRTSJNF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/MoreiraGGBF20, author = {Dinis Moreira and Diana Gomes and Ricardo Gra{\c{c}}a and D{\'{a}}niel B{\'{a}}nyay and Patr{\'{\i}}cia Ferreira}, editor = {Ilias Maglogiannis and Lazaros Iliadis and Elias Pimenidis}, title = {Real-Time Surf Manoeuvres' Detection Using Smartphones' Inertial Sensors}, booktitle = {Artificial Intelligence Applications and Innovations - 16th {IFIP} {WG} 12.5 International Conference, {AIAI} 2020, Neos Marmaras, Greece, June 5-7, 2020, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {584}, pages = {256--267}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49186-4\_22}, doi = {10.1007/978-3-030-49186-4\_22}, timestamp = {Wed, 05 Oct 2022 21:11:03 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/MoreiraGGBF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/SantosACSM20, author = {Ricardo Santos and Ant{\'{o}}nio Abreu and J. M. F. Calado and Jos{\'{e}} Miguel Soares and Jos{\'{e}} Duarte Moleiro Martins}, editor = {Luis M. Camarinha{-}Matos and Hamideh Afsarmanesh and {\'{A}}ngel Ortiz Bas}, title = {A Framework Based on Fuzzy Logic to Manage Risk in an Open Innovation Context}, booktitle = {Boosting Collaborative Networks 4.0 - 21st {IFIP} {WG} 5.5 Working Conference on Virtual Enterprises, {PRO-VE} 2020, Valencia, Spain, November 23-25, 2020, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {598}, pages = {336--349}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-62412-5\_28}, doi = {10.1007/978-3-030-62412-5\_28}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/SantosACSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/Seixas-LopesFAJ20, author = {Fabio Seixas{-}Lopes and Jos{\'{e}} Ferreira and Carlos Agostinho and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Luis M. Camarinha{-}Matos and Nastaran Farhadi and F{\'{a}}bio Lopes and Helena Pereira}, title = {Production Process Modelling Architecture to Support Improved Cyber-Physical Production Systems}, booktitle = {Technological Innovation for Life Improvement - 11th {IFIP} {WG} 5.5/SOCOLNET Advanced Doctoral Conference on Computing, Electrical and Industrial Systems, DoCEIS 2020, Costa de Caparica, Portugal, July 1-3, 2020, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {577}, pages = {206--213}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-45124-0\_19}, doi = {10.1007/978-3-030-45124-0\_19}, timestamp = {Tue, 14 Mar 2023 15:35:31 +0100}, biburl = {https://dblp.org/rec/conf/ifip5-5/Seixas-LopesFAJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GusmaoPPH0M20, author = {Ant{\'{o}}nio Gusm{\~{a}}o and F{\'{a}}bio Passos and Ricardo P{\'{o}}voa and Nuno Horta and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {Semi-Supervised Artificial Neural Networks towards Analog {IC} Placement Recommender}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9181148}, doi = {10.1109/ISCAS45731.2020.9181148}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GusmaoPPH0M20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PasseCNNF20, author = {Fernando Passe and Michael Canesche and Omar Paranaiba Vilela Neto and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {Mind the Gap: Bridging Verilog and Computer Architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180650}, doi = {10.1109/ISCAS45731.2020.9180650}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PasseCNNF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iv/0001FFV20, author = {Ana Paula Afonso and Antonio Ferreira and Lu{\'{\i}}s Ferreira and Ricardo Vaz}, editor = {Ebad Banissi and Farzad Khosrow{-}shahi and Anna Ursyn and Mark W. McK. Bannatyne and Jo{\~{a}}o Moura Pires and Nuno Datia and Kawa Nazemi and Boris Kovalerchuk and John Counsell and Andrew Agapiou and Zora Vrcelj and Hing{-}Wah Chau and Mengbi Li and Gehan Nagy and Richard Laing and Rita Francese and Muhammad Sarfraz and Fatma Bouali and Gilles Venturini and Marjan Trutschl and Urska Cvek and Heimo M{\"{u}}ller and Minoru Nakayama and Marco Temperini and Tania Di Mascio and Filippo Sciarrone and Veronica Rossano and Ralf D{\"{o}}rner and Loredana Caruccio and Autilia Vitiello and Weidong Huang and Michele Risi and Ugo Erra and Razvan Andonie and Muhammad Aurangzeb Ahmad and Ana Figueiras and Alfredo Cuzzocrea and Mabule Samuel Mabakane}, title = {RoseTrajVis: Visual Analytics of Trajectories with Rose Diagrams}, booktitle = {24th International Conference on Information Visualisation, {IV} 2020, Melbourne, Australia, September 7-11, 2020}, pages = {378--384}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IV51561.2020.00067}, doi = {10.1109/IV51561.2020.00067}, timestamp = {Wed, 20 Dec 2023 17:00:52 +0100}, biburl = {https://dblp.org/rec/conf/iv/0001FFV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwssip/AndradeDFAB20, author = {Renan Gomes de Andrade and Mariah Castro Durval and Isaura Maria Ferreira and Robson Carlos Antunes and Andr{\'{e}} R. Backes}, title = {Image Enhancement Applied to Water Holding Capacity Images}, booktitle = {2020 International Conference on Systems, Signals and Image Processing, {IWSSIP} 2020, Niter{\'{o}}i, Brazil, July 1-3, 2020}, pages = {199--204}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IWSSIP48289.2020.9145280}, doi = {10.1109/IWSSIP48289.2020.9145280}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwssip/AndradeDFAB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/OliveiraFSFRCA20, author = {Hugo Gon{\c{c}}alo Oliveira and Jo{\~{a}}o Ferreira and Jos{\'{e}} Santos and Pedro Fialho and Ricardo Rodrigues and Lu{\'{\i}}sa Coheur and Ana Alves}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {{AIA-BDE:} {A} Corpus of FAQs in Portuguese and their Variations}, booktitle = {Proceedings of The 12th Language Resources and Evaluation Conference, {LREC} 2020, Marseille, France, May 11-16, 2020}, pages = {5442--5449}, publisher = {European Language Resources Association}, year = {2020}, url = {https://aclanthology.org/2020.lrec-1.669/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/OliveiraFSFRCA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/RodriguesFCEMST30, author = {Francisco Rodrigues and Ricardo Ferreira and Carlos Castro and Robert Elschner and Thomas Merkle and Colja Schubert and Ant{\'{o}}nio Luis Jesus Teixeira}, title = {Hybrid fiber-optical/THz-wireless link transmission using low-cost {IM/DD} optics}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083599}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/RodriguesFCEMST30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbesc/GarrochoKMFCO20, author = {Charles Tim Batista Garrocho and Emerson Klippel and Anderson Vieira Machado and C{\'{e}}lio Marcio Soares Ferreira and Carlos Frederico Marcelo da Cunha Cavalcanti and Ricardo Augusto Rabelo Oliveira}, title = {Blockchain-based Machine-to-Machine Communication in the Industry 4.0 applied at the Industrial Mining Environment Charles}, booktitle = {X Brazilian Symposium on Computing Systems Engineering, {SBESC} 2020, Florianopolis, Brazil, November 24-27, 2020}, pages = {1--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SBESC51047.2020.9277852}, doi = {10.1109/SBESC51047.2020.9277852}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbesc/GarrochoKMFCO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbqs/SouzaFSCVFM20, author = {{\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Marcos S. Specimille and Alexandre G. N. Coelho and Nandamudi L. Vijaykumar and K{\'{a}}tia Romero Felizardo and Giovani Volnei Meinerz}, editor = {Davi Viana and Marcelo Schots}, title = {Experience Report on Developing an Ontology-based Approach for Knowledge Management in Software Testing}, booktitle = {19th Brazilian Symposium on Software Quality, {SBQS} 2020, S{\~{a}}o Lu{\'{\i}}s, Brazil, December, 2020}, pages = {32}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3439961.3439993}, doi = {10.1145/3439961.3439993}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbqs/SouzaFSCVFM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbqs/ValleVH20, author = {Pedro Henrique Dias Valle and Ricardo Ferreira Vilela and Elis Cristina Montoro Hernandes}, editor = {Davi Viana and Marcelo Schots}, title = {Does Gamification Improve the Training of Software Testers? {A} Preliminary Study from the Industry Perspective{\unicode{10033}}}, booktitle = {19th Brazilian Symposium on Software Quality, {SBQS} 2020, S{\~{a}}o Lu{\'{\i}}s, Brazil, December, 2020}, pages = {43}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3439961.3440004}, doi = {10.1145/3439961.3440004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbqs/ValleVH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slate/Ferreira0O20, author = {Jo{\~{a}}o Ferreira and Ricardo Rodrigues and Hugo Gon{\c{c}}alo Oliveira}, editor = {Alberto Sim{\~{o}}es and Pedro Rangel Henriques and Ricardo Queir{\'{o}}s}, title = {Assessing Factoid Question-Answer Generation for Portuguese (Short Paper)}, booktitle = {9th Symposium on Languages, Applications and Technologies, {SLATE} 2020, July 13-14, 2020, School of Technology, Polytechnic Institute of C{\'{a}}vado and Ave, Portugal (Virtual Conference)}, series = {OASIcs}, volume = {83}, pages = {16:1--16:9}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2020}, url = {https://doi.org/10.4230/OASIcs.SLATE.2020.16}, doi = {10.4230/OASICS.SLATE.2020.16}, timestamp = {Wed, 24 Aug 2022 11:27:10 +0200}, biburl = {https://dblp.org/rec/conf/slate/Ferreira0O20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/AlmeidaGANLMM20, author = {Glenda Malta de Almeida and Alex Sandro Gomes and J{\'{u}}lia Carneiro de Almeida and Tiago Jos{\'{e}} Dimas Dias Nogueira and Ricardo Massa Ferreira Lima and Thiago Suruagy de Melo and Lorenna Andrade de Mello}, title = {Evaluating Entrepreneurial Perceptions on Blended Learning}, booktitle = {2020 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2020, Toronto, ON, Canada, October 11-14, 2020}, pages = {4334--4339}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SMC42975.2020.9282851}, doi = {10.1109/SMC42975.2020.9282851}, timestamp = {Fri, 08 Jan 2021 11:20:36 +0100}, biburl = {https://dblp.org/rec/conf/smc/AlmeidaGANLMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wimob/TeixeiraMAFRC20, author = {Filipe B. Teixeira and Nuno Moreira and Nuno Abreu and Bruno M. Ferreira and Manuel Ricardo and Rui Campos}, title = {UDMSim: {A} Simulation Platform for Underwater Data Muling Communications}, booktitle = {16th International Conference on Wireless and Mobile Computing, Networking and Communications, WiMob 2020, Thessaloniki, Greece, October 12-14, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WiMob50308.2020.9253412}, doi = {10.1109/WIMOB50308.2020.9253412}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wimob/TeixeiraMAFRC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/worldcist/TelinoMMGM20, author = {Veronica Telino and Ricardo Massa and Ion{\'{a}} Mota and Alex Sandro Gomes and Fernando Moreira}, editor = {{\'{A}}lvaro Rocha and Hojjat Adeli and Lu{\'{\i}}s Paulo Reis and Sandra Costanzo and Irena Orovic and Fernando Moreira}, title = {Paving the Way for {IT} Governance in the Public Sector}, booktitle = {Trends and Innovations in Information Systems and Technologies - Volume 1, WorldCIST 2020, Budva, Montenegro, 7-10 April 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1159}, pages = {281--290}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-45688-7\_29}, doi = {10.1007/978-3-030-45688-7\_29}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/worldcist/TelinoMMGM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-05788, author = {Charles Tim Batista Garrocho and C{\'{e}}lio Marcio Soares Ferreira and Carlos Frederico Marcelo da Cunha Cavalcanti and Ricardo Augusto Rabelo Oliveira}, title = {Blockchain-Based Process Control and Monitoring Architecture for Vertical Integration of Industry 4.0}, journal = {CoRR}, volume = {abs/2007.05788}, year = {2020}, url = {https://arxiv.org/abs/2007.05788}, eprinttype = {arXiv}, eprint = {2007.05788}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-05788.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-05509, author = {Arthur Selle Jacobs and Ricardo Jos{\'{e}} Pfitscher and Ronaldo Alves Ferreira and Lisandro Zambenedetti Granville}, title = {Refining Network Intents for Self-Driving Networks}, journal = {CoRR}, volume = {abs/2008.05509}, year = {2020}, url = {https://arxiv.org/abs/2008.05509}, eprinttype = {arXiv}, eprint = {2008.05509}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-05509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-09831, author = {Filipa Valdeira and Ricardo Ferreira and Alessandra Micheletti and Cl{\'{a}}udia Soares}, title = {{RANSIP} : From noisy point clouds to complete ear models, unsupervised}, journal = {CoRR}, volume = {abs/2008.09831}, year = {2020}, url = {https://arxiv.org/abs/2008.09831}, eprinttype = {arXiv}, eprint = {2008.09831}, timestamp = {Sat, 06 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-09831.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-02550, author = {Ricardo J. Jesus and M{\'{a}}rio Luis Pinto Antunes and Rui Am{\'{e}}rico Ferreira da Costa and Sergey N. Dorogovtsev and Jos{\'{e}} Fernando Ferreira Mendes and Rui L. Aguiar}, title = {Effect of the initial configuration of weights on the training and function of artificial neural networks}, journal = {CoRR}, volume = {abs/2012.02550}, year = {2020}, url = {https://arxiv.org/abs/2012.02550}, eprinttype = {arXiv}, eprint = {2012.02550}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-02550.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ReisVC19, author = {Joao R. Reis and Mario Vala and Rafael F. S. Caldeirinha}, title = {Review Paper on Transmitarray Antennas}, journal = {{IEEE} Access}, volume = {7}, pages = {94171--94188}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2924293}, doi = {10.1109/ACCESS.2019.2924293}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ReisVC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/RudkeFAEXRSMMSS19, author = {Anderson Paulo Rudke and Thais Fujita and Daniela Sanches de Almeida and Marilia Moreira Eiras and Ana Carolina Freitas Xavier and Sameh Adib Abou Rafee and Eliane Barbosa Santos and Marcos Vin{\'{\i}}cius Bueno de Morais and Leila Droprinchinski Martins and Rita Val{\'{e}}ria Andreoli de Souza and Rodrigo Augusto Ferreira Souza and Ricardo Hallak and Edmilson Dias de Freitas and Cintia Bertacchi Uvo and Jorge Alberto Martins}, title = {Land cover data of Upper Parana River Basin, South America, at high spatial resolution}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {83}, year = {2019}, url = {https://doi.org/10.1016/j.jag.2019.101926}, doi = {10.1016/J.JAG.2019.101926}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/RudkeFAEXRSMMSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/CerriBBC19, author = {Ricardo Cerri and M{\'{a}}rcio P. Basgalupp and Rodrigo C. Barros and Andr{\'{e}} C. P. L. F. de Carvalho}, title = {Inducing Hierarchical Multi-label Classification rules with Genetic Algorithms}, journal = {Appl. Soft Comput.}, volume = {77}, pages = {584--604}, year = {2019}, url = {https://doi.org/10.1016/j.asoc.2019.01.017}, doi = {10.1016/J.ASOC.2019.01.017}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/CerriBBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/CasauMSS19, author = {Pedro Casau and Christopher G. Mayhew and Ricardo G. Sanfelice and Carlos Silvestre}, title = {Robust global exponential stabilization on the n-dimensional sphere with applications to trajectory tracking for quadrotors}, journal = {Autom.}, volume = {110}, year = {2019}, url = {https://doi.org/10.1016/j.automatica.2019.108534}, doi = {10.1016/J.AUTOMATICA.2019.108534}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/automatica/CasauMSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/FigueirasGCGGJ19, author = {Paulo Figueiras and Diogo Gon{\c{c}}alves and Ruben Costa and Guilherme Guerreiro and Panos Georgakis and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {Novel Big Data-supported dynamic toll charging system: Impact assessment on Portugal's shadow-toll highways}, journal = {Comput. Ind. Eng.}, volume = {135}, pages = {476--491}, year = {2019}, url = {https://doi.org/10.1016/j.cie.2019.06.043}, doi = {10.1016/J.CIE.2019.06.043}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/FigueirasGCGGJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/AikenDASDR19, author = {Vera Cardoso Ferreira Aiken and Jo{\~{a}}o Ricardo Rebou{\c{c}}as D{\'{o}}rea and Juliano Sabella Acedo and Fernando Gon{\c{c}}alves de Sousa and F{\'{a}}bio Guerra Dias and Guilherme Jord{\~{a}}o de Magalh{\~{a}}es Rosa}, title = {Record linkage for farm-level data analytics: Comparison of deterministic, stochastic and machine learning methods}, journal = {Comput. Electron. Agric.}, volume = {163}, year = {2019}, url = {https://doi.org/10.1016/j.compag.2019.104857}, doi = {10.1016/J.COMPAG.2019.104857}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/AikenDASDR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/SousaQAFP19, author = {Clara Sousa and Cristina Quintelas and Catarina Augusto and Eug{\'{e}}nio C. Ferreira and Ricardo N. M. J. P{\'{a}}scoa}, title = {Discrimination of \emph{Camellia japonica} cultivars and chemometric models: An interlaboratory study}, journal = {Comput. Electron. Agric.}, volume = {159}, pages = {28--33}, year = {2019}, url = {https://doi.org/10.1016/j.compag.2019.02.025}, doi = {10.1016/J.COMPAG.2019.02.025}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/SousaQAFP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/CavalcantiFTBCG19, author = {Virg{\'{\i}}nia Carrazzone Cavalcanti and Maria Iziane de Santana Ferreira and Veronica Teichrieb and Ricardo Rossiter Barioni and Walter Franklin Marques Correia and Alana Elza Fontes Da Gama}, title = {Usability and effects of text, image and audio feedback on exercise correction during augmented reality based motor rehabilitation}, journal = {Comput. Graph.}, volume = {85}, pages = {100--110}, year = {2019}, url = {https://doi.org/10.1016/j.cag.2019.10.001}, doi = {10.1016/J.CAG.2019.10.001}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/CavalcantiFTBCG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/RosaPRFCOCPST19, author = {Hugo Rosa and N{\'{a}}dia Salgado Pereira and Ricardo Ribeiro and Paula Costa Ferreira and Jo{\~{a}}o Paulo Carvalho and Sofia Oliveira and Lu{\'{\i}}sa Coheur and Paula Paulino and Ana Margarida Veiga Sim{\~{a}}o and Isabel Trancoso}, title = {Automatic cyberbullying detection: {A} systematic review}, journal = {Comput. Hum. Behav.}, volume = {93}, pages = {333--345}, year = {2019}, url = {https://doi.org/10.1016/j.chb.2018.12.021}, doi = {10.1016/J.CHB.2018.12.021}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/RosaPRFCOCPST19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/MendoncaAL19, author = {Julio Mendonca and Ermeson C. Andrade and Ricardo M. F. Lima}, title = {Assessing mobile applications performance and energy consumption through experiments and Stochastic models}, journal = {Computing}, volume = {101}, number = {12}, pages = {1789--1811}, year = {2019}, url = {https://doi.org/10.1007/s00607-019-00707-6}, doi = {10.1007/S00607-019-00707-6}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computing/MendoncaAL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/PenhaSSCBNF19, author = {Jeronimo Costa Penha and Lucas B. da Silva and Jansen Silva and Kristtopher Coelho and Hector P. Baranda and Jos{\'{e}} Augusto Miranda Nacif and Ricardo Ferreira}, title = {{ADD:} Accelerator Design and Deploy - {A} tool for {FPGA} high-performance dataflow computing}, journal = {Concurr. Comput. Pract. Exp.}, volume = {31}, number = {18}, year = {2019}, url = {https://doi.org/10.1002/cpe.5096}, doi = {10.1002/CPE.5096}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/PenhaSSCBNF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijoe/ClementeAMFVM19, author = {Miguel Pais Clemente and Jos{\'{e}} Manuel Amarante and Andr{\'{e}} Moreira and Afonso Pinh{\~{a}}o Ferreira and Ricardo Vardasca and Joaquim Mendes}, title = {The Functional Interdependence of Wind Instrumentalists' Embouchure and Their Craniofacial Features}, journal = {Int. J. Online Biomed. Eng.}, volume = {15}, number = {13}, pages = {17--33}, year = {2019}, url = {https://doi.org/10.3991/ijoe.v15i13.10961}, doi = {10.3991/IJOE.V15I13.10961}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijoe/ClementeAMFVM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SantosPC19, author = {Davi Pereira dos Santos and Ricardo Bastos Cavalcante Prud{\^{e}}ncio and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, title = {Empirical investigation of active learning strategies}, journal = {Neurocomputing}, volume = {326-327}, pages = {15--27}, year = {2019}, url = {https://doi.org/10.1016/j.neucom.2017.05.105}, doi = {10.1016/J.NEUCOM.2017.05.105}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/SantosPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imm/Luis-FerreiraMS19, author = {Fernando Luis{-}Ferreira and Majid Zamiri and Jo{\~{a}}o Sarraipa and Gary McManus and Philip O'Brien and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {Survey on assistive technologies for people with Dementia}, journal = {{IEEE} Instrum. Meas. Mag.}, volume = {22}, number = {6}, pages = {45--52}, year = {2019}, url = {https://doi.org/10.1109/MIM.2019.8917903}, doi = {10.1109/MIM.2019.8917903}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imm/Luis-FerreiraMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/MartinsJCKPO19, author = {Hugo Ferreira Martins and Ant{\^{o}}nio Carvalho de Oliveira Junior and Edna Dias Canedo and Ricardo Ajax Dias Kosloski and Roberto {\'{A}}vila Pald{\^{e}}s and Edgard Costa Oliveira}, title = {Design Thinking: Challenges for Software Requirements Elicitation}, journal = {Inf.}, volume = {10}, number = {12}, pages = {371}, year = {2019}, url = {https://doi.org/10.3390/info10120371}, doi = {10.3390/INFO10120371}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/MartinsJCKPO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/GomesTC19, author = {Luiz Alberto Ferreira Gomes and Ricardo da Silva Torres and Mario L{\'{u}}cio C{\^{o}}rtes}, title = {Bug report severity level prediction in open source software: {A} survey and research opportunities}, journal = {Inf. Softw. Technol.}, volume = {115}, pages = {58--78}, year = {2019}, url = {https://doi.org/10.1016/j.infsof.2019.07.009}, doi = {10.1016/J.INFSOF.2019.07.009}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/GomesTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/MendoncaAEL19, author = {Julio Mendonca and Ermeson C. Andrade and Patricia Takako Endo and Ricardo M. F. Lima}, title = {Disaster recovery solutions for {IT} systems: {A} Systematic mapping study}, journal = {J. Syst. Softw.}, volume = {149}, pages = {511--530}, year = {2019}, url = {https://doi.org/10.1016/j.jss.2018.12.023}, doi = {10.1016/J.JSS.2018.12.023}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/MendoncaAEL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rairo/ReisSNS19, author = {Daniel Morais dos Reis and Nat{\~{a}} Goulart da Silva and Thiago F. Noronha and S{\'{e}}rgio Ricardo de Souza}, title = {On the problem of minimizing the cost with optical devices in Wavelength Division Multiplexing optical networks: complexity analysis, mathematical formulation and improved heuristics}, journal = {{RAIRO} Oper. Res.}, volume = {53}, number = {5}, pages = {1513--1528}, year = {2019}, url = {https://doi.org/10.1051/ro/2018072}, doi = {10.1051/RO/2018072}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rairo/ReisSNS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/MontefuscoNSBI19, author = {Adilson Marcos Montefusco and Felipe Parra do Nascimento and Luiz Ubirajara Sennes and Ricardo Ferreira Bento and Rui Imamura}, title = {Influence of international authorship on citations in Brazilian medical journals: a bibliometric analysis}, journal = {Scientometrics}, volume = {119}, number = {3}, pages = {1487--1496}, year = {2019}, url = {https://doi.org/10.1007/s11192-019-03104-0}, doi = {10.1007/S11192-019-03104-0}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/MontefuscoNSBI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MartinsLPPCRCSF19, author = {Ricardo Martins and Nuno Louren{\c{c}}o and F{\'{a}}bio Passos and Ricardo Povoa and Ant{\'{o}}nio Canelas and Elisenda Roca and Rafael Castro{-}L{\'{o}}pez and Javier J. Sieiro and Francisco V. Fern{\'{a}}ndez and Nuno Horta}, title = {Two-Step {RF} {IC} Block Synthesis With Preoptimized Inductors and Full Layout Generation In-the-Loop}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {6}, pages = {989--1002}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2834394}, doi = {10.1109/TCAD.2018.2834394}, timestamp = {Thu, 15 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MartinsLPPCRCSF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/SilvaFCMVPJN19, author = {Lucas Bragan{\c{c}}a da Silva and Ricardo S. Ferreira and Michael Canesche and Marcelo M. Menezes and Maria D. Vieira and Jeronimo Costa Penha and Peter Jamieson and Jos{\'{e}} Augusto Miranda Nacif}, title = {{READY:} {A} Fine-Grained Multithreading Overlay Framework for Modern {CPU-FPGA} Dataflow Applications}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5s}, pages = {56:1--56:20}, year = {2019}, url = {https://doi.org/10.1145/3358187}, doi = {10.1145/3358187}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/SilvaFCMVPJN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thipeac/FerreiraBLPC19, author = {Ricardo S. Ferreira and Cristoferson Bueno and Marcone Laure and Monica Magalh{\~{a}}es Pereira and Luigi Carro}, title = {A Dynamic Reconfigurable Super-VLIW Architecture for a Fault Tolerant Nanoscale Design}, journal = {Trans. High Perform. Embed. Archit. Compil.}, volume = {5}, pages = {121--139}, year = {2019}, url = {https://doi.org/10.1007/978-3-662-58834-5\_7}, doi = {10.1007/978-3-662-58834-5\_7}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/thipeac/FerreiraBLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MartinsLHYMM19, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta and Jun Yin and Pui{-}In Mak and Rui Paulo Martins}, title = {Many-Objective Sizing Optimization of a Class-C/D {VCO} for Ultralow-Power IoT and Ultralow-Phase-Noise Cellular Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {1}, pages = {69--82}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2018.2872410}, doi = {10.1109/TVLSI.2018.2872410}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/MartinsLHYMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/CasauSS19, author = {Pedro Casau and Ricardo G. Sanfelice and Carlos Silvestre}, title = {Adaptive Backstepping of Synergistic Hybrid Feedbacks with Application to Obstacle Avoidance}, booktitle = {2019 American Control Conference, {ACC} 2019, Philadelphia, PA, USA, July 10-12, 2019}, pages = {1730--1735}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ACC.2019.8814661}, doi = {10.23919/ACC.2019.8814661}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/CasauSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/QuaresmaGCFVQF19, author = {Cl{\'{a}}udia Quaresma and Madalena Gomes and Heitor Cardoso and Nuno Ferreira and Ricardo Vig{\'{a}}rio and Carla Quint{\~{a}}o and Micaela Fonseca}, editor = {Ana Cec{\'{\i}}lia Roque and Ana L. N. Fred and Hugo Gamboa}, title = {{GNEUROPATHY:} Validation Process at Clinical Environment}, booktitle = {Proceedings of the 12th International Joint Conference on Biomedical Engineering Systems and Technologies {(BIOSTEC} 2019) - Volume 1: BIODEVICES, Prague, Czech Republic, February 22-24, 2019}, pages = {275--279}, publisher = {SciTePress}, year = {2019}, url = {https://doi.org/10.5220/0007579702750279}, doi = {10.5220/0007579702750279}, timestamp = {Tue, 06 Jun 2023 14:58:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/QuaresmaGCFVQF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/ReisFMC19, author = {Sandra Reis and Ana Ferreira and Pedro Manuel Vieira Marques and Ricardo Cruz{-}Correia}, editor = {Roman Moucek and Ana L. N. Fred and Hugo Gamboa}, title = {Usability Study of a Tool for Patients' Access Control to Their Health Data}, booktitle = {Proceedings of the 12th International Joint Conference on Biomedical Engineering Systems and Technologies {(BIOSTEC} 2019) - Volume 5: HEALTHINF, Prague, Czech Republic, February 22-24, 2019}, pages = {94--102}, publisher = {SciTePress}, year = {2019}, url = {https://doi.org/10.5220/0007391200940102}, doi = {10.5220/0007391200940102}, timestamp = {Tue, 06 Jun 2023 14:58:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/ReisFMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/MatosGSW19, author = {Vin{\'{\i}}cius Bitencourt Matos and Ricardo Guimar{\~{a}}es and Yuri David Santos and Renata Wassermann}, editor = {Carsten Lutz and Uli Sattler and Cesare Tinelli and Anni{-}Yasmin Turhan and Frank Wolter}, title = {Pseudo-contractions as Gentle Repairs}, booktitle = {Description Logic, Theory Combination, and All That - Essays Dedicated to Franz Baader on the Occasion of His 60th Birthday}, series = {Lecture Notes in Computer Science}, volume = {11560}, pages = {385--403}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22102-7\_18}, doi = {10.1007/978-3-030-22102-7\_18}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/birthday/MatosGSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsd/FerreiraGVPB19, author = {Tiago Ferreira and Diogo Gon{\c{c}}alves and Ricardo Vieira and Diogo Proen{\c{c}}a and Jos{\'{e}} Borbinha}, editor = {Boris Shishkov}, title = {A Case Management Approach to Risk Management}, booktitle = {Business Modeling and Software Design - 9th International Symposium, {BMSD} 2019, Lisbon, Portugal, July 1-3, 2019, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {356}, pages = {246--256}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-24854-3\_18}, doi = {10.1007/978-3-030-24854-3\_18}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsd/FerreiraGVPB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bracis/MarquesSFDJASM19, author = {Ricardo Costa da Silva Marques and Arthur Costa Serra and Jo{\~{a}}o Vitor Ferreira Fran{\c{c}}a and Jo{\~{a}}o Ot{\'{a}}vio Bandeira Diniz and Geraldo Braz Junior and Jo{\~{a}}o Dallyson Sousa de Almeida and Marcia Izabel Alves da Silva and Eliana Marcia Garros Monteiro}, title = {Image-Based Electric Consumption Recognition via Multi-Task Learning}, booktitle = {8th Brazilian Conference on Intelligent Systems, {BRACIS} 2019, Salvador, Brazil, October 15-18, 2019}, pages = {419--424}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BRACIS.2019.00080}, doi = {10.1109/BRACIS.2019.00080}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bracis/MarquesSFDJASM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccece/FelixVCFFRACC19, author = {Juliana Paula F{\'{e}}lix and Fl{\'{a}}vio H. T. Vieira and {\'{A}}lisson A. Cardoso and Marcus V. G. Ferreira and Ricardo Augusto Pereira Franco and Michel A. Ribeiro and S{\'{e}}rgio G. Ara{\'{u}}jo and Henrique Pires Corr{\^{e}}a and Marcos L. Carneiro}, title = {A Parkinson's Disease Classification Method: An Approach Using Gait Dynamics and Detrended Fluctuation Analysis}, booktitle = {2019 {IEEE} Canadian Conference of Electrical and Computer Engineering, {CCECE} 2019, Edmonton, AB, Canada, May 5-8, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCECE.2019.8861759}, doi = {10.1109/CCECE.2019.8861759}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccece/FelixVCFFRACC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccece/FerreiraVFRACFC19, author = {Marcus V. G. Ferreira and Fl{\'{a}}vio H. T. Vieira and Juliana Paula Felix and Michel A. Ribeiro and S{\'{e}}rgio G. Ara{\'{u}}jo and {\'{A}}lisson A. Cardoso and Ricardo Augusto Pereira Franco and Henrique Pires Corr{\^{e}}a and Paula A. V. Hamberger}, title = {Delay optimization in Resource Allocation of f-OFDM Wireless Networks with Subcarrier Aggregation}, booktitle = {2019 {IEEE} Canadian Conference of Electrical and Computer Engineering, {CCECE} 2019, Edmonton, AB, Canada, May 5-8, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCECE.2019.8861716}, doi = {10.1109/CCECE.2019.8861716}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccece/FerreiraVFRACFC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtis/FarkhaniBTCJFM19, author = {Hooman Farkhani and Tim B{\"{o}}hnert and Mohammad Tarequzzaman and Diogo Costa and Alex Jenkins and Ricardo Ferreira and Farshad Moradi}, title = {Spin-Torque-Nano-Oscillator based neuromorphic computing assisted by laser}, booktitle = {14th International Conference on Design {\&} Technology of Integrated Systems In Nanoscale Era, {DTIS} 2019, Mykonos, Greece, April 16-18, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DTIS.2019.8734967}, doi = {10.1109/DTIS.2019.8734967}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dtis/FarkhaniBTCJFM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecsa/0002SMMSMPRM0P19, author = {Nuno Santos and Carlos E. Salgado and Francisco Morais and M{\'{o}}nica Melo and Sara Silva and Raquel Martins and Marco Pereira and Helena Rodrigues and Ricardo J. Machado and Nuno Ferreira and Manuel Pereira}, editor = {Laurence Duchien and Anne Koziolek and Raffaela Mirandola and Elena Maria Navarro Mart{\'{\i}}nez and Cl{\'{e}}ment Quinton and Riccardo Scandariato and Patrizia Scandurra and Catia Trubiani and Danny Weyns}, title = {A logical architecture design method for microservices architectures}, booktitle = {Proceedings of the 13th European Conference on Software Architecture, {ECSA} 2019, Paris, France, September 9-13, 2019, Companion Proceedings (Proceedings Volume 2),}, pages = {145--151}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3344948.3344991}, doi = {10.1145/3344948.3344991}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecsa/0002SMMSMPRM0P19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/efmi-stc/MaranhaoPBGVSC19, author = {Priscila Alves Maranh{\~{a}}o and Ana Margarida Pereira and Gustavo Mar{\'{\i}}sio Bacelar{-}Silva and Duarte Nuno Gon{\c{c}}alves{-}Ferreira and Pedro Vieira{-}Marques and Tiago Silva{-}Costa and Ricardo Jo{\~{a}}o Cruz Correia}, editor = {Amnon Shabo Shvo and Inge Madsen and Hans{-}Ulrich Prokosch and Kristiina H{\"{a}}yrinen and Klaus{-}Hendrik Wolf and Fernando Mart{\'{\i}}n{-}S{\'{a}}nchez and Matthias L{\"{o}}be and Thomas M. Deserno}, title = {From ObsCare Software to an OpenEHR Platform: Modelling Obstetric Archetypes}, booktitle = {{ICT} for Health Science Research - Proceedings of the {EFMI} 2019 Special Topic Conference - 7-10 April 2019, Hanover, Germany}, series = {Studies in Health Technology and Informatics}, volume = {258}, pages = {153--157}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/978-1-61499-959-1-153}, doi = {10.3233/978-1-61499-959-1-153}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/efmi-stc/MaranhaoPBGVSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusflat/FerreiraTV19, author = {Marco Antonio Cunha Ferreira and Ricardo Tanscheit and Marley M. B. R. Vellasco}, editor = {Vil{\'{e}}m Nov{\'{a}}k and Vladim{\'{\i}}r Mar{\'{\i}}k and Martin Stepnicka and Mirko Navara and Petr Hurt{\'{\i}}k}, title = {Automatic Generation of a Type-2 Fuzzy System for Time Series Forecast based on Genetic Programming}, booktitle = {Proceedings of the 11th Conference of the European Society for Fuzzy Logic and Technology, {EUSFLAT} 2019, Prague, Czech Republic, September 9-13, 2019}, series = {Atlantis Studies in Uncertainty Modelling}, volume = {1}, publisher = {Atlantis Press}, year = {2019}, url = {https://doi.org/10.2991/eusflat-19.2019.54}, doi = {10.2991/EUSFLAT-19.2019.54}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eusflat/FerreiraTV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euspn/TeofiloLPSL19, author = {Mauro Ricardo da S. Te{\'{o}}filo and Alvaro A. B. Louren{\c{c}}o and Juliana Postal and Yuri Motta Lopes Rodrigues Silva and Vicente Ferreira de Lucena Jr.}, editor = {Elhadi M. Shakshuki and Ansar{-}Ul{-}Haque Yasar and Haroon Malik}, title = {The Raising Role of Virtual Reality in Accessibility Systems}, booktitle = {The 10th International Conference on Emerging Ubiquitous Systems and Pervasive Networks {(EUSPN} 2019) / The 9th International Conference on Current and Future Trends of Information and Communication Technologies in Healthcare {(ICTH-2019)} / Affiliated Workshops, Coimbra, Portugal, November 4-7, 2019}, series = {Procedia Computer Science}, volume = {160}, pages = {671--677}, publisher = {Elsevier}, year = {2019}, url = {https://doi.org/10.1016/j.procs.2019.11.029}, doi = {10.1016/J.PROCS.2019.11.029}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euspn/TeofiloLPSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/expat/TorresGRFCTFG19, author = {Andr{\'{e}} Torres and Duarte Gon{\c{c}}alves and Emanuel Ricardo and Ricardo Grosso Ferreira and Rui Calado and Rui Torres and Hor{\'{a}}cio Fernandes and Vasco Guerra}, title = {Collaborative development of plasma physics {MOOC} in the context of a PhD curricular unit}, booktitle = {2019 5th Experiment International Conference (exp.at'19), Funchal (Madeira Island), Portugal, June 12-14, 2019}, pages = {123--127}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EXPAT.2019.8876481}, doi = {10.1109/EXPAT.2019.8876481}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/expat/TorresGRFCTFG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/FerreiraCSGG19, author = {Beatriz Quintino Ferreira and Jo{\~{a}}o Paulo Costeira and Ricardo Gamelas Sousa and Liang{-}Yan Gui and Jo{\~{a}}o Pedro Gomes}, title = {Pose Guided Attention for Multi-Label Fashion Image Classification}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {3125--3128}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00380}, doi = {10.1109/ICCVW.2019.00380}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/FerreiraCSGG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/DanignoBFOMFR19, author = {Marcelo Danigno and Paulo F. Butzen and Jorge Ferreira and Andr{\'{e}} Oliveira and Eder Monteiro and Mateus Foga{\c{c}}a and Ricardo Augusto da Luz Reis}, title = {Proposal and Evaluation of Pin Access Algorithms for Detailed Routing}, booktitle = {26th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2019, Genoa, Italy, November 27-29, 2019}, pages = {602--605}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICECS46596.2019.8965194}, doi = {10.1109/ICECS46596.2019.8965194}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/DanignoBFOMFR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/FerreiraBMR19, author = {Jorge Ferreira and Paulo F. Butzen and Cristina Meinhardt and Ricardo A. L. Reis}, title = {{FBM:} {A} Simple and Fast Algorithm for Placement Legalization}, booktitle = {26th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2019, Genoa, Italy, November 27-29, 2019}, pages = {209--212}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICECS46596.2019.8965013}, doi = {10.1109/ICECS46596.2019.8965013}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/FerreiraBMR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/DAngeloMKFDOAB19, author = {Thiago D'Angelo and Marina Mendes and Breno N. S. Keller and Rafael Ferreira and Saul E. Delabrida and Ricardo Augusto Rabelo Oliveira and H{\'{e}}ctor Azp{\'{u}}rua and Andrea G. C. Bianchi}, editor = {M. Arif Wani and Taghi M. Khoshgoftaar and Dingding Wang and Huanjing Wang and Naeem Seliya}, title = {Deep Learning-Based Object Detection for Digital Inspection in the Mining Industry}, booktitle = {18th {IEEE} International Conference On Machine Learning And Applications, {ICMLA} 2019, Boca Raton, FL, USA, December 16-19, 2019}, pages = {633--640}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLA.2019.00116}, doi = {10.1109/ICMLA.2019.00116}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmla/DAngeloMKFDOAB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphys/LeitaoRFPPB19, author = {Paulo Leit{\~{a}}o and Nelson Rodrigues and Adriano Ferreira and Arnaldo Pagani and Pierluigi Petrali and Jos{\'{e}} Barbosa}, title = {A Lightweight Dynamic Monitoring of Operational Indicators for a Rapid Strategical Awareness}, booktitle = {{IEEE} International Conference on Industrial Cyber Physical Systems, {ICPS} 2019, Taipei, Taiwan, May 6-9, 2019}, pages = {121--126}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICPHYS.2019.8780228}, doi = {10.1109/ICPHYS.2019.8780228}, timestamp = {Tue, 11 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icphys/LeitaoRFPPB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvisp/00070CA19, author = {Ricardo Santos and Ant{\'{o}}nio Abreu and Jo{\~{a}}o M. F. Calado and Vitor Anes}, title = {An Approach Based on Fuzzy Logic, to Improve Quality Management on Research and Development Centres}, booktitle = {{ICVISP} 2019: 3rd International Conference on Vision, Image and Signal Processing, Vancouver, BC, Canada, August 26-28, 2019}, pages = {36:1--36:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3387168.3387232}, doi = {10.1145/3387168.3387232}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icvisp/00070CA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/FerreiraMGCM19, author = {Paulo J. S. Ferreira and Ricardo M. C. Magalh{\~{a}}es and Kemilly Dearo Garcia and Jo{\~{a}}o M. P. Cardoso and Jo{\~{a}}o Mendes{-}Moreira}, editor = {Hujun Yin and David Camacho and Peter Ti{\~{n}}o and Antonio J. Tall{\'{o}}n{-}Ballesteros and Ronaldo Menezes and Richard Allmendinger}, title = {An Efficient Scheme for Prototyping kNN in the Context of Real-Time Human Activity Recognition}, booktitle = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2019 - 20th International Conference, Manchester, UK, November 14-16, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11871}, pages = {486--493}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33607-3\_52}, doi = {10.1007/978-3-030-33607-3\_52}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ideal/FerreiraMGCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/BentoLPS19, author = {Alexandre Bento and Ricardo Lu{\'{\i}}s and S{\'{o}}nia Ferreira Pinto and Jos{\'{e}} Fernando Silva}, title = {A Novel Multilevel T-Type Indirect Matrix Converter for Three-phase Open-end {AC} Loads}, booktitle = {{IECON} 2019 - 45th Annual Conference of the {IEEE} Industrial Electronics Society, Lisbon, Portugal, October 14-17, 2019}, pages = {6575--6580}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IECON.2019.8927541}, doi = {10.1109/IECON.2019.8927541}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/BentoLPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/SouzaJRJCSSS19, author = {Aguinaldo Eduardo de Souza and Jo{\~{a}}o Jos{\'{e}} Giardulli Junior and Jo{\~{a}}o Gilberto Mendes dos Reis and Ataide Pereira Cardoso Junior and Paula Ferreira da Cruz Correia and Ricardo Zandonadi Schmidt and Jos{\'{e}} Benedito Sacomano and M{\'{a}}rcia Terra da Silva}, editor = {Farhad Ameri and Kathryn E. Stecke and Gregor von Cieminski and Dimitris Kiritsis}, title = {An Evaluation of Brazilian Ports for Corn Export Using Multicriteria Analysis}, booktitle = {Advances in Production Management Systems. Production Management for the Factory of the Future - {IFIP} {WG} 5.7 International Conference, {APMS} 2019, Austin, TX, USA, September 1-5, 2019, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {566}, pages = {129--134}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-30000-5\_17}, doi = {10.1007/978-3-030-30000-5\_17}, timestamp = {Thu, 05 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/SouzaJRJCSSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/inoc/RosaSS19, author = {Bruno Ferreira Rosa and Marcone Jamilson Freitas Souza and S{\'{e}}rgio Ricardo de Souza and Zacharie Al{\`{e}}s and Philippe Yves Paul Michelon}, editor = {Beno{\^{\i}}t Darties and Michael Poss}, title = {Valid constraints for time-indexed formulations of job scheduling problems with distinct time windows and sequence-dependent setup times}, booktitle = {Proceedings of the 9th International Network Optimization Conference, {INOC} 2019, Avignon, France, June 12-14, 2019}, pages = {43--48}, publisher = {OpenProceedings.org}, year = {2019}, url = {https://doi.org/10.5441/002/inoc.2019.09}, doi = {10.5441/002/INOC.2019.09}, timestamp = {Tue, 22 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/inoc/RosaSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/MendoncaLQAK19, author = {J{\'{u}}lio Mendon{\c{c}}a and Ricardo Lima and Ewerton Queiroz and Ermeson Carneiro de Andrade and Dong Seong Kim}, title = {Evaluation of a Backup-as-a-Service Environment for Disaster Recovery}, booktitle = {2019 {IEEE} Symposium on Computers and Communications, {ISCC} 2019, Barcelona, Spain, June 29 - July 3, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCC47284.2019.8969658}, doi = {10.1109/ISCC47284.2019.8969658}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscc/MendoncaLQAK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issi/SampaioFAM19, author = {Ricardo Barros Sampaio and Bruno Santos Ferreira and Ant{\'{o}}nio Abreu and Jes{\'{u}}s P. Mena{-}Chalco}, editor = {Giuseppe Catalano and Cinzia Daraio and Martina Gregori and Henk F. Moed and Giancarlo Ruocco}, title = {e-Lattes: {A} new framework in {R} language for analysis of the Lattes curriculum}, booktitle = {Proceedings of the 17th International Conference on Scientometrics and Informetrics, {ISSI} 2019, Rome, Italy, September 2-5, 2019}, pages = {2660--2661}, publisher = {{ISSI} Society}, year = {2019}, timestamp = {Tue, 14 Apr 2020 11:09:56 +0200}, biburl = {https://dblp.org/rec/conf/issi/SampaioFAM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobilecloud/FerreiraOS19, author = {Celio Marcio Soares Ferreira and Ricardo Augusto Rabelo Oliveira and Jorge S{\'{a}} Silva}, title = {Low-Energy Smart Cities Network with LoRa and Bluetooth}, booktitle = {7th {IEEE} International Conference on Mobile Cloud Computing, Services, and Engineering, MobileCloud 2019, Newark, CA, USA, April 4-9, 2019}, pages = {24--29}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MobileCloud.2019.00011}, doi = {10.1109/MOBILECLOUD.2019.00011}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobilecloud/FerreiraOS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/profes/0002R0M19, author = {Nuno Santos and Helena Rodrigues and Nuno Ferreira and Ricardo J. Machado}, editor = {Xavier Franch and Tomi M{\"{a}}nnist{\"{o}} and Silverio Mart{\'{\i}}nez{-}Fern{\'{a}}ndez}, title = {Inputs from a Model-Based Approach Towards the Specification of Microservices Logical Architectures: An Experience Report}, booktitle = {Product-Focused Software Process Improvement - 20th International Conference, {PROFES} 2019, Barcelona, Spain, November 27-29, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11915}, pages = {473--488}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35333-9\_33}, doi = {10.1007/978-3-030-35333-9\_33}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/profes/0002R0M19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robot/GonzalezPLSG19, author = {Enrique Gonz{\'{a}}lez and John P{\'{a}}ez and Fernando Luis{-}Ferreira and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Manuel F. Silva and Jos{\'{e}} Lu{\'{\i}}s Lima and Lu{\'{\i}}s Paulo Reis and Alberto Sanfeliu and Danilo Tardioli}, title = {Human-Robot Scaffolding, an Architecture to Support the Learning Process}, booktitle = {Robot 2019: Fourth Iberian Robotics Conference - Advances in Robotics, Volume 1, Porto, Portugal, 20-22 November, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {1092}, pages = {528--541}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35990-4\_43}, doi = {10.1007/978-3-030-35990-4\_43}, timestamp = {Wed, 07 Dec 2022 23:11:33 +0100}, biburl = {https://dblp.org/rec/conf/robot/GonzalezPLSG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtsi/TorresDMNFGC19, author = {Pedro M. B. Torres and Rog{\'{e}}rio Dion{\'{\i}}sio and S{\'{e}}rgio Malh{\~{a}}o and Lu{\'{\i}}s Neto and Ricardo Ferreira and Helena Gouveia and H{\'{e}}lder Castro}, title = {Cyber-Physical Production Systems supported by Intelligent Devices (SmartBoxes) for Industrial Processes Digitalization}, booktitle = {5th {IEEE} International forum on Research and Technology for Society and Industry, {RTSI} 2019, Florence, Italy, September 9-12, 2019}, pages = {73--78}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/RTSI.2019.8895553}, doi = {10.1109/RTSI.2019.8895553}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtsi/TorresDMNFGC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/FreireMFCFVFMF19, author = {Carmonizia da Silva Freire and Cleumar da Silva Moreira and Carlos Alberto de Souza Filho and Rossana Moreno Santa Cruz and Alessandro Falqueto and Anderson Luis Valle and Luiz Ricardo Goulart Filho and Eliton Souto de Medeiros and Kaline do Nascimento Ferreira}, title = {Application of a Smartphone-based {SPR} platform for Glyphosate detection}, booktitle = {{IEEE} Sensors Applications Symposium, {SAS} 2019, Sophia Antipolis, France, March 11-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SAS.2019.8706024}, doi = {10.1109/SAS.2019.8706024}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sas2/FreireMFCFVFMF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/FormigoniFN19, author = {Ruan Evangelista Formigoni and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, editor = {Jo{\~{a}}o Antonio Martino and Marcelo Lubaszewski and Matteo Sonza Reorda}, title = {Ropper: a placement and routing framework for field-coupled nanotechnologies}, booktitle = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019}, pages = {24}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338852.3339838}, doi = {10.1145/3338852.3339838}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/FormigoniFN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbsi/MilonAOVMBF19, author = {Vanessa Milon and Ricardo Ara{\'{u}}jo and Osenias Oliveira and Andr{\'{e}}ia Vieira and Adriano Mendes and Lu{\'{\i}}s Braga and Bruna Ferreira}, editor = {F{\'{a}}bio Gomes Rocha and Igor Vasconcelos and Rodrigo Pereira dos Santos and Davi Viana and Scheila de Avila e Silva}, title = {Level {UP:} Mapping Hard Skills of {IT} Practitioners to support the Project Management}, booktitle = {Proceedings of the {XV} Brazilian Symposium on Information Systems, {SBSI} 2019, Aracaju, Brazil, May 20-24, 2019}, pages = {2:1--2:7}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330204.3330211}, doi = {10.1145/3330204.3330211}, timestamp = {Wed, 11 Nov 2020 17:37:45 +0100}, biburl = {https://dblp.org/rec/conf/sbsi/MilonAOVMBF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sepln/FerreiraO019, author = {Jo{\~{a}}o Ferreira and Hugo Gon{\c{c}}alo Oliveira and Ricardo Rodrigues}, editor = {Miguel {\'{A}}ngel Garc{\'{\i}}a Cumbreras and Julio Gonzalo and Eugenio Mart{\'{\i}}nez C{\'{a}}mara and Raquel Mart{\'{\i}}nez{-}Unanue and Paolo Rosso and Jorge Carrillo{-}de{-}Albornoz and Soto Montalvo and Luis Chiruzzo and Sandra Collovini and Yoan Guti{\'{e}}rrez and Salud M. Jim{\'{e}}nez{-}Zafra and Martin Krallinger and Manuel Montes{-}y{-}G{\'{o}}mez and Reynier Ortega{-}Bueno and Aiala Ros{\'{a}}}, title = {NLPyPort: Named Entity Recognition with {CRF} and Rule-Based Relation Extraction}, booktitle = {Proceedings of the Iberian Languages Evaluation Forum co-located with 35th Conference of the Spanish Society for Natural Language Processing, IberLEF@SEPLN 2019, Bilbao, Spain, September 24th, 2019}, series = {{CEUR} Workshop Proceedings}, volume = {2421}, pages = {468--477}, publisher = {CEUR-WS.org}, year = {2019}, url = {https://ceur-ws.org/Vol-2421/NER\_Portuguese\_paper\_7.pdf}, timestamp = {Mon, 05 Aug 2024 13:04:25 +0200}, biburl = {https://dblp.org/rec/conf/sepln/FerreiraO019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/JacobsPRFGR19, author = {Arthur Selle Jacobs and Ricardo J. Pfitscher and Rafael Hengen Ribeiro and Ronaldo A. Ferreira and Lisandro Zambenedetti Granville and Sanjay G. Rao}, title = {Deploying Natural Language Intents with Lumi}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2019 Conference Posters and Demos, {SIGCOMM} 2019, Beijing, China, August 19-23, 2019}, pages = {82--84}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3342280.3342315}, doi = {10.1145/3342280.3342315}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/JacobsPRFGR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/SacramentoFA19, author = {Pedro de Almeida Sacramento and Ricardo dos Santos Ferreira and Marcus Vin{\'{\i}}cius Alvim Andrade}, title = {Bezalel - Towards low-cost pin-based shape displays}, booktitle = {{SIGGRAPH} Asia 2019 Technical Briefs, {SA} 2019, Brisbane, QLD, Australia, November 17-20, 2019}, pages = {106--109}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3355088.3365144}, doi = {10.1145/3355088.3365144}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/SacramentoFA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsand/00020M19, author = {Nuno Santos and Nuno Ferreira and Ricardo J. Machado}, editor = {Stanislaw Wrycza and Jacek Maslankowski}, title = {Towards Agile Architecting: Proposing an Architectural Pathway Within an Industry 4.0 Project}, booktitle = {Information Systems: Research, Development, Applications, Education - 12th {SIGSAND/PLAIS} EuroSymposium 2019, Gdansk, Poland, September 19, 2019, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {359}, pages = {121--136}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-29608-7\_10}, doi = {10.1007/978-3-030-29608-7\_10}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigsand/00020M19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slate/FerreiraOR19, author = {Jo{\~{a}}o Ferreira and Hugo Gon{\c{c}}alo Oliveira and Ricardo Rodrigues}, editor = {Ricardo Rodrigues and Jan Janousek and Lu{\'{\i}}s Ferreira and Lu{\'{\i}}sa Coheur and Fernando Batista and Hugo Gon{\c{c}}alo Oliveira}, title = {Improving {NLTK} for Processing Portuguese}, booktitle = {8th Symposium on Languages, Applications and Technologies, {SLATE} 2019, June 27-28, 2019, Coimbra, Portugal}, series = {OASIcs}, volume = {74}, pages = {18:1--18:9}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2019}, url = {https://doi.org/10.4230/OASIcs.SLATE.2019.18}, doi = {10.4230/OASICS.SLATE.2019.18}, timestamp = {Tue, 30 Apr 2024 17:03:24 +0200}, biburl = {https://dblp.org/rec/conf/slate/FerreiraOR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/00030CPHM19, author = {Nuno Louren{\c{c}}o and Ricardo Martins and Ant{\'{o}}nio Canelas and Ricardo P{\'{o}}voa and Nuno Horta and Emmanuel Moutaye}, title = {Hard and Soft Constraints for Multi-objective Analog {IC} Sizing Optimization}, booktitle = {16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2019, Lausanne, Switzerland, July 15-18, 2019}, pages = {285--288}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMACD.2019.8795220}, doi = {10.1109/SMACD.2019.8795220}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/00030CPHM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/00030HYMM19, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta and Jun Yin and Pui{-}In Mak and Rui Paulo Martins}, title = {Using {EDA} Tools to Push the Performance Boundaries of an Ultralow-Power IoT-VCO at 65nm}, booktitle = {16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2019, Lausanne, Switzerland, July 15-18, 2019}, pages = {37--40}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMACD.2019.8795240}, doi = {10.1109/SMACD.2019.8795240}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/00030HYMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/00030PH19, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Ricardo P{\'{o}}voa and Nuno Horta}, title = {On the Exploration of Design Tradeoffs in Analog {IC} Placement with Layout-dependent Effects}, booktitle = {16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2019, Lausanne, Switzerland, July 15-18, 2019}, pages = {25--28}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMACD.2019.8795297}, doi = {10.1109/SMACD.2019.8795297}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/00030PH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/0003A0PCPHD19, author = {Nuno Louren{\c{c}}o and Engin Afacan and Ricardo Martins and F{\'{a}}bio Passos and Ant{\'{o}}nio Canelas and Ricardo P{\'{o}}voa and Nuno Horta and G{\"{u}}nhan D{\"{u}}ndar}, title = {Using Polynomial Regression and Artificial Neural Networks for Reusable Analog {IC} Sizing}, booktitle = {16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2019, Lausanne, Switzerland, July 15-18, 2019}, pages = {13--16}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMACD.2019.8795282}, doi = {10.1109/SMACD.2019.8795282}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/0003A0PCPHD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/GuerraCPH0019, author = {Daniel Guerra and Ant{\'{o}}nio Canelas and Ricardo P{\'{o}}voa and Nuno Horta and Nuno Louren{\c{c}}o and Ricardo Martins}, title = {Artificial Neural Networks as an Alternative for Automatic Analog {IC} Placement}, booktitle = {16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2019, Lausanne, Switzerland, July 15-18, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMACD.2019.8795267}, doi = {10.1109/SMACD.2019.8795267}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/GuerraCPH0019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/PovoaC0H0G19, author = {Ricardo P{\'{o}}voa and Ant{\'{o}}nio Canelas and Ricardo Martins and Nuno Horta and Nuno Louren{\c{c}}o and Jo{\~{a}}o Goes}, title = {A Low Noise {CMOS} Inverter-Based {OTA} for and Healthcare Signal Receivers}, booktitle = {16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2019, Lausanne, Switzerland, July 15-18, 2019}, pages = {161--164}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMACD.2019.8795248}, doi = {10.1109/SMACD.2019.8795248}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/PovoaC0H0G19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/FerreiraBSRJL19, author = {Enza R. S. de Ferreira and Rafael M. Barros and Thiago Allison Ribeiro da Silva and Ricardo de A. L. Rab{\^{e}}lo and Valdemir R. J{\'{u}}nior and Guilherme G. Lage}, title = {Application of a Data Communication Infrastructure for the Voltage Magnitude Control in Transmission Power Systems}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {4308--4315}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914481}, doi = {10.1109/SMC.2019.8914481}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/FerreiraBSRJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MendoncaMAMML19, author = {J{\'{u}}lio Mendon{\c{c}}a and Wilson Medeiros and Ermeson C. Andrade and Ronierison Maciel and Paulo Romero Martins Maciel and Ricardo Lima}, title = {Evaluating Database Replication Mechanisms for Disaster Recovery in Cloud Environments}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {2358--2363}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914069}, doi = {10.1109/SMC.2019.8914069}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/MendoncaMAMML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssbse/VilelaPCS19, author = {Ricardo Ferreira Vilela and Victor H. S. C. Pinto and Thelma Elita Colanzi and Simone R. S. Souza}, editor = {Shiva Nejati and Gregory Gay}, title = {Bio-Inspired Optimization of Test Data Generation for Concurrent Software}, booktitle = {Search-Based Software Engineering - 11th International Symposium, {SSBSE} 2019, Tallinn, Estonia, August 31 - September 1, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11664}, pages = {121--136}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-27455-9\_9}, doi = {10.1007/978-3-030-27455-9\_9}, timestamp = {Thu, 09 Mar 2023 15:35:16 +0100}, biburl = {https://dblp.org/rec/conf/ssbse/VilelaPCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vsgames/RodriguesFPPS19, author = {Ricardo Rodrigues and Paula Costa Ferreira and Rui Prada and Paula Paulino and Ana Margarida Veiga Sim{\~{a}}o}, editor = {Fotis Liarokapis}, title = {Festarola: a Game for Improving Problem Solving Strategies}, booktitle = {11th International Conference on Virtual Worlds and Games for Serious Applications, VS-Games 2019, Vienna, Austria, September 4-6, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VS-Games.2019.8864524}, doi = {10.1109/VS-GAMES.2019.8864524}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vsgames/RodriguesFPPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wer/MergulhaoLSAB19, author = {Patr{\'{\i}}cia Mergulh{\~{a}}o and Maria Lencastre and Melina Soares and Ricardo Almeida and Aline F. Barbosa}, editor = {Maria Lencastre and Marcela Ridao and Henrique Prado de S{\'{a}} Sousa}, title = {Uso de Metodologias Criativas no Processo de Ensino da Disciplina Engenharia de Requisitos}, booktitle = {Anais do {WER19} - Workshop em Engenharia de Requisitos, Recife, Brasil, August 13-16, 2019}, publisher = {Editora PUC-Rio}, year = {2019}, url = {https://doi.org/10.29327/1298731.22-16}, doi = {10.29327/1298731.22-16}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wer/MergulhaoLSAB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/slate/2019, editor = {Ricardo Rodrigues and Jan Janousek and Lu{\'{\i}}s Ferreira and Lu{\'{\i}}sa Coheur and Fernando Batista and Hugo Gon{\c{c}}alo Oliveira}, title = {8th Symposium on Languages, Applications and Technologies, {SLATE} 2019, June 27-28, 2019, Coimbra, Portugal}, series = {OASIcs}, volume = {74}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2019}, url = {http://www.dagstuhl.de/dagpub/978-3-95977-114-6}, isbn = {978-3-95977-114-6}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slate/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-02026, author = {Pedro Casau and Christopher G. Mayhew and Ricardo G. Sanfelice and Carlos Silvestre}, title = {Robust Global Exponential Stabilization on the n-Dimensional Sphere with Applications to Trajectory Tracking for Quadrotors}, journal = {CoRR}, volume = {abs/1910.02026}, year = {2019}, url = {http://arxiv.org/abs/1910.02026}, eprinttype = {arXiv}, eprint = {1910.02026}, timestamp = {Wed, 09 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-02026.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-05024, author = {Beatriz Quintino Ferreira and Jo{\~{a}}o Paulo Costeira and Ricardo Gamelas Sousa and Liang{-}Yan Gui and Jo{\~{a}}o Pedro Gomes}, title = {Pose Guided Attention for Multi-label Fashion Image Classification}, journal = {CoRR}, volume = {abs/1911.05024}, year = {2019}, url = {http://arxiv.org/abs/1911.05024}, eprinttype = {arXiv}, eprint = {1911.05024}, timestamp = {Mon, 02 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-05024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-01347, author = {Farshad Moradi and Hooman Farkhani and Behzad Zeinali and Hamdam Ghanatian and Johan Michel Alain Pelloux{-}Prayer and Tim B{\"{o}}hnert and Mohammad Zahedinejad and Hadi Heidari and Vahid Nabaei and Ricardo Ferreira and Johan {\AA}kerman and Jens Kargaard Madsen}, title = {Spin-Orbit-Torque-based Devices, Circuits and Architectures}, journal = {CoRR}, volume = {abs/1912.01347}, year = {2019}, url = {http://arxiv.org/abs/1912.01347}, eprinttype = {arXiv}, eprint = {1912.01347}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-01347.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/MaranhaoBFCVC18, author = {Priscila Alves Maranh{\~{a}}o and Gustavo Mar{\'{\i}}sio Bacelar{-}Silva and Duarte Ferreira and Concei{\c{c}}{\~{a}}o Calhau and Pedro Vieira{-}Marques and Ricardo Cruz{-}Correia}, title = {Nutrigenomic Information in the openEHR Data Set}, journal = {Appl. Clin. Inform.}, volume = {09}, number = {01}, pages = {221--231}, year = {2018}, url = {https://doi.org/10.1055/s-0038-1635115}, doi = {10.1055/S-0038-1635115}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aci/MaranhaoBFCVC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/YousefiYFKF18, author = {Milad Yousefi and Moslem Yousefi and Ricardo Poley Martins Ferreira and Joong Hoon Kim and Flavio S. Fogliatto}, title = {Chaotic genetic algorithm and Adaboost ensemble metamodeling approach for optimum resource planning in emergency departments}, journal = {Artif. Intell. Medicine}, volume = {84}, pages = {23--33}, year = {2018}, url = {https://doi.org/10.1016/j.artmed.2017.10.002}, doi = {10.1016/J.ARTMED.2017.10.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/YousefiYFKF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccr/JacobsPFG18, author = {Arthur Selle Jacobs and Ricardo Jos{\'{e}} Pfitscher and Ronaldo Alves Ferreira and Lisandro Zambenedetti Granville}, title = {Refining network intents for self-driving networks}, journal = {Comput. Commun. Rev.}, volume = {48}, number = {5}, pages = {55--63}, year = {2018}, url = {https://doi.org/10.1145/3310165.3310173}, doi = {10.1145/3310165.3310173}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccr/JacobsPFG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/RosaSS18, author = {Bruno Ferreira Rosa and Marcone J. F. Souza and S{\'{e}}rgio Ricardo de Souza}, title = {Algorithms based on {VNS} for solving the Single Machine Scheduling Problem with Earliness and Tardiness Penalties}, journal = {Electron. Notes Discret. Math.}, volume = {66}, pages = {47--54}, year = {2018}, url = {https://doi.org/10.1016/j.endm.2018.03.007}, doi = {10.1016/J.ENDM.2018.03.007}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/RosaSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-spr/GomesRAHC18, author = {Rodolfo Gomes and Joao R. Reis and Zaid Al{-}Daher and Akram Hammoudeh and Rafael F. S. Caldeirinha}, title = {5G: performance and evaluation of {FS-FBMC} against {OFDM} for high data rate applications at 60 GHz}, journal = {{IET} Signal Process.}, volume = {12}, number = {5}, pages = {620--628}, year = {2018}, url = {https://doi.org/10.1049/iet-spr.2016.0671}, doi = {10.1049/IET-SPR.2016.0671}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-spr/GomesRAHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsa/Cruz-CorreiaFBM18, author = {Ricardo Cruz{-}Correia and Duarte Ferreira and Gustavo Bacelar and Pedro Manuel Vieira Marques and Priscila Alves Maranh{\~{a}}o}, title = {Personalised medicine challenges: quality of data}, journal = {Int. J. Data Sci. Anal.}, volume = {6}, number = {3}, pages = {251--259}, year = {2018}, url = {https://doi.org/10.1007/s41060-018-0127-9}, doi = {10.1007/S41060-018-0127-9}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsa/Cruz-CorreiaFBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/PassosMLRPCCHF18, author = {F{\'{a}}bio Passos and Ricardo Martins and Nuno Louren{\c{c}}o and Elisenda Roca and Ricardo Povoa and Ant{\'{o}}nio Canelas and Rafael Castro{-}L{\'{o}}pez and Nuno Horta and Francisco V. Fern{\'{a}}ndez}, title = {Enhanced systematic design of a voltage controlled oscillator using a two-step optimization methodology}, journal = {Integr.}, volume = {63}, pages = {351--361}, year = {2018}, url = {https://doi.org/10.1016/j.vlsi.2018.02.005}, doi = {10.1016/J.VLSI.2018.02.005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/PassosMLRPCCHF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/CoelhoFKSO18, author = {Cesar A. O. Coelho and Tatiana L. Ferreira and Juliana C. Kramer{-}Soares and Jo{\~{a}}o R. Sato and Maria Gabriela M. Oliveira}, title = {Network supporting contextual fear learning after dorsal hippocampal damage has increased dependence on retrosplenial cortex}, journal = {PLoS Comput. Biol.}, volume = {14}, number = {8}, year = {2018}, url = {https://doi.org/10.1371/journal.pcbi.1006207}, doi = {10.1371/JOURNAL.PCBI.1006207}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/CoelhoFKSO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/FariaWFM18, author = {Jo{\~{a}}o Ricardo Faria and Peter Fernandes Wanke and Jo{\~{a}}o J. Ferreira and Franklin G. Mixon Jr.}, title = {Research and innovation in higher education: empirical evidence from research and patenting in Brazil}, journal = {Scientometrics}, volume = {116}, number = {1}, pages = {487--504}, year = {2018}, url = {https://doi.org/10.1007/s11192-018-2744-4}, doi = {10.1007/S11192-018-2744-4}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/FariaWFM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FerreiraRM18, author = {Jo{\~{a}}o C. Ferreira and Ricardo Resende and Stuart Martinho}, title = {Beacons and {BIM} Models for Indoor Guidance and Location}, journal = {Sensors}, volume = {18}, number = {12}, pages = {4374}, year = {2018}, url = {https://doi.org/10.3390/s18124374}, doi = {10.3390/S18124374}, timestamp = {Mon, 08 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/FerreiraRM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MozzaquatroAGMJ18, author = {Bruno Augusti Mozzaquatro and Carlos Agostinho and Diogo Gon{\c{c}}alves and Jo{\~{a}}o Martins and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {An Ontology-Based Cybersecurity Framework for the Internet of Things}, journal = {Sensors}, volume = {18}, number = {9}, pages = {3053}, year = {2018}, url = {https://doi.org/10.3390/s18093053}, doi = {10.3390/S18093053}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MozzaquatroAGMJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/PovoaLMCHG18, author = {Ricardo Povoa and Nuno Louren{\c{c}}o and Ricardo Martins and Ant{\'{o}}nio Canelas and Nuno Cavaco Gomes Horta and Jo{\~{a}}o Goes}, title = {Single-Stage Amplifier Biased by Voltage Combiners With Gain and Energy-Efficiency Enhancement}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {3}, pages = {266--270}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2017.2686586}, doi = {10.1109/TCSII.2017.2686586}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/PovoaLMCHG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/PovoaLMCHG18a, author = {Ricardo Povoa and Nuno Louren{\c{c}}o and Ricardo Martins and Ant{\'{o}}nio Canelas and Nuno Horta and Jo{\~{a}}o Goes}, title = {Single-Stage {OTA} Biased by Voltage-Combiners With Enhanced Performance Using Current Starving}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {11}, pages = {1599--1603}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2017.2777533}, doi = {10.1109/TCSII.2017.2777533}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/PovoaLMCHG18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/MendoncaLMFA18, author = {Julio Mendonca and Ricardo M. F. Lima and R{\'{u}}bens de Souza Matos J{\'{u}}nior and Jo{\~{a}}o Ferreira and Ermeson Carneiro de Andrade}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Marek R. Ogiela and Lidia Ogiela and Nadeem Javaid}, title = {Availability Analysis of a Disaster Recovery Solution Through Stochastic Models and Fault Injection Experiments}, booktitle = {32nd {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2018, Krakow, Poland, May 16-18, 2018}, pages = {135--142}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/AINA.2018.00032}, doi = {10.1109/AINA.2018.00032}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/MendoncaLMFA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bracis/BernardoSR18, author = {Ricardo Maroquio Bernardo and Luis Claudio Batista da Silva and Paulo Fernando Ferreira Rosa}, title = {Onboard Video Stabilization for Low Cost Small {RPAS} Surveillance Applications}, booktitle = {7th Brazilian Conference on Intelligent Systems, {BRACIS} 2018, S{\~{a}}o Paulo, Brazil, October 22-25, 2018}, pages = {450--455}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/BRACIS.2018.00084}, doi = {10.1109/BRACIS.2018.00084}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bracis/BernardoSR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caise/0002PMBFM18, author = {Nuno Santos and Jaime Pereira and Francisco Morais and J{\'{u}}lio Barros and Nuno Ferreira and Ricardo J. Machado}, editor = {Jens Gulden and Iris Reinhartz{-}Berger and Rainer Schmidt and S{\'{e}}rgio Guerreiro and Wided Gu{\'{e}}dria and Palash Bera}, title = {An Agile Modeling Oriented Process for Logical Architecture Design}, booktitle = {Enterprise, Business-Process and Information Systems Modeling - 19th International Conference, {BPMDS} 2018, 23rd International Conference, {EMMSAD} 2018, Held at CAiSE 2018, Tallinn, Estonia, June 11-12, 2018, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {318}, pages = {260--275}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-91704-7\_17}, doi = {10.1007/978-3-319-91704-7\_17}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/caise/0002PMBFM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/MaranhaoBGCVAC18, author = {Priscila Alves Maranh{\~{a}}o and Gustavo Mar{\'{\i}}sio Bacelar{-}Silva and Duarte Nuno Gon{\c{c}}alves{-}Ferreira and Concei{\c{c}}{\~{a}}o Calhau and Pedro Vieira{-}Marques and Marle Alvarenga and Ricardo Jo{\~{a}}o Cruz Correia}, editor = {Jaakko Hollm{\'{e}}n and Carolyn McGregor and Paolo Soda and Bridget Kane}, title = {OpenEHR Modeling Applied to Eating Disorders in Clinical Practice: OpenEHR-Archetypes in Eating Disorders}, booktitle = {31st {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2018, Karlstad, Sweden, June 18-21, 2018}, pages = {36--41}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/CBMS.2018.00014}, doi = {10.1109/CBMS.2018.00014}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/MaranhaoBGCVAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/FerreiraVFSF18, author = {Marcus Vinicius Gonzaga Ferreira and Fl{\'{a}}vio Henrique Teles Vieira and Juliana Paula Felix and Dalton Foltran de Souza and Ricardo Augusto Pereira Franco}, title = {Application of Evolutionary Algorithm to Allocate Resources in Wireless Networks with Carrier Aggregation}, booktitle = {2018 {IEEE} Congress on Evolutionary Computation, {CEC} 2018, Rio de Janeiro, Brazil, July 8-13, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CEC.2018.8477751}, doi = {10.1109/CEC.2018.8477751}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/FerreiraVFSF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/centeris/LimaYYLRVMPRFA18, author = {In{\'{a}}cia Bezerra de Lima and Diego Bettiol Yamada and Vinicius Tohoru Yoshiura and Rog{\'{e}}rio Cortecioni Lance and L{\'{\i}}dia Maria Louren{\c{c}}on Rodrigues and Andr{\'{e}} Luiz Teixeira Vinci and Ricardo Martinho and Silvia In{\^{e}}s Dallavalle de P{\'{a}}dua and Rui Pedro Charters Lopes Rijo and Antonia Regina Ferreira Furegato and Domingos Alves}, editor = {Jo{\~{a}}o Eduardo Quintela Varaj{\~{a}}o and Maria Manuela Cruz{-}Cunha and Ricardo Martinho and Rui Rijo and Emanuel Peres}, title = {Proposal for selection of mental health indicators in the management of health networks: from heuristic to process modeling}, booktitle = {{CENTERIS} 2018 - International Conference on ENTERprise Information Systems / ProjMAN 2018 - International Conference on Project MANagement / HCist 2018 - International Conference on Health and Social Care Information Systems and Technologies 2018, Lisbon, Portugal}, series = {Procedia Computer Science}, volume = {138}, pages = {185--190}, publisher = {Elsevier}, year = {2018}, url = {https://doi.org/10.1016/j.procs.2018.10.026}, doi = {10.1016/J.PROCS.2018.10.026}, timestamp = {Tue, 23 Apr 2024 23:18:35 +0200}, biburl = {https://dblp.org/rec/conf/centeris/LimaYYLRVMPRFA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cibse/MacielSVFMF18, author = {Claudia Patricia Candia Maciel and {\'{E}}rica Ferreira de Souza and Nandamudi Lankalapalli Vijaykumar and Ricardo de Almeida Falbo and Giovani Volnei Meinerz and K{\'{a}}tia Romero Felizardo}, editor = {Marcela Genero and Marcos Kalinowski and Jes{\'{u}}s Garc{\'{\i}}a Molina and Francisco Pino and Tayana Conte and Beatriz Mar{\'{\i}}n and Isabel Brito and Giovanni Giachetti}, title = {An Empirical Study on the Knowledge Management Practice in Software Testing}, booktitle = {Proceedings of the {XXI} Iberoamerican Conference on Software Engineering, Bogota, Colombia, April 23-27, 2018}, pages = {29--42}, publisher = {Curran Associates}, year = {2018}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cibse/MacielSVFMF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/closer/PintoOVS18, author = {Victor Hugo Santiago C. Pinto and Ricardo R. Oliveira and Ricardo Ferreira Vilela and Simone R. S. Souza}, editor = {V{\'{\i}}ctor M{\'{e}}ndez Mu{\~{n}}oz and Donald Ferguson and Markus Helfert and Claus Pahl}, title = {Evaluating the User Acceptance Testing for Multi-tenant Cloud Applications}, booktitle = {Proceedings of the 8th International Conference on Cloud Computing and Services Science, {CLOSER} 2018, Funchal, Madeira, Portugal, March 19-21, 2018}, pages = {47--56}, publisher = {SciTePress}, year = {2018}, url = {https://doi.org/10.5220/0006664000470056}, doi = {10.5220/0006664000470056}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/closer/PintoOVS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Pessoa0MPH18, author = {Tiago Pessoa and Nuno Louren{\c{c}}o and Ricardo Martins and Ricardo Povoa and Nuno Horta}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Enhanced analog and {RF} {IC} sizing methodology using {PCA} and {NSGA-II} optimization kernel}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {660--665}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342092}, doi = {10.23919/DATE.2018.8342092}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Pessoa0MPH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/TorresSFNFNCD18, author = {Frank Sill Torres and Pedro Arthur Silva and Geraldo Fontes and Jos{\'{e}} Augusto Miranda Nacif and Ricardo Santos Ferreira and Omar Paranaiba Vilela Neto and Jeferson F. Chaves and Rolf Drechsler}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Exploration of the Synchronization Constraint in Quantum-dot Cellular Automata}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {642--648}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00109}, doi = {10.1109/DSD.2018.00109}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/TorresSFNFNCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/MagalhaesFF18, author = {Salles Viana Gomes Magalh{\~{a}}es and W. Randolph Franklin and Ricardo dos Santos Ferreira}, editor = {Farnoush Banaei Kashani and Erik G. Hoel and Ralf Hartmut G{\"{u}}ting and Roberto Tamassia and Li Xiong}, title = {Fast analysis of upstream features on spatial networks {(GIS} cup)}, booktitle = {Proceedings of the 26th {ACM} {SIGSPATIAL} International Conference on Advances in Geographic Information Systems, {SIGSPATIAL} 2018, Seattle, WA, USA, November 06-09, 2018}, pages = {622--625}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3274895.3276474}, doi = {10.1145/3274895.3276474}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gis/MagalhaesFF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/TeofiloLPL18, author = {Mauro Te{\'{o}}filo and Alvaro Louren{\c{c}}o and Juliana Postal and Vicente F. de Lucena Jr.}, editor = {Margherita Antona and Constantine Stephanidis}, title = {Exploring Virtual Reality to Enable Deaf or Hard of Hearing Accessibility in Live Theaters: {A} Case Study}, booktitle = {Universal Access in Human-Computer Interaction. Virtual, Augmented, and Intelligent Environments - 12th International Conference, {UAHCI} 2018, Held as Part of {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10908}, pages = {132--148}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-92052-8\_11}, doi = {10.1007/978-3-319-92052-8\_11}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/TeofiloLPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/LucaWP18, author = {Vitor Tocci F. de Luca and Roseli Suzi Wedemann and Angel Ricardo Plastino}, editor = {Vera Kurkov{\'{a}} and Yannis Manolopoulos and Barbara Hammer and Lazaros S. Iliadis and Ilias Maglogiannis}, title = {Neuronal Asymmetries and Fokker-Planck Dynamics}, booktitle = {Artificial Neural Networks and Machine Learning - {ICANN} 2018 - 27th International Conference on Artificial Neural Networks, Rhodes, Greece, October 4-7, 2018, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11141}, pages = {703--713}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01424-7\_69}, doi = {10.1007/978-3-030-01424-7\_69}, timestamp = {Fri, 31 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icann/LucaWP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarsc/Reis0SMFSS18, author = {Ricardo Reis and Jorge Mendes and Filipe Neves dos Santos and Raul Morais and Nuno Ferraz and Lu{\'{\i}}s Santos and Armando Sousa}, editor = {Hugo Costelha and Jo{\~{a}}o M. F. Calado and Lu{\'{\i}}s Conde Bento and Nuno Lopes and Paulo Oliveira}, title = {Redundant robot localization system based in wireless sensor network}, booktitle = {2018 {IEEE} International Conference on Autonomous Robot Systems and Competitions, {ICARSC} 2018, Torres Vedras, Portugal, April 25-27, 2018}, pages = {154--159}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICARSC.2018.8374176}, doi = {10.1109/ICARSC.2018.8374176}, timestamp = {Wed, 23 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icarsc/Reis0SMFSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarsc/SantosFS0M0R18, author = {Lu{\'{\i}}s Santos and Nuno Ferraz and Filipe Neves dos Santos and Jorge Mendes and Raul Morais and Pedro Costa and Ricardo Reis}, editor = {Hugo Costelha and Jo{\~{a}}o M. F. Calado and Lu{\'{\i}}s Conde Bento and Nuno Lopes and Paulo Oliveira}, title = {Path planning aware of soil compaction for steep slope vineyards}, booktitle = {2018 {IEEE} International Conference on Autonomous Robot Systems and Competitions, {ICARSC} 2018, Torres Vedras, Portugal, April 25-27, 2018}, pages = {250--255}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICARSC.2018.8374191}, doi = {10.1109/ICARSC.2018.8374191}, timestamp = {Wed, 23 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icarsc/SantosFS0M0R18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JuniorCSRBL18, author = {Elpidio H. Junior and Lucas F. da Cruz and Vandermi J. da Silva and Mauro Ricardo da S. Te{\'{o}}filo and Raimundo da Silva Barreto and Vicente F. Lucena}, title = {I-Jack: Wearable system for collection and evaluation physiological data}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2018, Las Vegas, NV, USA, January 12-14, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCE.2018.8326191}, doi = {10.1109/ICCE.2018.8326191}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/JuniorCSRBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/SilvaSTNL18, author = {Yuri Silva and Walter C. S. S. Simoes and Mauro Te{\'{o}}filo and Eduardo L{\'{a}}zaro Martins Naves and Vicente Lucena}, title = {Training environment for electric powered wheelchairs using teleoperation through a head mounted display}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2018, Las Vegas, NV, USA, January 12-14, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCE.2018.8326101}, doi = {10.1109/ICCE.2018.8326101}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/SilvaSTNL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/TeofiloLNMM18, author = {Mauro Te{\'{o}}filo and Vicente Ferreira de Lucena and Josiane Nascimento and Taynah Miyagawa and Francimar Maciel}, title = {Evaluating accessibility features designed for virtual reality context}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2018, Las Vegas, NV, USA, January 12-14, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCE.2018.8326167}, doi = {10.1109/ICCE.2018.8326167}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/TeofiloLNMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ice-itmc/CoristaFGSJ18, author = {Pedro Corista and Diogo Ferreira and Joao Giao and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {An IoT Agriculture System Using {FIWARE}}, booktitle = {2018 {IEEE} International Conference on Engineering, Technology and Innovation (ICE/ITMC), Stuttgart, Germany, June 17-20, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICE.2018.8436381}, doi = {10.1109/ICE.2018.8436381}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ice-itmc/CoristaFGSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/SilvaSFTO18, author = {Maur{\'{\i}}cio Jos{\'{e}} da Silva and Genilson I. Silva and Celio Marcio Soares Ferreira and Fernando Augusto Teixeira and Ricardo Augusto Rabelo Oliveira}, editor = {Slimane Hammoudi and Michal Smialek and Olivier Camp and Joaquim Filipe}, title = {Survey of Vehicular Network Simulators: {A} Temporal Approach}, booktitle = {Enterprise Information Systems - 20th International Conference, {ICEIS} 2018, Funchal, Madeira, Portugal, March 21-24, 2018, Revised Selected Papers}, series = {Lecture Notes in Business Information Processing}, volume = {363}, pages = {173--192}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-26169-6\_9}, doi = {10.1007/978-3-030-26169-6\_9}, timestamp = {Tue, 16 Aug 2022 23:04:29 +0200}, biburl = {https://dblp.org/rec/conf/iceis/SilvaSFTO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/FerreiraSRPMS18, author = {F{\'{a}}bio Andr{\'{e}} Ferreira da Silva Borges and Jos{\'{e}} Ricardo Teixiera Puga and Maria Judite Madureira da Silva Ferreira}, title = {Wireless Monitoring and Record of Intravenous Medication}, booktitle = {{IECON} 2018 - 44th Annual Conference of the {IEEE} Industrial Electronics Society, Washington, DC, USA, October 21-23, 2018}, pages = {3347--3354}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IECON.2018.8591461}, doi = {10.1109/IECON.2018.8591461}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/FerreiraSRPMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/AnderssonBA18, author = {Virginia Ortiz Andersson and Marco A. Ferreira Birck and Ricardo Matsumura de Ara{\'{u}}jo}, title = {Towards Predicting Dengue Fever Rates Using Convolutional Neural Networks and Street-Level Images}, booktitle = {2018 International Joint Conference on Neural Networks, {IJCNN} 2018, Rio de Janeiro, Brazil, July 8-13, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IJCNN.2018.8489567}, doi = {10.1109/IJCNN.2018.8489567}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/AnderssonBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/CerriMBC18, author = {Ricardo Cerri and Rafael Gomes Mantovani and M{\'{a}}rcio P. Basgalupp and Andr{\'{e}} C. P. L. F. de Carvalho}, title = {Multi-label Feature Selection Techniques for Hierarchical Multi-label Protein Function Prediction}, booktitle = {2018 International Joint Conference on Neural Networks, {IJCNN} 2018, Rio de Janeiro, Brazil, July 8-13, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IJCNN.2018.8489247}, doi = {10.1109/IJCNN.2018.8489247}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/CerriMBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/OliveiraAFFO18, author = {Ricardo T. A. de Oliveira and Thaize Fernandes O. de Assis and Paulo Renato A. Firmino and Tiago A. E. Ferreira and Adriano L. I. Oliveira}, title = {Aggregation of Time Series Forecasts via Cacoullos Copula}, booktitle = {2018 International Joint Conference on Neural Networks, {IJCNN} 2018, Rio de Janeiro, Brazil, July 8-13, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IJCNN.2018.8489098}, doi = {10.1109/IJCNN.2018.8489098}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/OliveiraAFFO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/is/FerreiraLGDAJ18, author = {Jos{\'{e}} Ferreira and F{\'{a}}bio Lopes and Sudeep Ghimire and Guy Doumeingts and Carlos Agostinho and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Ricardo Jardim{-}Gon{\c{c}}alves and Jo{\~{a}}o Pedro Mendon{\c{c}}a and Vladimir Jotsov and Maria Marques and Jo{\~{a}}o Martins and Robert E. Bierwolf}, title = {Cyber-Physical Production Systems to Monitor the Polishing Process of Cutlery Production}, booktitle = {9th {IEEE} International Conference on Intelligent Systems, {IS} 2018, Funchal, Madeira, Portugal, September 25-27, 2018}, pages = {926--933}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IS.2018.8710582}, doi = {10.1109/IS.2018.8710582}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/is/FerreiraLGDAJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/is/RodriguesLSG18, author = {Daniel Rodrigues and Fernando Luis{-}Ferreira and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Ricardo Jardim{-}Gon{\c{c}}alves and Jo{\~{a}}o Pedro Mendon{\c{c}}a and Vladimir Jotsov and Maria Marques and Jo{\~{a}}o Martins and Robert E. Bierwolf}, title = {Behavioural Monitoring of Alzheimer Patients with Smartwatch based System}, booktitle = {9th {IEEE} International Conference on Intelligent Systems, {IS} 2018, Funchal, Madeira, Portugal, September 25-27, 2018}, pages = {771--775}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IS.2018.8710487}, doi = {10.1109/IS.2018.8710487}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/is/RodriguesLSG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isami/MartinhoFR18, author = {Stuart Martinho and Jo{\~{a}}o Ferreira and Ricardo Resende}, editor = {Paulo Novais and Jason J. Jung and Gabriel Villarrubia{-}Gonz{\'{a}}lez and Antonio Fern{\'{a}}ndez{-}Caballero and Elena Navarro and Pascual Gonz{\'{a}}lez and Davide Carneiro and Ant{\'{o}}nio Pinto and Andrew T. Campbell and Dalila Dur{\~{a}}es}, title = {Find{\_}Me: IoT Indoor Guidance System}, booktitle = {Ambient Intelligence - Software and Applications -, 9th International Symposium on Ambient Intelligence, ISAmI 2018, Toledo, Spain, 20-22 June 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {806}, pages = {231--238}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01746-0\_27}, doi = {10.1007/978-3-030-01746-0\_27}, timestamp = {Wed, 26 Jun 2024 13:10:43 +0200}, biburl = {https://dblp.org/rec/conf/isami/MartinhoFR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isami/SantosFRR18, author = {Catarina Santos and Jo{\~{a}}o C. Ferreira and Vasco Rato and Ricardo Resende}, editor = {Paulo Novais and Jason J. Jung and Gabriel Villarrubia{-}Gonz{\'{a}}lez and Antonio Fern{\'{a}}ndez{-}Caballero and Elena Navarro and Pascual Gonz{\'{a}}lez and Davide Carneiro and Ant{\'{o}}nio Pinto and Andrew T. Campbell and Dalila Dur{\~{a}}es}, title = {Public Building Energy Efficiency - An IoT Approach}, booktitle = {Ambient Intelligence - Software and Applications -, 9th International Symposium on Ambient Intelligence, ISAmI 2018, Toledo, Spain, 20-22 June 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {806}, pages = {65--72}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01746-0\_8}, doi = {10.1007/978-3-030-01746-0\_8}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isami/SantosFRR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FontesSNNF18, author = {Geraldo Fontes and Pedro Arthur R. L. Silva and Jos{\'{e}} Augusto Miranda Nacif and Omar P. Vilela Neto and Ricardo Ferreira}, title = {Placement and Routing by Overlapping and Merging {QCA} Gates}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351001}, doi = {10.1109/ISCAS.2018.8351001}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FontesSNNF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SilvaAFNN18, author = {Pedro Arthur R. L. Silva and Juliana Rezende S. B. Alves and Ricardo S. Ferreira and Omar P. Vilela Neto and Jos{\'{e}} Augusto Miranda Nacif}, title = {A Novel Five-input Multiple-function {QCA} Threshold Gate}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351258}, doi = {10.1109/ISCAS.2018.8351258}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SilvaAFNN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kr/GuimaraesSW18, author = {Ricardo Guimar{\~{a}}es and Uli Sattler and Renata Wassermann}, editor = {Kristijonas Cyras and Tiago Oliveira and Matthew Williams and Loris Bozzato and Martin Homola and Till Mossakowski and Antoine Zimmermann}, title = {Ontology Stratification Methods: {A} Comparative Study}, booktitle = {Proceedings of the Joint Proceedings of Reasoning with Ambiguous and Conflicting Evidence and Recommendations in Medicine (MedRACER 2018) and the 3rd International Workshop on Ontology Modularity, Contextuality, and Evolution (WOMoCoE 2018) co-located with the 16th International Conference on Principles of Knowledge Representation and Reasoning {(KR} 2018), Tempe, Arizona, USA, October 29th, 2018}, series = {{CEUR} Workshop Proceedings}, volume = {2237}, pages = {51--62}, publisher = {CEUR-WS.org}, year = {2018}, url = {https://ceur-ws.org/Vol-2237/womocoe-paper-2.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:13 +0100}, biburl = {https://dblp.org/rec/conf/kr/GuimaraesSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/Goncalves-Ferreira18, author = {Duarte Nuno Gon{\c{c}}alves{-}Ferreira and Mariana Leite and C{\'{a}}tia Santos{-}Pereira and Manuel Eduardo Correia and Luis Filipe Coelho Antunes and Ricardo Cruz{-}Correia}, editor = {Adrien Ugon and Daniel Karlsson and Gunnar O. Klein and Anne Moen}, title = {HS.Register - An Audit-Trail Tool to Respond to the General Data Protection Regulation {(GDPR)}}, booktitle = {Building Continents of Knowledge in Oceans of Data: The Future of Co-Created eHealth - Proceedings of {MIE} 2018, Medical Informatics Europe, Gothenburg, Sweden, April 24-26, 2018}, series = {Studies in Health Technology and Informatics}, volume = {247}, pages = {81--85}, publisher = {{IOS} Press}, year = {2018}, url = {https://doi.org/10.3233/978-1-61499-852-5-81}, doi = {10.3233/978-1-61499-852-5-81}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mie/Goncalves-Ferreira18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/MaranhaoBGMC18, author = {Priscila Alves Maranh{\~{a}}o and Gustavo Mar{\'{\i}}sio Bacelar{-}Silva and Duarte Nuno Gon{\c{c}}alves{-}Ferreira and Pedro Manuel Vieira Marques and Ricardo Cruz{-}Correia}, editor = {Adrien Ugon and Daniel Karlsson and Gunnar O. Klein and Anne Moen}, title = {Challenges in Design and Creation of Genetic openEHR-Archetype}, booktitle = {Building Continents of Knowledge in Oceans of Data: The Future of Co-Created eHealth - Proceedings of {MIE} 2018, Medical Informatics Europe, Gothenburg, Sweden, April 24-26, 2018}, series = {Studies in Health Technology and Informatics}, volume = {247}, pages = {835--839}, publisher = {{IOS} Press}, year = {2018}, url = {https://doi.org/10.3233/978-1-61499-852-5-835}, doi = {10.3233/978-1-61499-852-5-835}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mie/MaranhaoBGMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/SousaFSBFPC18, author = {Mariana Sousa and Duarte Ferreira and C{\'{a}}tia Santos{-}Pereira and Gustavo Bacelar and Samuel Frade and Ol{\'{\i}}via Pestana and Ricardo Cruz{-}Correia}, editor = {Adrien Ugon and Daniel Karlsson and Gunnar O. Klein and Anne Moen}, title = {openEHR Based Systems and the General Data Protection Regulation {(GDPR)}}, booktitle = {Building Continents of Knowledge in Oceans of Data: The Future of Co-Created eHealth - Proceedings of {MIE} 2018, Medical Informatics Europe, Gothenburg, Sweden, April 24-26, 2018}, series = {Studies in Health Technology and Informatics}, volume = {247}, pages = {91--95}, publisher = {{IOS} Press}, year = {2018}, url = {https://doi.org/10.3233/978-1-61499-852-5-91}, doi = {10.3233/978-1-61499-852-5-91}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mie/SousaFSBFPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/photoptics/SoeiroAC18, author = {Ricardo O. J. Soeiro and Tiago M. F. Alves and Adolfo V. T. Cartaxo}, editor = {Maria Raposo and Paulo A. Ribeiro}, title = {On the Probability Density Function of Inter-core Crosstalk Power in Birefringent Homogeneous Multi-core Fibers}, booktitle = {Proceedings of the 6th International Conference on Photonics, Optics and Laser Technology, {PHOTOPTICS} 2018, Funchal, Madeira, Portugal, January 25-27, 2018}, pages = {36--42}, publisher = {{SCITEPRESS}}, year = {2018}, url = {https://doi.org/10.5220/0006542700360042}, doi = {10.5220/0006542700360042}, timestamp = {Fri, 17 Apr 2020 15:04:57 +0200}, biburl = {https://dblp.org/rec/conf/photoptics/SoeiroAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ReisCHC18, author = {Joao R. Reis and Rafael F. S. Caldeirinha and Akram Hammoudeh and Nigel Copner}, title = {Measurements and Modelling of Spatial Diversity using 2D Transmitarray}, booktitle = {29th {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2018, Bologna, Italy, September 9-12, 2018}, pages = {1142--1143}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PIMRC.2018.8580939}, doi = {10.1109/PIMRC.2018.8580939}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/ReisCHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ValaSRC18, author = {Mario Vala and Andr{\'{e}} Sardo and Joao R. Reis and Rafael F. S. Caldeirinha}, title = {Electronic Reconfigurable Beam-redirecting Metasurfaces for Outdoor-indoor Radio Coverage Enhancement at 5.2 GHz}, booktitle = {29th {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2018, Bologna, Italy, September 9-12, 2018}, pages = {1151--1152}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PIMRC.2018.8580863}, doi = {10.1109/PIMRC.2018.8580863}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/ValaSRC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/profes/0002P0M18, author = {Nuno Santos and Jaime Pereira and Nuno Ferreira and Ricardo J. Machado}, editor = {Marco Kuhrmann and Kurt Schneider and Dietmar Pfahl and Sousuke Amasaki and Marcus Ciolkowski and Regina Hebig and Paolo Tell and Jil Kl{\"{u}}nder and Steffen K{\"{u}}pper}, title = {Modeling in Agile Software Development: Decomposing Use Cases Towards Logical Architecture Design}, booktitle = {Product-Focused Software Process Improvement - 19th International Conference, {PROFES} 2018, Wolfsburg, Germany, November 28-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11271}, pages = {396--408}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03673-7\_31}, doi = {10.1007/978-3-030-03673-7\_31}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/profes/0002P0M18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/AlvesJBFN18, author = {Fredy A. M. Alves and Peter Jamieson and Lucas Bragan{\c{c}}a and Ricardo Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, editor = {Trevor N. Mudge and Dionisios N. Pnevmatikatos}, title = {Lessons learned on which applications benefit when implemented on {CPU-FPGA} heterogeneous system}, booktitle = {Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 2018}, pages = {150--156}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3229631.3229648}, doi = {10.1145/3229631.3229648}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/AlvesJBFN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/BragancaAPCFN18, author = {Lucas Bragan{\c{c}}a and Fredy A. M. Alves and Jeronimo Costa Penha and Gabriel T. P. Coimbra and Ricardo Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, editor = {Trevor N. Mudge and Dionisios N. Pnevmatikatos}, title = {Simplifying {HW/SW} integration to deploy multiple accelerators for {CPU-FPGA} heterogeneous platforms}, booktitle = {Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 2018}, pages = {97--104}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3229631.3229651}, doi = {10.1145/3229631.3229651}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/BragancaAPCFN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/CaldeiraPBFNFP18, author = {Pedro Caldeira and Jeronimo Costa Penha and Lucas Bragan{\c{c}}a and Ricardo Ferreira and Jos{\'{e}} Augusto Miranda Nacif and Renato Ferreira and Fernando Magno Quint{\~{a}}o Pereira}, title = {From Java to {FPGA:} An Experience with the Intel {HARP} System}, booktitle = {30th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2018, Lyon, France, September 24-27, 2018}, pages = {17--24}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CAHPC.2018.8645951}, doi = {10.1109/CAHPC.2018.8645951}, timestamp = {Sat, 01 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbac-pad/CaldeiraPBFNFP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbesc/FerreiraCCN18, author = {Ricardo Ferreira and Michael Canesche and Kristtopher Coelho and Jos{\'{e}} Augusto Miranda Nacif}, title = {Minimum Switching Networks}, booktitle = {{VIII} Brazilian Symposium on Computing Systems Engineering, {SBESC} 2018, Salvador, Brazil, November 5-8, 2018}, pages = {225--230}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SBESC.2018.00042}, doi = {10.1109/SBESC.2018.00042}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbesc/FerreiraCCN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbqs/MacielSFFV18, author = {Claudia P. C. Maciel and {\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and K{\'{a}}tia Romero Felizardo and Nandamudi L. Vijaykumar}, editor = {Andreia Malucelli and Sheila S. Reinehr}, title = {Knowledge Management Diagnostics in Software Development Organizations: a Systematic Literature Review}, booktitle = {Proceedings of the 17th Brazilian Symposium on Software Quality, {SBQS} 2018, Curitiba, Brazil, October 17-19, 2018}, pages = {141--150}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3275245.3275260}, doi = {10.1145/3275245.3275260}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbqs/MacielSFFV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/JacobsPFG18, author = {Arthur Selle Jacobs and Ricardo Jos{\'{e}} Pfitscher and Ronaldo Alves Ferreira and Lisandro Zambenedetti Granville}, title = {Refining Network Intents for Self-Driving Networks}, booktitle = {Proceedings of the Afternoon Workshop on Self-Driving Networks, SelfDN@SIGCOMM 2018, Budapest, Hungary, August 24, 2018}, pages = {15--21}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3229584.3229590}, doi = {10.1145/3229584.3229590}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/JacobsPFG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/00030HYMM18, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta and Jun Yin and Pui{-}In Mak and Rui Paulo Martins}, title = {Design and Optimization of a Class-C/D {VCO} for Ultra-Low-Power IoT and Cellular Applications}, booktitle = {15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2018, Prague, Czech Republic, July 2-5, 2018}, pages = {129--132}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMACD.2018.8434853}, doi = {10.1109/SMACD.2018.8434853}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/00030HYMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/0003R0ACPH18, author = {Nuno Louren{\c{c}}o and Joao Rosa and Ricardo Martins and Helena Aidos and Ant{\'{o}}nio Canelas and Ricardo Povoa and Nuno Horta}, title = {On the Exploration of Promising Analog {IC} Designs via Artificial Neural Networks}, booktitle = {15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2018, Prague, Czech Republic, July 2-5, 2018}, pages = {133--136}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMACD.2018.8434896}, doi = {10.1109/SMACD.2018.8434896}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/0003R0ACPH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/CanelasPM0GH18, author = {Ant{\'{o}}nio Canelas and Ricardo Povoa and Ricardo M. F. Martins and Nuno Louren{\c{c}}o and Jorge Guilherme and Nuno Horta}, title = {A 20 {DB} Gain Two-Stage Low-Noise Amplifier with High Yield for 5 GHz Applications}, booktitle = {15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2018, Prague, Czech Republic, July 2-5, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMACD.2018.8434917}, doi = {10.1109/SMACD.2018.8434917}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/CanelasPM0GH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/PassesMLRCPCHF18, author = {F{\'{a}}bio Passos and Ricardo Martins and Nuno C. Louren{\c{c}}o and Elisenda Roca and Rafael Castro{-}L{\'{o}}pez and Ricardo Povoa and Ant{\'{o}}nio Canelas and Nuno Horta and Francisco V. Fern{\'{a}}ndez}, title = {Handling the Effects of Variability and Layout Parasitics in the Automatic Synthesis of LNAs}, booktitle = {15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2018, Prague, Czech Republic, July 2-5, 2018}, pages = {1--164}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMACD.2018.8434887}, doi = {10.1109/SMACD.2018.8434887}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/PassesMLRCPCHF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wd/CoelhoLFCR18, author = {Andr{\'{e}} Coelho and M{\'{a}}rio Lopes and Bruno M. Ferreira and Rui Campos and Manuel Ricardo}, title = {Experimental evaluation of shore to unmanned surface vehicle Wi-Fi communications}, booktitle = {2018 Wireless Days, {WD} 2018, Dubai, United Arab Emirates, April 3-5, 2018}, pages = {86--91}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WD.2018.8361699}, doi = {10.1109/WD.2018.8361699}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wd/CoelhoLFCR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wscad/PenhaBCCSCNF18, author = {Jeronimo Costa Penha and Lucas Bragan{\c{c}}a and Kristtopher Coelho and Michael Canesche and Jansen Silva and Giovanni Comarela and Jos{\'{e}} Augusto Miranda Nacif and Ricardo Ferreira}, title = {A GPU/FPGA-Based K-Means Clustering Using a Parameterized Code Generator}, booktitle = {Symposium on High Performance Computing Systems, {WSCAD} 2018, S{\~{a}}o Paulo, Brazil, October 1-3, 2018}, pages = {61--69}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WSCAD.2018.00019}, doi = {10.1109/WSCAD.2018.00019}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wscad/PenhaBCCSCNF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xpu/0002PMB0M18, author = {Nuno Santos and Jaime Pereira and Francisco Morais and J{\'{u}}lio Barros and Nuno Ferreira and Ricardo J. Machado}, editor = {Ademar Aguiar}, title = {An experience report on using architectural models within distributed scrum teams contexts}, booktitle = {Proceedings of the 19th International Conference on Agile Software Development, {XP} 2019, Companion, Porto, Portugal, May 21-25, 2018}, pages = {10:1--10:5}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3234152.3234180}, doi = {10.1145/3234152.3234180}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/xpu/0002PMB0M18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xpu/0002PMB0M18a, author = {Nuno Santos and Jaime Pereira and Francisco Morais and J{\'{u}}lio Barros and Nuno Ferreira and Ricardo J. Machado}, editor = {Ademar Aguiar}, title = {Deriving user stories for distributed scrum teams from iterative refinement of architectural models}, booktitle = {Proceedings of the 19th International Conference on Agile Software Development, {XP} 2019, Companion, Porto, Portugal, May 21-25, 2018}, pages = {40:1--40:4}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3234152.3234165}, doi = {10.1145/3234152.3234165}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/xpu/0002PMB0M18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xpu/0002PMB0M18b, author = {Nuno Santos and Jaime Pereira and Francisco Morais and J{\'{u}}lio Barros and Nuno Ferreira and Ricardo J. Machado}, editor = {Ademar Aguiar}, title = {Incremental architectural requirements for agile modeling: a case study within a scrum project}, booktitle = {Proceedings of the 19th International Conference on Agile Software Development, {XP} 2019, Companion, Porto, Portugal, May 21-25, 2018}, pages = {41:1--41:4}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3234152.3234166}, doi = {10.1145/3234152.3234166}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/xpu/0002PMB0M18b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/FerreiraMS18, author = {Ricardo P. Ferreira and Andr{\'{e}}a Martiniano and Renato Jos{\'{e}} Sassi}, title = {Behavior of the urban traffic of the city of Sao Paulo in Brazil}, publisher = {{UCI} Machine Learning Repository}, year = {2018}, month = dec, howpublished = {\url{https://doi.org/10.24432/C5902F}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.24432/C5902F}, doi = {10.24432/C5902F}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/FerreiraMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/MartinianoF18, author = {Andr{\'{e}}a Martiniano and Ricardo P. Ferreira}, title = {Absenteeism at work}, publisher = {{UCI} Machine Learning Repository}, year = {2018}, month = apr, howpublished = {\url{https://doi.org/10.24432/C5X882}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.24432/C5X882}, doi = {10.24432/C5X882}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/MartinianoF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-01881, author = {Guilherme Iecker Ricardo and Jos{\'{e}} Ferreira de Rezende and Valmir C. Barbosa}, title = {Scheduling wireless links in the physical interference model by fractional edge coloring}, journal = {CoRR}, volume = {abs/1805.01881}, year = {2018}, url = {http://arxiv.org/abs/1805.01881}, eprinttype = {arXiv}, eprint = {1805.01881}, timestamp = {Tue, 22 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-01881.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-09445, author = {Beatriz Quintino Ferreira and Lu{\'{\i}}s Ba{\'{\i}}a and Jo{\~{a}}o Faria and Ricardo Gamelas Sousa}, title = {A Unified Model with Structured Output for Fashion Images Classification}, journal = {CoRR}, volume = {abs/1806.09445}, year = {2018}, url = {http://arxiv.org/abs/1806.09445}, eprinttype = {arXiv}, eprint = {1806.09445}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-09445.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-12260, author = {Alexandros{-}Apostolos A. Boulogeorgos and Angeliki Alexiou and Dimitrios Kritharidis and Alexandros Katsiotis and Georgia D. Ntouni and Joonas Kokkoniemi and Janne J. Lehtom{\"{a}}ki and Markku J. Juntti and Dessy Yankova and Ahmed Mokhtar and Jean{-}Charles Point and Jos{\'{e}} Machado and Robert Elschner and Colja Schubert and Thomas Merkle and Ricardo Ferreira and Francisco Rodrigues and Jos{\'{e}} Lima}, title = {Wireless Terahertz System Architectures for Networks Beyond 5G}, journal = {CoRR}, volume = {abs/1810.12260}, year = {2018}, url = {http://arxiv.org/abs/1810.12260}, eprinttype = {arXiv}, eprint = {1810.12260}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-12260.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-11569, author = {Fabricio Ataides Braz and Nilton Correia da Silva and Te{\'{o}}filo Em{\'{\i}}dio de Campos and Felipe Borges S. Chaves and Marcelo H. S. Ferreira and Pedro Henrique Inazawa and Victor H. D. Coelho and Bernardo Pablo Sukiennik and Ana Paula Goncalves Soares de Almeida and Flavio de Barros Vidal and Davi Alves Bezerra and Davi B. Gusm{\~{a}}o and Gabriel G. Ziegler and Ricardo V. C. Fernandes and Roberta Zumblick and Fabiano Hartmann Peixoto}, title = {Document classification using a Bi-LSTM to unclog Brazil's supreme court}, journal = {CoRR}, volume = {abs/1811.11569}, year = {2018}, url = {http://arxiv.org/abs/1811.11569}, eprinttype = {arXiv}, eprint = {1811.11569}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-11569.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-02207, author = {Rafael Gomes Mantovani and Tom{\'{a}}s Horv{\'{a}}th and Ricardo Cerri and Sylvio Barbon Junior and Joaquin Vanschoren and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, title = {An empirical study on hyperparameter tuning of decision trees}, journal = {CoRR}, volume = {abs/1812.02207}, year = {2018}, url = {http://arxiv.org/abs/1812.02207}, eprinttype = {arXiv}, eprint = {1812.02207}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-02207.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ao/SouzaFV17, author = {{\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Nandamudi Lankalapalli Vijaykumar}, title = {ROoST: Reference Ontology on Software Testing}, journal = {Appl. Ontology}, volume = {12}, number = {1}, pages = {59--90}, year = {2017}, url = {https://doi.org/10.3233/AO-170177}, doi = {10.3233/AO-170177}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ao/SouzaFV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/SpavieriFFLBO17, author = {Guilherme Spavieri and Ricardo T. M. Ferreira and Ricardo A. S. Fernandes and Guilherme G. Lage and Daniel Barbosa and M{\'{a}}rio Oleskovicz}, title = {Particle Swarm Optimization-based approach for parameterization of power capacitor models fed by harmonic voltages}, journal = {Appl. Soft Comput.}, volume = {56}, pages = {55--64}, year = {2017}, url = {https://doi.org/10.1016/j.asoc.2017.02.017}, doi = {10.1016/J.ASOC.2017.02.017}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/SpavieriFFLBO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/PereiraASMMFC17, author = {Phillipe A. Pereira and Higo F. Albuquerque and Isabela da Silva and Hendrio Marques and Felipe R. Monteiro and Ricardo Ferreira and Lucas C. Cordeiro}, title = {SMT-based context-bounded model checking for {CUDA} programs}, journal = {Concurr. Comput. Pract. Exp.}, volume = {29}, number = {22}, year = {2017}, url = {https://doi.org/10.1002/cpe.3934}, doi = {10.1002/CPE.3934}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/PereiraASMMFC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/RosaSSFAM17, author = {Bruno Ferreira Rosa and Marcone Jamilson Freitas Souza and S{\'{e}}rgio Ricardo de Souza and Moacir Felizardo de Fran{\c{c}}a Filho and Zacharie Al{\`{e}}s and Philippe Yves Paul Michelon}, title = {Algorithms for job scheduling problems with distinct time windows and general earliness/tardiness penalties}, journal = {Comput. Oper. Res.}, volume = {81}, pages = {203--215}, year = {2017}, url = {https://doi.org/10.1016/j.cor.2016.12.024}, doi = {10.1016/J.COR.2016.12.024}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/RosaSSFAM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/ZdravkovicLJT17, author = {Milan Zdravkovic and Fernando Luis{-}Ferreira and Ricardo Jardim{-}Gon{\c{c}}alves and Miroslav Trajanovic}, title = {On the formal definition of the systems' interoperability capability: an anthropomorphic approach}, journal = {Enterp. Inf. Syst.}, volume = {11}, number = {3}, pages = {389--413}, year = {2017}, url = {https://doi.org/10.1080/17517575.2015.1057236}, doi = {10.1080/17517575.2015.1057236}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eis/ZdravkovicLJT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcicg/AlvesSNRMCFB17, author = {Ricardo Martins Alves and Lu{\'{\i}}s Carlos Sousa and Aldric Trindade Negrier and Jo{\~{a}}o M. F. Rodrigues and J{\^{a}}nio M. Monteiro and Pedro J. S. Cardoso and Paulo Felisberto and Paulo Bica}, title = {Interactive 360 Degree Holographic Installation}, journal = {Int. J. Creative Interfaces Comput. Graph.}, volume = {8}, number = {1}, pages = {20--38}, year = {2017}, url = {https://doi.org/10.4018/IJCICG.2017010102}, doi = {10.4018/IJCICG.2017010102}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcicg/AlvesSNRMCFB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/FerreiraSBACJ17, author = {Jos{\'{e}} Ferreira and Jo{\~{a}}o Sarraipa and Miguel Ferro de Beca and Carlos Agostinho and Ruben Costa and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {End-to-end manufacturing in factories of the future}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {30}, number = {1}, pages = {121--133}, year = {2017}, url = {https://doi.org/10.1080/0951192X.2016.1185155}, doi = {10.1080/0951192X.2016.1185155}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcim/FerreiraSBACJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/GhimireLNJ17, author = {Sudeep Ghimire and Fernando Luis{-}Ferreira and Tahereh Nodehi and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {IoT based situational awareness framework for real-time project management}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {30}, number = {1}, pages = {74--83}, year = {2017}, url = {https://doi.org/10.1080/0951192X.2015.1130242}, doi = {10.1080/0951192X.2015.1130242}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcim/GhimireLNJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/MartinsFCD17, author = {Ricardo Martins and Jo{\~{a}}o Filipe Ferreira and Miguel Castelo{-}Branco and Jorge Dias}, title = {Integration of touch attention mechanisms to improve the robotic haptic exploration of surfaces}, journal = {Neurocomputing}, volume = {222}, pages = {204--216}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.10.027}, doi = {10.1016/J.NEUCOM.2016.10.027}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/MartinsFCD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/ValeAAKNL17, author = {Tassio Vale and Eduardo Santana de Almeida and Vander Alves and Uir{\'{a}} Kulesza and Nan Niu and Ricardo de Lima}, title = {Software product lines traceability: {A} systematic mapping study}, journal = {Inf. Softw. Technol.}, volume = {84}, pages = {1--18}, year = {2017}, url = {https://doi.org/10.1016/j.infsof.2016.12.004}, doi = {10.1016/J.INFSOF.2016.12.004}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/ValeAAKNL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/MartinsLCH17, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Ant{\'{o}}nio Canelas and Nuno Horta}, title = {Stochastic-based placement template generator for analog {IC} layout-aware synthesis}, journal = {Integr.}, volume = {58}, pages = {485--495}, year = {2017}, url = {https://doi.org/10.1016/j.vlsi.2017.02.012}, doi = {10.1016/J.VLSI.2017.02.012}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/MartinsLCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/OliveiraAFF17, author = {Ricardo T. A. de Oliveira and Thaize Fernandes O. de Assis and Paulo Renato A. Firmino and Tiago A. E. Ferreira}, title = {Copulas-based time series combined forecasters}, journal = {Inf. Sci.}, volume = {376}, pages = {110--124}, year = {2017}, url = {https://doi.org/10.1016/j.ins.2016.10.022}, doi = {10.1016/J.INS.2016.10.022}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/OliveiraAFF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/GuardiaPSF17, author = {Gabriela D. A. Guardia and Lu{\'{\i}}s Ferreira Pires and Eduardo Goncalves da Silva and Cl{\'{e}}ver Ricardo Guareis de Farias}, title = {SemanticSCo: {A} platform to support the semantic composition of services for gene expression analysis}, journal = {J. Biomed. Informatics}, volume = {66}, pages = {116--128}, year = {2017}, url = {https://doi.org/10.1016/j.jbi.2016.12.014}, doi = {10.1016/J.JBI.2016.12.014}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/GuardiaPSF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/MostafaSFSSWD17, author = {Sheikh Shanawaz Mostafa and Nat{\'{e}}rcia Sousa and Nuno F{\'{a}}bio Ferreira and Ricardo M. Sousa and Jo{\~{a}}o Santos and Martin W{\"{a}}ny and Fernando Morgado Dias}, title = {Full image-processing pipeline in field-programmable gate array for a small endoscopic camera}, journal = {J. Electronic Imaging}, volume = {26}, number = {1}, pages = {13005}, year = {2017}, url = {https://doi.org/10.1117/1.JEI.26.1.013005}, doi = {10.1117/1.JEI.26.1.013005}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/MostafaSFSSWD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/RosaL17, author = {Ricardo Erikson Veras De Sena Rosa and Vicente Ferreira de Lucena Jr.}, title = {Contextualizing and capturing individual user interactions in shared iTV environments}, journal = {Multim. Tools Appl.}, volume = {76}, number = {6}, pages = {8573--8595}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3489-9}, doi = {10.1007/S11042-016-3489-9}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/RosaL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/phycomm/GomesHCAFR17, author = {Rodolfo Gomes and Akram Hammoudeh and Rafael F. S. Caldeirinha and Zaid Al{-}Daher and Telmo R. Fernandes and Joao R. Reis}, title = {Towards 5G: Performance evaluation of 60 GHz {UWB} {OFDM} communications under both channel and {RF} impairments}, journal = {Phys. Commun.}, volume = {25}, pages = {527--538}, year = {2017}, url = {https://doi.org/10.1016/j.phycom.2017.10.011}, doi = {10.1016/J.PHYCOM.2017.10.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/phycomm/GomesHCAFR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/snam/LindenBD17, author = {Ricardo Linden and L{\^{e}}nin Ferreira Barbosa and Luciano Ant{\^{o}}nio Digiampietri}, title = {"Brazilian style science" - an analysis of the difference between Brazilian and international Computer Science departments and graduate programs using social networks analysis and bibliometrics}, journal = {Soc. Netw. Anal. Min.}, volume = {7}, number = {1}, pages = {44:1--44:19}, year = {2017}, url = {https://doi.org/10.1007/s13278-017-0463-0}, doi = {10.1007/S13278-017-0463-0}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/snam/LindenBD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/CasauSS17, author = {Pedro Casau and Ricardo G. Sanfelice and Carlos Silvestre}, title = {Hybrid Stabilization of Linear Systems With Reverse Polytopic Input Constraints}, journal = {{IEEE} Trans. Autom. Control.}, volume = {62}, number = {12}, pages = {6473--6480}, year = {2017}, url = {https://doi.org/10.1109/TAC.2017.2665123}, doi = {10.1109/TAC.2017.2665123}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/CasauSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/SantosFCB17, author = {Ricardo Santos and Ricardo Ferreira and {\^{A}}ngelo Cardoso and Alexandre Bernardino}, title = {SNet: Co-Developing Artificial Retinas and Predictive Internal Models for Real Robots}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {9}, number = {3}, pages = {213--222}, year = {2017}, url = {https://doi.org/10.1109/TCDS.2016.2638885}, doi = {10.1109/TCDS.2016.2638885}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tamd/SantosFCB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dui/MendesMSFRFJ17, author = {Daniel Mendes and Daniel Medeiros and Maur{\'{\i}}cio Sousa and Ricardo Ferreira and Alberto Raposo and Alfredo Ferreira and Joaquim A. Jorge}, editor = {Maud Marchal and Robert J. Teather and Bruce H. Thomas}, title = {Mid-air modeling with Boolean operations in {VR}}, booktitle = {2017 {IEEE} Symposium on 3D User Interfaces, 3DUI 2017, Los Angeles, CA, USA, March 18-19, 2017}, pages = {154--157}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/3DUI.2017.7893332}, doi = {10.1109/3DUI.2017.7893332}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dui/MendesMSFRFJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/RodriguesDF17, author = {Ricardo Schwinn Rodrigues and Susana Cristina Domenech and Marcelo Gitirana Gomes Ferreira}, editor = {Francisco Rebelo and Marcelo M{\'{a}}rcio Soares}, title = {The Influence of Ergonomics Aspects on the Use of Hydrotherapy Equipment by Individuals with Rheumatoid Arthritis: The Specialists Perspective}, booktitle = {Advances in Ergonomics in Design - Proceedings of the {AHFE} 2017 International Conference on Ergonomics in Design, July 17-21, 2017, The Westin Bonaventure Hotel, Los Angeles, California, {USA}}, series = {Advances in Intelligent Systems and Computing}, volume = {588}, pages = {631--642}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-60582-1\_63}, doi = {10.1007/978-3-319-60582-1\_63}, timestamp = {Wed, 02 Aug 2023 09:02:07 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/RodriguesDF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bracis/GoncalvesCN17, author = {Jose Ricardo Goncalves and Iago A. Carvalho and Thiago Ferreira Noronha}, title = {An Experimental Evaluation of the Algorithm Mean Upper Heuristic for Interval Data Min-Max Regret Combinatorial Optimization Problem}, booktitle = {2017 Brazilian Conference on Intelligent Systems, {BRACIS} 2017, Uberl{\^{a}}ndia, Brazil, October 2-5, 2017}, pages = {378--383}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BRACIS.2017.11}, doi = {10.1109/BRACIS.2017.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bracis/GoncalvesCN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/MaranhaoBGMC17, author = {Priscila Alves Maranh{\~{a}}o and Gustavo Mar{\'{\i}}sio Bacelar{-}Silva and Duarte Nuno Gon{\c{c}}alves{-}Ferreira and Pedro Manuel Vieira Marques and Ricardo Jo{\~{a}}o Cruz Correia}, editor = {Panagiotis D. Bamidis and Stathis Th. Konstantinidis and Pedro Pereira Rodrigues}, title = {Relevant Lifelong Nutrition Information for the Prevention and Treatment of Childhood Obesity - Design and Creation of New openEHR Archetype Set}, booktitle = {30th {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2017, Thessaloniki, Greece, June 22-24, 2017}, pages = {236--241}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CBMS.2017.96}, doi = {10.1109/CBMS.2017.96}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/MaranhaoBGMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/CasauCSS17, author = {Pedro Casau and Rita Cunha and Ricardo G. Sanfelice and Carlos Silvestre}, title = {Hybrid feedback for global asymptotic stabilization on a compact manifold}, booktitle = {56th {IEEE} Annual Conference on Decision and Control, {CDC} 2017, Melbourne, Australia, December 12-15, 2017}, pages = {2384--2389}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CDC.2017.8263998}, doi = {10.1109/CDC.2017.8263998}, timestamp = {Fri, 04 Mar 2022 13:29:55 +0100}, biburl = {https://dblp.org/rec/conf/cdc/CasauCSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscs/FerreiraSJA17, author = {Jos{\'{e}} Ferreira and Joao Nuno Soares and Ricardo Jardim{-}Gon{\c{c}}alves and Carlos Agostinho}, title = {Management of IoT Devices in a Physical Network}, booktitle = {21st International Conference on Control Systems and Computer Science, {CSCS} 2017, Bucharest, Romania, May 29-31, 2017}, pages = {485--492}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CSCS.2017.75}, doi = {10.1109/CSCS.2017.75}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/cscs/FerreiraSJA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CanelasMP0H17, author = {Ant{\'{o}}nio Canelas and Ricardo Martins and Ricardo Povoa and Nuno Louren{\c{c}}o and Nuno Horta}, editor = {David Atienza and Giorgio Di Natale}, title = {Efficient yield optimization method using a variable K-Means algorithm for analog {IC} sizing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1201--1206}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927171}, doi = {10.23919/DATE.2017.7927171}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CanelasMP0H17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/ZiaieFMGSTP17, author = {Somayeh Ziaie and Ricardo M. Ferreira and Nelson J. Muga and Fernando P. Guiomar and Ali Shahpari and Ant{\'{o}}nio Teixeira and Armando N. Pinto}, title = {Coherent {UDWDM} Transceivers Based on Adaptive Stokes Space Polarization Demultiplexing in Real-Time}, booktitle = {European Conference on Optical Communication, {ECOC} 2017, Gothenburg, Sweden, September 17-21, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ECOC.2017.8346035}, doi = {10.1109/ECOC.2017.8346035}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/ZiaieFMGSTP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SimoesDLCFCCC17, author = {Marco Sim{\~{o}}es and Bruno Direito and Jo{\~{a}}o Lima and Jo{\~{a}}o Castelhano and Carlos Ferreira and Ricardo Couceiro and Paulo Carvalho and Miguel Castelo{-}Branco}, title = {Correlated alpha activity with the facial expression processing network in a simultaneous EEG-fMRI experiment}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {2562--2565}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037380}, doi = {10.1109/EMBC.2017.8037380}, timestamp = {Fri, 12 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/SimoesDLCFCCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/FelizardoSFVMN17, author = {K{\'{a}}tia Romero Felizardo and {\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Nandamudi Lankalapalli Vijaykumar and Emilia Mendes and Elisa Yumi Nakagawa}, title = {Defining Protocols of Systematic Literature Reviews in Software Engineering: {A} Survey}, booktitle = {43rd Euromicro Conference on Software Engineering and Advanced Applications, {SEAA} 2017, Vienna, Austria, August 30 - Sept. 1, 2017}, pages = {202--209}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SEAA.2017.17}, doi = {10.1109/SEAA.2017.17}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/FelizardoSFVMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurospi/OliveiraFDPMM17, author = {Pedro Oliveira and Andr{\'{e}} L. Ferreira and Daniel Dias and Tiago F. Pereira and Paula Monteiro and Ricardo J. Machado}, editor = {Jakub Stolfa and Svatopluk Stolfa and Rory V. O'Connor and Richard Messnarz}, title = {An Analysis of the Commonality and Differences Between {ASPICE} and {ISO26262} in the Context of Software Development}, booktitle = {Systems, Software and Services Process Improvement - 24th European Conference, EuroSPI 2017, Ostrava, Czech Republic, September 6-8, 2017, Proceedings}, series = {Communications in Computer and Information Science}, volume = {748}, pages = {216--227}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-64218-5\_17}, doi = {10.1007/978-3-319-64218-5\_17}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurospi/OliveiraFDPMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ice-itmc/FerreiraCGGSJ17, author = {Diogo Ferreira and Pedro Corista and Joao Giao and Sudeep Ghimire and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {Towards smart agriculture using {FIWARE} enablers}, booktitle = {International Conference on Engineering, Technology and Innovation, {ICE/ITMC} 2017, Madeira Island, Portugal, June 27-29, 2017}, pages = {1544--1551}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICE.2017.8280066}, doi = {10.1109/ICE.2017.8280066}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ice-itmc/FerreiraCGGSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ice-itmc/Paul-AdrianSLJ17, author = {German Paul{-}Adrian and Jo{\~{a}}o Sarraipa and Fernando Luis{-}Ferreira and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {A {GPS} simulation framework on smartphones for elderly assistance applications}, booktitle = {International Conference on Engineering, Technology and Innovation, {ICE/ITMC} 2017, Madeira Island, Portugal, June 27-29, 2017}, pages = {1559--1563}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICE.2017.8280068}, doi = {10.1109/ICE.2017.8280068}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ice-itmc/Paul-AdrianSLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/SilvaFP17, author = {Zenaide Carvalho da Silva and Leandro Rodrigues Ferreira and Andrey Ricardo Pimentel}, editor = {Slimane Hammoudi and Michal Smialek and Olivier Camp and Joaquim Filipe}, title = {Adaptation of Learning Object Interface based on Learning Style}, booktitle = {{ICEIS} 2017 - Proceedings of the 19th International Conference on Enterprise Information Systems, Volume 3, Porto, Portugal, April 26-29, 2017}, pages = {119--126}, publisher = {SciTePress}, year = {2017}, url = {https://doi.org/10.5220/0006319001190126}, doi = {10.5220/0006319001190126}, timestamp = {Tue, 13 Jun 2017 21:16:19 +0200}, biburl = {https://dblp.org/rec/conf/iceis/SilvaFP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icst/DiasFFLSSV17, author = {Ricardo J. Dias and Carla Ferreira and Jan Fiedor and Jo{\~{a}}o M. Louren{\c{c}}o and Ales Smrcka and Diogo G. Sousa and Tom{\'{a}}s Vojnar}, title = {Verifying Concurrent Programs Using Contracts}, booktitle = {2017 {IEEE} International Conference on Software Testing, Verification and Validation, {ICST} 2017, Tokyo, Japan, March 13-17, 2017}, pages = {196--206}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICST.2017.25}, doi = {10.1109/ICST.2017.25}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icst/DiasFFLSSV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ict4ageingwell/CassolaCHRSGFCS17, author = {Fernando Cassola and Alexandre Costa and Mario Ricardo Henriques and Artur Rocha and Marlene Sousa and Pedro Gomes and Tiago B. Ferreira and Carla Cunha and Jo{\~{a}}o Salgado}, editor = {Carsten R{\"{o}}cker and John O'Donoghue and Martina Ziefle and Leszek A. Maciaszek and William Molloy}, title = {Screening and Evaluation Platform for Depression and Suicidality in Primary Healthcare}, booktitle = {Proceedings of the 3rd International Conference on Information and Communication Technologies for Ageing Well and e-Health, ICT4AgeingWell 2017, Porto, Portugal, April 28-29, 2017}, pages = {210--215}, publisher = {{SCITEPRESS}}, year = {2017}, url = {https://doi.org/10.5220/0006369002100215}, doi = {10.5220/0006369002100215}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ict4ageingwell/CassolaCHRSGFCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/MartinsAFSTGP17, author = {Celestino Sanches Martins and Sofia B. Amado and Ricardo M. Ferreira and Ali Shahpari and Ant{\'{o}}nio L. J. Teixeira and Fernando P. Guiomar and Armando N. Pinto}, title = {Real-time demonstration of low-complexity time-domain chromatic dispersion equalization}, booktitle = {2017 19th International Conference on Transparent Optical Networks (ICTON), Girona, Spain, July 2-6, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTON.2017.8025173}, doi = {10.1109/ICTON.2017.8025173}, timestamp = {Wed, 31 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icton/MartinsAFSTGP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/MugaFZFSTP17, author = {Nelson J. Muga and Gil M. Fernandes and Somayeh Ziaie and Ricardo M. Ferreira and Ali Shahpari and Ant{\'{o}}nio L. J. Teixeira and Armando N. Pinto}, title = {Advanced digital signal processing techniques based on Stokes space analysis for high-capacity coherent optical systems}, booktitle = {2017 19th International Conference on Transparent Optical Networks (ICTON), Girona, Spain, July 2-6, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTON.2017.8024970}, doi = {10.1109/ICTON.2017.8024970}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icton/MugaFZFSTP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/OliveiraSCFSCT17, author = {Rosinei S. Oliveira and Eduardo F. J. Silva and Ulisses W. C. Costa and Ricardo Ferreira and Ali Shahpari and Jo{\~{a}}o C. W. A. Costa and Ant{\'{o}}nio L. J. Teixeira}, title = {Coexistence of {TWDM-PON} and multi {RF/IF} over fiber system: Experimental demonstration}, booktitle = {2017 19th International Conference on Transparent Optical Networks (ICTON), Girona, Spain, July 2-6, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTON.2017.8024977}, doi = {10.1109/ICTON.2017.8024977}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icton/OliveiraSCFSCT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ida/CarmoKS17, author = {Rafael Augusto Ferreira do Carmo and Soong Moon Kang and Ricardo Silva}, editor = {Niall M. Adams and Allan Tucker and David John Weston}, title = {Visualization of Topic-Sentiment Dynamics in Crowdfunding Projects}, booktitle = {Advances in Intelligent Data Analysis {XVI} - 16th International Symposium, {IDA} 2017, London, UK, October 26-28, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10584}, pages = {40--51}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-68765-0\_4}, doi = {10.1007/978-3-319-68765-0\_4}, timestamp = {Wed, 31 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ida/CarmoKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/ArtificeFMSJ17, author = {Andreia Art{\'{\i}}fice and Fernando Ferreira and Elsa Marcelino{-}Jesus and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Luis M. Camarinha{-}Matos and Mafalda Parreira{-}Rocha and Javaneh Ramezani}, title = {Student's Attention Improvement Supported by Physiological Measurements Analysis}, booktitle = {Technological Innovation for Smart Systems - 8th {IFIP} {WG} 5.5/SOCOLNET Advanced Doctoral Conference on Computing, Electrical and Industrial Systems, DoCEIS 2017, Costa de Caparica, Portugal, May 3-5, 2017, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {499}, pages = {93--102}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-56077-9\_8}, doi = {10.1007/978-3-319-56077-9\_8}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/ArtificeFMSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/AlbarracinAST17, author = {Juan Felipe Hernandez Albarracin and Edemir Ferreira de Andrade Jr. and Jefersson A. dos Santos and Ricardo da Silva Torres}, title = {Fusion of genetic-programming-based indices in hyperspectral image classification tasks}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {554--557}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8127013}, doi = {10.1109/IGARSS.2017.8127013}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/AlbarracinAST17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/im/FerreiraCSAMP17, author = {Vinicius C. Ferreira and Ricardo Campanha Carrano and Joacir O. Silva and C{\'{e}}lio Vinicius N. de Albuquerque and D{\'{e}}bora C. Muchaluat{-}Saade and Diego G. Passos}, title = {Fault detection and diagnosis for solar-powered Wireless Mesh Networks using machine learning}, booktitle = {2017 {IFIP/IEEE} Symposium on Integrated Network and Service Management (IM), Lisbon, Portugal, May 8-12, 2017}, pages = {456--462}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/INM.2017.7987312}, doi = {10.23919/INM.2017.7987312}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/im/FerreiraCSAMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/SilvaBOR17, author = {Luis Claudio Batista da Silva and Ricardo Maroquio Bernardo and Hugo Andrade de Oliveira and Paulo Fernando Ferreira Rosa}, title = {Unmanned aircraft system coordination for persistent surveillance with different priorities}, booktitle = {26th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2017, Edinburgh, United Kingdom, June 19-21, 2017}, pages = {1153--1158}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISIE.2017.8001408}, doi = {10.1109/ISIE.2017.8001408}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isie/SilvaBOR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismir/OliveiraNMA17, author = {Ricardo S. Oliveira and Caio N{\'{o}}brega and Leandro Balby Marinho and Nazareno Andrade}, editor = {Sally Jo Cunningham and Zhiyao Duan and Xiao Hu and Douglas Turnbull}, title = {A Multiobjective Music Recommendation Approach for Aspect-Based Diversification}, booktitle = {Proceedings of the 18th International Society for Music Information Retrieval Conference, {ISMIR} 2017, Suzhou, China, October 23-27, 2017}, pages = {414--420}, year = {2017}, url = {https://ismir2017.smcnus.org/wp-content/uploads/2017/10/153\_Paper.pdf}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismir/OliveiraNMA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jowo/GuimaraesW17, author = {Ricardo Guimar{\~{a}}es and Renata Wassermann}, editor = {Stefano Borgo and Oliver Kutz and Frank Loebe and Fabian Neuhaus and Kemo Adrian and Mihailo Antovic and Valerio Basile and Martin Boeker and Diego Calvanese and Tommaso Caselli and Giorgio Colombo and Roberto Confalonieri and Laura Daniele and J{\'{e}}r{\^{o}}me Euzenat and Antony Galton and Dagmar Gromann and Maria M. Hedblom and Heinrich Herre and Inge Hinterwaldner and Andrea Janes and Ludger Jansen and Kris Krois and Antonio Lieto and Claudio Masolo and Rafael Pe{\~{n}}aloza and Daniele Porello and Daniele Paolo Radicioni and Emilio M. Sanfilippo and Daniel Schober and Rossella Stufano and Amanda Vizedom}, title = {Local Change in Ontologies with Atomic Decomposition}, booktitle = {Proceedings of the Joint Ontology Workshops 2017 Episode 3: The Tyrolean Autumn of Ontology, Bozen-Bolzano, Italy, September 21-23, 2017}, series = {{CEUR} Workshop Proceedings}, volume = {2050}, publisher = {CEUR-WS.org}, year = {2017}, url = {https://ceur-ws.org/Vol-2050/DEW\_paper\_3.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:57 +0100}, biburl = {https://dblp.org/rec/conf/jowo/GuimaraesW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KreissigWPLMCFB17, author = {Martin Krei{\ss}ig and Steffen Wittrock and Florian Protze and Romain Lebrun and Karla J. Merazzo and Marie Claire Cyrille and Ricardo Ferreira and Paolo Bortolotti and Ursula Ebels and Vincent Cros and Frank Ellinger}, title = {Hybrid {PLL} system for spin torque oscillators utilizing custom ICs in 0.18 {\(\mu\)}m BiCMOS}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {910--913}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8053072}, doi = {10.1109/MWSCAS.2017.8053072}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/KreissigWPLMCFB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/CostaTFCGF17, author = {Margaret Costa and Mohammad Tarequzzaman and Ricardo Ferreira and Susana Cardoso and Jo{\~{a}}o Gaspar and Paulo P. Freitas}, title = {Towards high-resolution scanning magnetoresistance microscopy}, booktitle = {12th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2017, Los Angeles, CA, USA, April 9-12, 2017}, pages = {73--76}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NEMS.2017.8016977}, doi = {10.1109/NEMS.2017.8016977}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/CostaTFCGF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ZiaieMFGSTP17, author = {Somayeh Ziaie and Nelson J. Muga and Ricardo M. Ferreira and Fernando P. Guiomar and Ali Shahpari and Ant{\'{o}}nio L. J. Teixeira and Armando N. Pinto}, title = {Adaptive stokes space based polarization demultiplexing for flexible {UDWDM} metro-access networks}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7936955}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ZiaieMFGSTP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ontobras/GuimaraesW17, author = {Ricardo F. Guimar{\~{a}}es and Renata Wassermann}, editor = {Mara Abel and Sandro Rama Fiorini and Christiano Pessanha}, title = {Modularity and Ontology Change}, booktitle = {Proceedings of the {IX} Seminar on Ontology Research in Brazil and {I} Doctoral and Masters Consortium on Ontologies, Bras{\'{\i}}lia, Brazil, August 28th-30th, 2017}, series = {{CEUR} Workshop Proceedings}, volume = {1908}, pages = {183--188}, publisher = {CEUR-WS.org}, year = {2017}, url = {https://ceur-ws.org/Vol-1908/paper20.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:15 +0100}, biburl = {https://dblp.org/rec/conf/ontobras/GuimaraesW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AlvesJSFN17, author = {Fredy Augusto M. Alves and Peter Jamieson and Lucas B. da Silva and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, title = {Designing a collision detection accelerator on a heterogeneous {CPU-FPGA} platform}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279786}, doi = {10.1109/RECONFIG.2017.8279786}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AlvesJSFN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SilvaANSHF17, author = {Lucas B. da Silva and Danilo Dami{\~{a}}o Almeida and Jos{\'{e}} Augusto Miranda Nacif and Ismael Sanchez{-}Osorio and Carlos A. Hernandez{-}Martinez and Ricardo Ferreira}, title = {Exploring the dynamics of large-scale gene regulatory networks using hardware acceleration on a heterogeneous {CPU-FPGA} platform}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279791}, doi = {10.1109/RECONFIG.2017.8279791}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SilvaANSHF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbqs/RuySFB17, author = {Fabiano Borges Ruy and {\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Monalessa P. Barcellos}, editor = {Andreia Malucelli and Sheila S. Reinehr}, title = {Software Testing Processes in {ISO} Standards: How to Harmonize Them?}, booktitle = {Proceedings of the 16th Brazilian Symposium on Software Quality, {SBQS} 2017, Rio de Janeiro, RJ, Brazil, August 28-30, 2017}, pages = {296--310}, publisher = {{SBC}}, year = {2017}, url = {https://doi.org/10.5753/sbqs.2017.15108}, doi = {10.5753/SBQS.2017.15108}, timestamp = {Tue, 29 Mar 2022 16:13:21 +0200}, biburl = {https://dblp.org/rec/conf/sbqs/RuySFB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/LourencoMPCHPCR17, author = {Nuno C. Louren{\c{c}}o and Ricardo Martins and Ricardo Povoa and Ant{\'{o}}nio Canelas and Nuno Horta and F{\'{a}}bio Passos and Rafael Castro{-}L{\'{o}}pez and Elisenda Roca and Francisco V. Fern{\'{a}}ndez}, title = {New mapping strategies for pre-optimized inductor sets in bottom-up {RF} {IC} sizing optimization}, booktitle = {14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2017, Giardini Naxos, Italy, June 12-15, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMACD.2017.7981582}, doi = {10.1109/SMACD.2017.7981582}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/LourencoMPCHPCR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/MartinsLPCHPCRF17, author = {Ricardo Martins and Nuno C. Louren{\c{c}}o and Ricardo Povoa and Ant{\'{o}}nio Canelas and Nuno Horta and F{\'{a}}bio Passos and Rafael Castro{-}L{\'{o}}pez and Elisenda Roca and Francisco V. Fern{\'{a}}ndez}, title = {Layout-aware challenges and a solution for the automatic synthesis of radio-frequency {IC} blocks}, booktitle = {14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2017, Giardini Naxos, Italy, June 12-15, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMACD.2017.7981577}, doi = {10.1109/SMACD.2017.7981577}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/MartinsLPCHPCRF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/PassosRCFMLPCH17, author = {F{\'{a}}bio Passos and Elisenda Roca and Rafael Castro{-}L{\'{o}}pez and Francisco V. Fern{\'{a}}ndez and Ricardo Martins and Nuno C. Louren{\c{c}}o and Ricardo Povoa and Ant{\'{o}}nio Canelas and Nuno C. G. Horta}, title = {Systematic design of a voltage controlled oscillator using a layout-aware approach}, booktitle = {14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2017, Giardini Naxos, Italy, June 12-15, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMACD.2017.7981580}, doi = {10.1109/SMACD.2017.7981580}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/PassosRCFMLPCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/AragaoAFRC17, author = {Anderson Passos de Aragao and Patricia Teixeira Leite Asano and Fabio Godoy Ferreira and Ricardo de Andrade Lira Rabelo and Wellington Teixeira Coimbra}, title = {Development of a computational model based on particle swarm optimization and network flow applied to the problem of hydrothermal coordination}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {1816--1821}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8122880}, doi = {10.1109/SMC.2017.8122880}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/AragaoAFRC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LimaLL17, author = {Milton V. M. Lima and Ricardo M. F. Lima and Fernando Ant{\^{o}}nio Aires Lins}, title = {A multi-perspective methodology for evaluating the security maturity of data centers}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {1196--1201}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8122775}, doi = {10.1109/SMC.2017.8122775}, timestamp = {Tue, 05 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/LimaLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LopesFJA17, author = {F{\'{a}}bio Lopes and Jos{\'{e}} Ferreira and Ricardo Jardim{-}Gon{\c{c}}alves and Carlos Agostinho}, title = {Semantic maps for IoT network reorganization in face of sensor malfunctioning}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {1914--1919}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8122897}, doi = {10.1109/SMC.2017.8122897}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/LopesFJA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/SilvaRFL17, author = {Thiago Allison Ribeiro da Silva and Ricardo A. L. Rab{\^{e}}lo and Enza R. S. de Ferreira and Guilherme G. Lage}, title = {An approach to determine a sequence of adjustments to eliminate voltage magnitude violations in transmission power systems}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {798--803}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8122706}, doi = {10.1109/SMC.2017.8122706}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/SilvaRFL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vsmm/DominguesFMBOAR17, author = {Diana G. Domingues and Silvana Funghetto and Mateus R. Miranda and Pedro K. C. M. Batista and Paulo R. Fernandes de Oliveira and Gilda A. Assis and Adson F. da Rocha and Ricardo da Silva Torres}, editor = {Lizbeth Goodman and Alonzo C. Addison}, title = {Mobility and freedom: Affective cane for expanded sensorium and embodied cognition}, booktitle = {23rd International Conference on Virtual System {\&} Multimedia, {VSMM} 2017, Dublin, Ireland, October 31 - Nov. 4, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VSMM.2017.8346248}, doi = {10.1109/VSMM.2017.8346248}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vsmm/DominguesFMBOAR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/FerreiraMFFS17, author = {Ricardo P. Ferreira and Andr{\'{e}}a Martiniano and Arthur Ferreira and Aleister Ferreira and Renato Jos{\'{e}} Sassi}, title = {Daily Demand Forecasting Orders}, publisher = {{UCI} Machine Learning Repository}, year = {2017}, month = nov, howpublished = {\url{https://doi.org/10.24432/C5BC8T}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.24432/C5BC8T}, doi = {10.24432/C5BC8T}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/FerreiraMFFS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/FerreiraMFRS17, author = {Ricardo Pinto Ferreira and Andr{\'{e}}a Martiniano and Arthur Ferreira and Marcio Romero and Renato Jos{\'{e}} Sassi}, title = {Container Crane Controller Data Set}, publisher = {{UCI} Machine Learning Repository}, year = {2017}, month = dec, howpublished = {\url{https://doi.org/10.24432/C57P5V}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.24432/C57P5V}, doi = {10.24432/C57P5V}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/FerreiraMFRS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/FerreiraM17, author = {Rodrigo M. Ferreira and Ricardo M. Marcacini}, title = {Evaluation of Classifiers for Image Segmentation: Applications for Eucalypt Forest Inventory}, journal = {CoRR}, volume = {abs/1703.09436}, year = {2017}, url = {http://arxiv.org/abs/1703.09436}, eprinttype = {arXiv}, eprint = {1703.09436}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/FerreiraM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ndltd/Ferreira16a, author = {Ricardo Melo Ferreira}, title = {Solu{\c{c}}{\~{o}}es anal{\'{\i}}ticas para o modelo de Barab{\'{a}}si-Albert de crescimento de redes}, school = {Universidade Federal do Rio Grande do Sul, Porto Alegre, Brazil}, year = {2016}, url = {https://hdl.handle.net/10183/150235}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ndltd/Ferreira16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/CerriBCJ16, author = {Ricardo Cerri and Rodrigo C. Barros and Andr{\'{e}} C. P. L. F. de Carvalho and Yaochu Jin}, title = {Reduction strategies for hierarchical multi-label classification in protein function prediction}, journal = {{BMC} Bioinform.}, volume = {17}, pages = {373}, year = {2016}, url = {https://doi.org/10.1186/s12859-016-1232-1}, doi = {10.1186/S12859-016-1232-1}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/CerriBCJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/CanelasCDFG16, author = {Ricardo B. Canelas and Alejandro J. C. Crespo and Jos{\'{e}} M. Dom{\'{\i}}nguez and Rui M. L. Ferreira and Moncho G{\'{o}}mez{-}Gesteira}, title = {{SPH-DCDEM} model for arbitrary geometries in free surface solid-fluid flows}, journal = {Comput. Phys. Commun.}, volume = {202}, pages = {131--140}, year = {2016}, url = {https://doi.org/10.1016/j.cpc.2016.01.006}, doi = {10.1016/J.CPC.2016.01.006}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/CanelasCDFG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/WehrmeisterF16, author = {Marco Aur{\'{e}}lio Wehrmeister and Ricardo Santos Ferreira}, title = {{SBESC} 2014 guest editors' introduction}, journal = {Des. Autom. Embed. Syst.}, volume = {20}, number = {2}, pages = {93--94}, year = {2016}, url = {https://doi.org/10.1007/s10617-016-9175-3}, doi = {10.1007/S10617-016-9175-3}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dafes/WehrmeisterF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmmme/DiasMFV16, author = {George Lucas Dias and Ricardo Rodrigues Magalh{\~{a}}es and Danton Diego Ferreira and Felipe Augusto Vitoriano}, title = {The Use of a Robotic Arm for Displacement Measurements in a Cantilever beam}, journal = {Int. J. Manuf. Mater. Mech. Eng.}, volume = {6}, number = {3}, pages = {45--57}, year = {2016}, url = {https://doi.org/10.4018/IJMMME.2016070104}, doi = {10.4018/IJMMME.2016070104}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmmme/DiasMFV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LourencoMCPH16, author = {Nuno Louren{\c{c}}o and Ricardo Martins and Ant{\'{o}}nio Canelas and Ricardo Povoa and Nuno Horta}, title = {{AIDA:} Layout-aware analog circuit-level sizing with in-loop layout generation}, journal = {Integr.}, volume = {55}, pages = {316--329}, year = {2016}, url = {https://doi.org/10.1016/j.vlsi.2016.04.009}, doi = {10.1016/J.VLSI.2016.04.009}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/LourencoMCPH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/MartinsPLH16, author = {Ricardo Martins and Ricardo Povoa and Nuno Louren{\c{c}}o and Nuno Horta}, title = {Current-flow and current-density-aware multi-objective optimization of analog {IC} placement}, journal = {Integr.}, volume = {55}, pages = {295--306}, year = {2016}, url = {https://doi.org/10.1016/j.vlsi.2016.05.008}, doi = {10.1016/J.VLSI.2016.05.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/MartinsPLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/NardiFAGPSGF16, author = {Julio Cesar Nardi and Ricardo de Almeida Falbo and Jo{\~{a}}o Paulo A. Almeida and Giancarlo Guizzardi and Lu{\'{\i}}s Ferreira Pires and Marten J. van Sinderen and Nicola Guarino and Claudenir Morais Fonseca}, title = {Corrigendum to "A commitment-based reference ontology for services" {[IS} 54C {(2015)} 263-288]}, journal = {Inf. Syst.}, volume = {56}, pages = {133--134}, year = {2016}, url = {https://doi.org/10.1016/j.is.2015.09.008}, doi = {10.1016/J.IS.2015.09.008}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/is/NardiFAGPSGF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isys/Baiao016, author = {Fernanda Ara{\'{u}}jo Bai{\~{a}}o and Ricardo Massa}, title = {Editorial Vol.9, N{\textordmasculine} 4}, journal = {Braz. J. Inf. Syst.}, volume = {9}, number = {4}, pages = {1--4}, year = {2016}, url = {https://doi.org/10.5753/isys.2016.318}, doi = {10.5753/ISYS.2016.318}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isys/Baiao016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/CoutinhoCSGJ16, author = {Carlos Coutinho and Adina Cretan and Catarina Ferreira da Silva and Parisa Ghodous and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {Service-based negotiation for advanced collaboration in enterprise networks}, journal = {J. Intell. Manuf.}, volume = {27}, number = {1}, pages = {201--216}, year = {2016}, url = {https://doi.org/10.1007/s10845-013-0857-4}, doi = {10.1007/S10845-013-0857-4}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/CoutinhoCSGJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/DelabridaDOL16, author = {Saul Emanuel Delabrida and Thiago D'Angelo and Ricardo Augusto Rabelo Oliveira and Antonio Alfredo Ferreira Loureiro}, title = {Wearable {HUD} for Ecological Field Research Applications - {A} Development Experience on the Design of a Wearable Mobile System}, journal = {Mob. Networks Appl.}, volume = {21}, number = {4}, pages = {677--687}, year = {2016}, url = {https://doi.org/10.1007/s11036-016-0724-6}, doi = {10.1007/S11036-016-0724-6}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/DelabridaDOL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/AguiarNAFRFVTVR16, author = {Eduardo P. de Aguiar and Fernando M. de A. Nogueira and Renan P. F. Amaral and Diego F. Fabri and S{\'{e}}rgio C. de A. Rossignoli and Jos{\'{e}} Geraldo Ferreira and Marley M. B. R. Vellasco and Ricardo Tanscheit and Pedro C. G. da S. Vellasco and Mois{\'{e}}s Vidal Ribeiro}, title = {{EANN} 2014: a fuzzy logic system trained by conjugate gradient methods for fault classification in a switch machine}, journal = {Neural Comput. Appl.}, volume = {27}, number = {5}, pages = {1175--1189}, year = {2016}, url = {https://doi.org/10.1007/s00521-015-1917-9}, doi = {10.1007/S00521-015-1917-9}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/AguiarNAFRFVTVR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/BrandaoFHTS16, author = {Martim Brandao and Ricardo Ferreira and Kenji Hashimoto and Atsuo Takanishi and Jos{\'{e}} Santos{-}Victor}, title = {On Stereo Confidence Measures for Global Methods: Evaluation, New Model and Integration into Occupancy Grids}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {38}, number = {1}, pages = {116--128}, year = {2016}, url = {https://doi.org/10.1109/TPAMI.2015.2437381}, doi = {10.1109/TPAMI.2015.2437381}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/BrandaoFHTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/FreitasFC16, author = {Paulo P. Freitas and Ricardo Ferreira and Susana Cardoso}, title = {Spintronic Sensors}, journal = {Proc. {IEEE}}, volume = {104}, number = {10}, pages = {1894--1918}, year = {2016}, url = {https://doi.org/10.1109/JPROC.2016.2578303}, doi = {10.1109/JPROC.2016.2578303}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/FreitasFC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigops/DelabridaDOL16, author = {Saul Emanuel Delabrida and Thiago D'Angelo and Ricardo Augusto Rabelo Oliveira and Antonio A. F. Loureiro}, title = {Building Wearables for Geology: An Operating System Approach}, journal = {{ACM} {SIGOPS} Oper. Syst. Rev.}, volume = {50}, number = {1}, pages = {31--45}, year = {2016}, url = {https://doi.org/10.1145/2903267.2903275}, doi = {10.1145/2903267.2903275}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigops/DelabridaDOL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/HappCBFFF16, author = {Patrick Nigri Happ and Gilson Alexandre Ostwald Pedro da Costa and Cristiana Bentes and Raul Queiroz Feitosa and Rodrigo da Silva Ferreira and Ricardo C. Farias}, title = {A Cloud Computing Strategy for Region-Growing Segmentation}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {9}, number = {12}, pages = {5294--5303}, year = {2016}, url = {https://doi.org/10.1109/JSTARS.2016.2591519}, doi = {10.1109/JSTARS.2016.2591519}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/HappCBFFF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/Garibay-Martinez16, author = {Ricardo Garibay{-}Mart{\'{\i}}nez and Geoffrey Nelissen and Luis Lino Ferreira and Paulo Pedreiras and Lu{\'{\i}}s Miguel Pinho}, title = {Improved Holistic Analysis for Fork-Join Distributed Real-Time Tasks Supported by the {FTT-SE} Protocol}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {12}, number = {5}, pages = {1865--1876}, year = {2016}, url = {https://doi.org/10.1109/TII.2016.2603461}, doi = {10.1109/TII.2016.2603461}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/Garibay-Martinez16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/FerreiraDPWLC16, author = {Ricardo S. Ferreira and Waldir Denver and Monica Magalh{\~{a}}es Pereira and Stephan Wong and Carlos Arthur Lang Lisb{\^{o}}a and Luigi Carro}, title = {A Dynamic Modulo Scheduling with Binary Translation: Loop optimization with software compatibility}, journal = {J. Signal Process. Syst.}, volume = {85}, number = {1}, pages = {45--66}, year = {2016}, url = {https://doi.org/10.1007/s11265-015-0974-8}, doi = {10.1007/S11265-015-0974-8}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/FerreiraDPWLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/SilvaS16, author = {Ricardo Barretto Ferreira Da Silva and Camila Taliberti Ribeiro Da Silva}, title = {Spectrum regulation in Brazil}, journal = {{IEEE} Wirel. Commun.}, volume = {23}, number = {3}, pages = {2--3}, year = {2016}, url = {https://doi.org/10.1109/MWC.2016.7498067}, doi = {10.1109/MWC.2016.7498067}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/SilvaS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/CasauMSS16, author = {Pedro Casau and Christopher G. Mayhew and Ricardo G. Sanfelice and Carlos Silvestre}, title = {Exponential stabilization of a vectored-thrust vehicle using synergistic potential functions}, booktitle = {2016 American Control Conference, {ACC} 2016, Boston, MA, USA, July 6-8, 2016}, pages = {6042--6047}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ACC.2016.7526618}, doi = {10.1109/ACC.2016.7526618}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/CasauMSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bracis/MantovaniHCVC16, author = {Rafael Gomes Mantovani and Tom{\'{a}}s Horv{\'{a}}th and Ricardo Cerri and Joaquin Vanschoren and Andr{\'{e}} C. P. L. F. de Carvalho}, title = {Hyper-Parameter Tuning of a Decision Tree Induction Algorithm}, booktitle = {5th Brazilian Conference on Intelligent Systems, {BRACIS} 2016, Recife, Brazil, October 9-12, 2016}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BRACIS.2016.018}, doi = {10.1109/BRACIS.2016.018}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bracis/MantovaniHCVC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/OliveiraFFC16, author = {Raphael Oliveira and Duarte Ferreira and Ricardo Ferreira and Ricardo Cruz{-}Correia}, title = {Open-Source Based Integration Solution for Hospitals}, booktitle = {29th {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2016, Belfast, {UK} and Dublin, Ireland, June 20-24, 2016}, pages = {294--299}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CBMS.2016.44}, doi = {10.1109/CBMS.2016.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/OliveiraFFC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/RochaFDCSB16, author = {Ricardo Sousa Rocha and Pedro Ferreira and In{\^{e}}s de Castro Dutra and Ricardo Cruz{-}Correia and Rogerio Salvini and Elizabeth S. Burnside}, title = {A Speech-to-Text Interface for MammoClass}, booktitle = {29th {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2016, Belfast, {UK} and Dublin, Ireland, June 20-24, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CBMS.2016.25}, doi = {10.1109/CBMS.2016.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/RochaFDCSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cibse/VilelaSDS16, author = {Ricardo Ferreira Vilela and Paulo S. L. Souza and M{\'{a}}rcio E. Delamaro and Simone R. S. Souza}, editor = {Efra{\'{\i}}n R. Fonseca C. and Cecilia Hinojosa and Juan Pablo Carvallo and Gleison Santos and Mart{\'{\i}}n Solari and Marcos Kalinowski and Tayana Conte and Jos{\'{e}} Ignacio Panach and Lidia L{\'{o}}pez and Eduardo Kinder Almentero and Omar S. G{\'{o}}mez and Carlos Cares and Sabrina Marczak}, title = {Evid{\^{e}}ncias sobre Configura{\c{c}}{\~{o}}es de Algoritmos Gen{\'{e}}ticos para Gera{\c{c}}{\~{a}}o Autom{\'{a}}tica de Dados de Teste}, booktitle = {Proceedings of {XIX} Ibero-American Conference on Software Engineering, CIbSE 2016, Quito, Ecuador, April 27-29, 2016}, pages = {243--256}, publisher = {Universidad de las Fuerzas Armadas {ESPE}}, year = {2016}, timestamp = {Mon, 31 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cibse/VilelaSDS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NevesMLH16, author = {David Neves and Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Design automation tasks scheduling for enhanced parallel execution of a state-of-the-art layout-aware sizing approach}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1513--1516}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459553/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NevesMLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsai/KadarRFCASJ16, author = {Manuella Kadar and Emmanuelle Guti{\'{e}}rrez y Restrepo and Fernando Ferreira and Jorge Calado and Andreia Art{\'{\i}}fice and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, title = {Affective Computing to Enhance Emotional Sustainability of Students in Dropout Prevention}, booktitle = {Proceedings of the 7th International Conference on Software Development and Technologies for Enhancing Accessibility and Fighting Info-exclusion, {DSAI} 2016, Vila Real, Portugal, December 1-3, 2016}, pages = {85--91}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/3019943.3019956}, doi = {10.1145/3019943.3019956}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsai/KadarRFCASJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edoc/NardiAPFISP16, author = {Julio Cesar Nardi and Jo{\~{a}}o Paulo A. Almeida and Maiara Candido Pereira and Ricardo de Almeida Falbo and Maria{-}Eugenia Iacob and Marten van Sinderen and Lu{\'{\i}}s Ferreira Pires}, editor = {Remco M. Dijkman and Lu{\'{\i}}s Ferreira Pires and Stefanie Rinderle{-}Ma}, title = {Service Commitments and Capabilities across the ArchiMate Architectural Layers}, booktitle = {20th {IEEE} International Enterprise Distributed Object Computing Workshop, {EDOC} Workshops 2016, Vienna, Austria, September 5-9, 2016}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/EDOCW.2016.7584386}, doi = {10.1109/EDOCW.2016.7584386}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edoc/NardiAPFISP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/MostafaSFSSDW16, author = {Sheikh Shanawaz Mostafa and Nat{\'{e}}rcia Sousa and Nuno F{\'{a}}bio Ferreira and Ricardo M. Sousa and Jo{\~{a}}o Santos and Fernando Morgado Dias and Martin W{\"{a}}ny}, editor = {Arnaud Darmont and Antoine Dupret and Ralf Widenhorn}, title = {{FPGA} Implementation of Gamma Correction using a Piecewise Linear Approach for a Small Size Endoscopic Camera}, booktitle = {Image Sensors and Imaging Systems 2016, {IMSE} 2016, San Francisco, CA, USA, February 14-18, 2016}, pages = {1--6}, publisher = {Society for Imaging Science and Technology}, year = {2016}, url = {https://doi.org/10.2352/ISSN.2470-1173.2016.12.IMSE-276}, doi = {10.2352/ISSN.2470-1173.2016.12.IMSE-276}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/MostafaSFSSDW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/RestrepoFGMSJ16, author = {Emmanuelle Guti{\'{e}}rrez y Restrepo and Fernando Ferreira and Jes{\'{u}}s Gonz{\'{a}}lez{-}Boticario and Elsa Marcelino{-}Jesus and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Panayiotis Zaphiris and Andri Ioannou}, title = {Enhanced Affective Factors Management for {HEI} Students Dropout Prevention}, booktitle = {Learning and Collaboration Technologies - Third International Conference, {LCT} 2016, Held as Part of {HCI} International 2016, Toronto, ON, Canada, July 17-22, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9753}, pages = {675--684}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-39483-1\_61}, doi = {10.1007/978-3-319-39483-1\_61}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/RestrepoFGMSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iesa/GiaoSF0JZ16, author = {Jo{\~{a}}o Gi{\~{a}}o and Jo{\~{a}}o Sarraipa and Francisco{-}Xavier Fonseca and Fernando Ferreira and Ricardo Jardim{-}Gon{\c{c}}alves and Milan Zdravkovic}, editor = {Kai Mertins and Ricardo Jardim{-}Gon{\c{c}}alves and Keith Popplewell and Jo{\~{a}}o Pedro Mendon{\c{c}}a}, title = {Profiling Based on Music and Physiological State}, booktitle = {Enterprise Interoperability {VII:} Enterprise Interoperability in the Digitized and Networked Factory of the Future, Proceedings of {I-ESA} 2016, Guimar{\~{a}}es, Portugal, February 2016}, series = {Proceedings of the {I-ESA} Conferences}, volume = {8}, pages = {123--135}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-30957-6\_10}, doi = {10.1007/978-3-319-30957-6\_10}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iesa/GiaoSF0JZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/FerreiraMFRS16, author = {Ricardo Pinto Ferreira and Andr{\'{e}}a Martiniano and Arthur Ferreira and Marcio Romero and Renato Jos{\'{e}} Sassi}, editor = {Irenilza de Alencar N{\"{a}}{\"{a}}s and Oduvaldo Vendrametto and Jo{\~{a}}o Gilberto Mendes dos Reis and Rodrigo Franco Gon{\c{c}}alves and M{\'{a}}rcia Terra da Silva and Gregor von Cieminski and Dimitris Kiritsis}, title = {Container Crane Controller with the Use of a NeuroFuzzy Network}, booktitle = {Advances in Production Management Systems. Initiatives for a Sustainable World - {IFIP} {WG} 5.7 International Conference, {APMS} 2016, Iguassu Falls, Brazil, September 3-7, 2016, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {488}, pages = {122--129}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-51133-7\_15}, doi = {10.1007/978-3-319-51133-7\_15}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifip5-7/FerreiraMFRS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/OliveiraAFFO16, author = {Ricardo T. A. de Oliveira and Thaize Fernandes O. de Assis and Paulo Renato A. Firmino and Tiago A. E. Ferreira and Adriano L. I. Oliveira}, title = {Copulas-based ensemble of Artificial Neural Networks for forecasting real world time series}, booktitle = {2016 International Joint Conference on Neural Networks, {IJCNN} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {4089--4096}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IJCNN.2016.7727732}, doi = {10.1109/IJCNN.2016.7727732}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/OliveiraAFFO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intenv/VazaoGC16, author = {Teresa Vaz{\~{a}}o and Jo{\~{a}}o Duarte Gomes and Ricardo Chaves}, editor = {Paulo Novais and Shin'ichi Konomi}, title = {Policy-Based Management for Smart Mobility}, booktitle = {Intelligent Environments 2016 - Workshop Proceedings of the 12th International Conference on Intelligent Environments, {IE} 2016, London, United Kingdom, September 14-16, 2016}, series = {Ambient Intelligence and Smart Environments}, volume = {21}, pages = {278--287}, publisher = {{IOS} Press}, year = {2016}, url = {https://doi.org/10.3233/978-1-61499-690-3-278}, doi = {10.3233/978-1-61499-690-3-278}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intenv/VazaoGC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/is/Luis-FerreiraSJ16, author = {Fernando Luis{-}Ferreira and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Ronald R. Yager and Vassil Stoyanov Sgurev and Mincho Hadjiski and Vladimir Simov Jotsov}, title = {Multi-sensorial support for disabled users a case study with AutoCAD}, booktitle = {8th {IEEE} International Conference on Intelligent Systems, {IS} 2016, Sofia, Bulgaria, September 4-6, 2016}, pages = {53--58}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IS.2016.7737489}, doi = {10.1109/IS.2016.7737489}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/is/Luis-FerreiraSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isc2/GagoPS16, author = {Ricardo G. Gago and S{\'{o}}nia Ferreira Pinto and Jose F. Silva}, title = {{G2V} and {V2G} electric vehicle charger for smart grids}, booktitle = {{IEEE} International Smart Cities Conference, {ISC2} 2016, Trento, Italy, September 12-15, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISC2.2016.7580786}, doi = {10.1109/ISC2.2016.7580786}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/isc2/GagoPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/DelabridaLDOTCB16, author = {Saul Emanuel Delabrida and Antonio A. F. Loureiro and Thiago D'Angelo and Ricardo Augusto Rabelo Oliveira and Bruce H. Thomas and Edson Carvalho and Mark Billinghurst}, editor = {Eduardo E. Veas and Tobias Langlotz and Jos{\'{e}} Mart{\'{\i}}nez{-}Carranza and Rapha{\"{e}}l Grasset and Maki Sugimoto and Alejandro Mart{\'{\i}}n}, title = {A Low Cost Optical See-Through {HMD} - Do-It-Yourself}, booktitle = {2016 {IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2016 Adjunct, Merida, Yucatan, Mexico, September 19-23, 2016}, pages = {252--257}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISMAR-Adjunct.2016.0087}, doi = {10.1109/ISMAR-ADJUNCT.2016.0087}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/DelabridaLDOTCB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isspit/RibeiroMCGMV16, author = {Lucas D. X. Ribeiro and Jayme Milanezi and Jo{\~{a}}o Paulo Carvalho Lustosa da Costa and William Ferreira Giozza and Ricardo Kehrle Miranda and Marcos Vinicius Vieira}, title = {PCA-Kalman based load forecasting of electric power demand}, booktitle = {2016 {IEEE} International Symposium on Signal Processing and Information Technology, {ISSPIT} 2016, Limassol, Cyprus, December 12-14, 2016}, pages = {63--68}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSPIT.2016.7886010}, doi = {10.1109/ISSPIT.2016.7886010}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isspit/RibeiroMCGMV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/BatistaCTAFRMMR16, author = {Fernando Batista and Pedro Curto and Isabel Trancoso and Alberto Abad and Jaime Ferreira and Eug{\'{e}}nio Ribeiro and Helena Moniz and David Martins de Matos and Ricardo Ribeiro}, editor = {Nicoletta Calzolari and Khalid Choukri and Thierry Declerck and Sara Goggi and Marko Grobelnik and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {{SPA:} Web-based Platform for easy Access to Speech Processing Modules}, booktitle = {Proceedings of the Tenth International Conference on Language Resources and Evaluation {LREC} 2016, Portoro{\v{z}}, Slovenia, May 23-28, 2016}, publisher = {European Language Resources Association {(ELRA)}}, year = {2016}, url = {http://www.lrec-conf.org/proceedings/lrec2016/summaries/795.html}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/BatistaCTAFRMMR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mediaeval/FerreiraCADMPLA16, author = {Cristiano D. Ferreira and Rodrigo Tripodi Calumby and Iago B. A. do C. Araujo and {\'{I}}caro C. Dourado and Javier A. V. Mu{\~{n}}oz and Ot{\'{a}}vio Augusto Bizetto Penatti and Lin Tzy Li and Jurandy Almeida and Ricardo da Silva Torres}, editor = {Guillaume Gravier and Claire{-}H{\'{e}}l{\`{e}}ne Demarty and Herv{\'{e}} Bredin and Bogdan Ionescu and Christina Boididou and Emmanuel Dellandr{\'{e}}a and Jaeyoung Choi and Michael Riegler and Richard F. E. Sutcliffe and Igor Sz{\"{o}}ke and Gareth J. F. Jones and Martha A. Larson}, title = {Recod @ MediaEval 2016: Diverse Social Images Retrieval}, booktitle = {Working Notes Proceedings of the MediaEval 2016 Workshop, Hilversum, The Netherlands, October 20-21, 2016}, series = {{CEUR} Workshop Proceedings}, volume = {1739}, publisher = {CEUR-WS.org}, year = {2016}, url = {https://ceur-ws.org/Vol-1739/MediaEval\_2016\_paper\_21.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:12 +0100}, biburl = {https://dblp.org/rec/conf/mediaeval/FerreiraCADMPLA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/modelsward/CruzMS16, author = {Estrela Ferreira Cruz and Ricardo J. Machado and Maribel Yasmina Santos}, editor = {Slimane Hammoudi and Lu{\'{\i}}s Ferreira Pires and Bran Selic and Philippe Desfray}, title = {Deriving Software Design Models from a Set of Business Processes}, booktitle = {{MODELSWARD} 2016 - Proceedings of the 4rd International Conference on Model-Driven Engineering and Software Development, Rome, Italy, 19-21 February, 2016}, pages = {489--496}, publisher = {SciTePress}, year = {2016}, url = {https://doi.org/10.5220/0005657204890496}, doi = {10.5220/0005657204890496}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/modelsward/CruzMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/FerreiraSGADRPT16, author = {Ricardo M. Ferreira and Ali Shahpari and Fernando P. Guiomar and Sofia B. Amado and Miguel Drummond and Jacklyn D. Reis and Armando N. Pinto and Ant{\'{o}}nio L. J. Teixeira}, title = {Hardware optimization for carrier recovery based on Mth power schemes}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537381}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/FerreiraSGADRPT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LiBMXFGDPW16, author = {Xin Li and Nikos Bamiedakis and Jonathan J. D. McKendry and Enyuan Xie and Ricardo Ferreira and Erdan Gu and Martin D. Dawson and Richard V. Penty and Ian H. White}, title = {11 Gb/s {WDM} transmission over {SI-POF} using violet, blue and green {\(\mu\)}LEDs}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537521}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LiBMXFGDPW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/TeixeiraSFGR16, author = {Ant{\'{o}}nio Teixeira and Ali Shahpari and Ricardo Ferreira and Fernando P. Guiomar and Jacklyn D. Reis}, title = {Coherent access}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537251}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/TeixeiraSFGR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/quatic/SantosMF16, author = {Nuno Santos and Ricardo J. Machado and Nuno Ferreira}, editor = {Mark C. Paulk and Ricardo J. Machado and Miguel A. Brito and Miguel Goul{\~{a}}o and Vasco Amaral}, title = {Adopting Logical Architectures within Agile Projects}, booktitle = {10th International Conference on the Quality of Information and Communications Technology, {QUATIC} 2016, Lisbon, Portugal, September 6-9, 2016}, pages = {242--247}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.ieeecomputersociety.org/10.1109/QUATIC.2016.059}, doi = {10.1109/QUATIC.2016.059}, timestamp = {Wed, 09 Aug 2023 08:39:51 +0200}, biburl = {https://dblp.org/rec/conf/quatic/SantosMF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/PereiraAMSCCSF16, author = {Phillipe A. Pereira and Higo F. Albuquerque and Hendrio Marques and Isabela da Silva and Celso B. Carvalho and Lucas C. Cordeiro and Vanessa Santos and Ricardo Ferreira}, editor = {Sascha Ossowski}, title = {Verifying {CUDA} programs using SMT-based context-bounded model checking}, booktitle = {Proceedings of the 31st Annual {ACM} Symposium on Applied Computing, Pisa, Italy, April 4-8, 2016}, pages = {1648--1653}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2851613.2851830}, doi = {10.1145/2851613.2851830}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/PereiraAMSCCSF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/TrindadeFNSN16, author = {Alyson Trindade and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif and Douglas Sales and Omar P. Vilela Neto}, title = {A Placement and routing algorithm for Quantum-dot Cellular Automata}, booktitle = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI} 2016, Belo Horizonte, Brazil, August 29 - September 3, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SBCCI.2016.7724048}, doi = {10.1109/SBCCI.2016.7724048}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/TrindadeFNSN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbesc/DAngeloDOL16, author = {Thiago D'Angelo and Saul Emanuel Delabrida and Ricardo Augusto Rabelo Oliveira and Antonio A. F. Loureiro}, title = {Towards a Low-Cost Augmented Reality Head-Mounted Display with Real-Time Eye Center Location Capability}, booktitle = {{VI} Brazilian Symposium on Computing Systems Engineering, {SBESC} 2026, Jo{\~{a}}o Pessoa, Para{\'{\i}}ba, Brazil, November 1-4, 2016}, pages = {24--31}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/SBESC.2016.013}, doi = {10.1109/SBESC.2016.013}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbesc/DAngeloDOL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/0003C0H16, author = {Ricardo Martins and Ant{\'{o}}nio Canelas and Nuno Louren{\c{c}}o and Nuno Horta}, title = {On-the-fly exploration of placement templates for analog {IC} layout-aware sizing methodologies}, booktitle = {13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2016, Lisbon, Portugal, June 27-30, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMACD.2016.7520731}, doi = {10.1109/SMACD.2016.7520731}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/0003C0H16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/Canelas0P0H16, author = {Ant{\'{o}}nio Canelas and Ricardo Martins and Ricardo Povoa and Nuno Louren{\c{c}}o and Nuno Horta}, title = {Yield optimization using k-means clustering algorithm to reduce Monte Carlo simulations}, booktitle = {13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2016, Lisbon, Portugal, June 27-30, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMACD.2016.7520729}, doi = {10.1109/SMACD.2016.7520729}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/Canelas0P0H16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/Ferreira00H16, author = {Andre Ferreira and Nuno Louren{\c{c}}o and Ricardo Martins and Nuno Horta}, title = {Automated analog {IC} design constraints generation for a layout-aware sizing approach}, booktitle = {13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2016, Lisbon, Portugal, June 27-30, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMACD.2016.7520740}, doi = {10.1109/SMACD.2016.7520740}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/Ferreira00H16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/teem/CruzNPF16, author = {Cristina Cruz and Esperanza Navarro and Ricardo Pocinho and Ant{\'{o}}nio Ferreira}, editor = {Francisco Jos{\'{e}} Garc{\'{\i}}a{-}Pe{\~{n}}alvo}, title = {Happiness in advanced adulthood and the elderly: the role of positive emotions, flourishing and mindfulness as well-being factors for successful aging}, booktitle = {Proceedings of the Fourth International Conference on Technological Ecosystems for Enhancing Multiculturality, Salamanca, Spain, November 02 - 04, 2016}, pages = {411--417}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/3012430.3012549}, doi = {10.1145/3012430.3012549}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/teem/CruzNPF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webmedia/LemosFSCS16, author = {Victor S. Lemos and Rafael F. Ferreira and Ricardo Mendes Costa Segundo and Leandro Lesqueves Costalonga and Celso A. S. Santos}, editor = {F{\'{a}}bio de Jesus Lima Gomes and Ricardo de Andrade Lira Rabelo and Carlos de Salles Soares Neto and Roberto Willrich and C{\'{e}}sar Augusto Camillo Teixeira and Jussara Marques de Almeida and Windson Viana de Carvalho}, title = {Local Synchronization of Web Applications with Audio Markings}, booktitle = {Proceedings of the 22nd Brazilian Symposium on Multimedia and the Web, Webmedia 2016, Teresina, Piau{\'{\i}} State, Brazil, November 8-11, 2016}, pages = {159--166}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2976796.2976853}, doi = {10.1145/2976796.2976853}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/webmedia/LemosFSCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/FerreiraM016, author = {Jaime Ferreira and David Martins de Matos and Ricardo Ribeiro}, title = {Fast and Extensible Online Multivariate Kernel Density Estimation}, journal = {CoRR}, volume = {abs/1606.02608}, year = {2016}, url = {http://arxiv.org/abs/1606.02608}, eprinttype = {arXiv}, eprint = {1606.02608}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/FerreiraM016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/CasauSCCS15, author = {Pedro Casau and Ricardo G. Sanfelice and Rita Cunha and David Cabecinhas and Carlos Silvestre}, title = {Robust global trajectory tracking for a class of underactuated vehicles}, journal = {Autom.}, volume = {58}, pages = {90--98}, year = {2015}, url = {https://doi.org/10.1016/j.automatica.2015.05.011}, doi = {10.1016/J.AUTOMATICA.2015.05.011}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/automatica/CasauSCCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/OzahataSDCF15, author = {Mina Ozahata and Ester C. Sabino and Ricardo Diaz and Roberto Marcondes Cesar Jr. and Jo{\~{a}}o Eduardo Ferreira}, title = {Data-intensive analysis of {HIV} mutations}, journal = {{BMC} Bioinform.}, volume = {16}, pages = {35:1--35:23}, year = {2015}, url = {https://doi.org/10.1186/s12859-015-0452-0}, doi = {10.1186/S12859-015-0452-0}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/OzahataSDCF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/CerriPCF15, author = {Ricardo Cerri and Gisele L. Pappa and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho and Alex Alves Freitas}, title = {An Extensive Evaluation of Decision Tree-Based Hierarchical Multilabel Classification Methods and Performance Measures}, journal = {Comput. Intell.}, volume = {31}, number = {1}, pages = {1--46}, year = {2015}, url = {https://doi.org/10.1111/coin.12011}, doi = {10.1111/COIN.12011}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ci/CerriPCF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/GalegoJFBAG15, author = {Ricardo Galego and Agustin Alberto Ortega Jimenez and Ricardo Ferreira and Alexandre Bernardino and Juan Andrade{-}Cetto and Jos{\'{e}} Ant{\'{o}}nio Gaspar}, title = {Uncertainty analysis of the DLT-Lines calibration algorithm for cameras with radial distortion}, journal = {Comput. Vis. Image Underst.}, volume = {140}, pages = {115--126}, year = {2015}, url = {https://doi.org/10.1016/j.cviu.2015.05.015}, doi = {10.1016/J.CVIU.2015.05.015}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cviu/GalegoJFBAG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/BrisolaraF15, author = {Lisane B. de Brisolara and Ricardo Santos Ferreira}, title = {{SBESC} 2013 guest editor's introduction}, journal = {Des. Autom. Embed. Syst.}, volume = {19}, number = {4}, pages = {327--328}, year = {2015}, url = {https://doi.org/10.1007/s10617-015-9165-x}, doi = {10.1007/S10617-015-9165-X}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dafes/BrisolaraF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/MartinsLH15, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta}, title = {Multi-objective optimization of analog integrated circuit placement hierarchy in absolute coordinates}, journal = {Expert Syst. Appl.}, volume = {42}, number = {23}, pages = {9137--9151}, year = {2015}, url = {https://doi.org/10.1016/j.eswa.2015.08.020}, doi = {10.1016/J.ESWA.2015.08.020}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/MartinsLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/TeixeiraROL15, author = {Marcelo Teixeira and Richardson Ribeiro and C{\'{e}}sar Augusto Lins de Oliveira and Ricardo Massa Ferreira Lima}, title = {A quality-driven approach for resources planning in Service-Oriented Architectures}, journal = {Expert Syst. Appl.}, volume = {42}, number = {12}, pages = {5366--5379}, year = {2015}, url = {https://doi.org/10.1016/j.eswa.2015.02.004}, doi = {10.1016/J.ESWA.2015.02.004}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/TeixeiraROL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/SouzaFV15, author = {{\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Nandamudi Lankalapalli Vijaykumar}, title = {Knowledge management initiatives in software testing: {A} mapping study}, journal = {Inf. Softw. Technol.}, volume = {57}, pages = {378--391}, year = {2015}, url = {https://doi.org/10.1016/j.infsof.2014.05.016}, doi = {10.1016/J.INFSOF.2014.05.016}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/infsof/SouzaFV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LourencoCPMH15, author = {Nuno Louren{\c{c}}o and Ant{\'{o}}nio Canelas and Ricardo Povoa and Ricardo Martins and Nuno Horta}, title = {Floorplan-aware analog {IC} sizing and optimization based on topological constraints}, journal = {Integr.}, volume = {48}, pages = {183--197}, year = {2015}, url = {https://doi.org/10.1016/j.vlsi.2014.07.002}, doi = {10.1016/J.VLSI.2014.07.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/LourencoCPMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/NardiFAGPSGF15, author = {Julio Cesar Nardi and Ricardo de Almeida Falbo and Jo{\~{a}}o Paulo A. Almeida and Giancarlo Guizzardi and Lu{\'{\i}}s Ferreira Pires and Marten van Sinderen and Nicola Guarino and Claudenir Morais Fonseca}, title = {A commitment-based reference ontology for services}, journal = {Inf. Syst.}, volume = {54}, pages = {263--288}, year = {2015}, url = {https://doi.org/10.1016/j.is.2015.01.012}, doi = {10.1016/J.IS.2015.01.012}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/is/NardiFAGPSGF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/Garibay-Martinez15, author = {Ricardo Garibay{-}Mart{\'{\i}}nez and Geoffrey Nelissen and Luis Lino Ferreira and Lu{\'{\i}}s Miguel Pinho}, title = {Task partitioning and priority assignment for distributed hard real-time systems}, journal = {J. Comput. Syst. Sci.}, volume = {81}, number = {8}, pages = {1542--1555}, year = {2015}, url = {https://doi.org/10.1016/j.jcss.2015.05.005}, doi = {10.1016/J.JCSS.2015.05.005}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcss/Garibay-Martinez15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/ReisSFNLT15, author = {Jacklyn D. Reis and Ali Shahpari and Ricardo Ferreira and Darlene M. Neves and M{\'{a}}rio J. N. Lima and Ant{\'{o}}nio L. J. Teixeira}, title = {Performance Optimization of Nyquist Signaling for Spectrally Efficient Optical Access Networks [Invited]}, journal = {{JOCN}}, volume = {7}, number = {2}, pages = {A200--A208}, year = {2015}, url = {https://doi.org/10.1364/jocn.7.00a200}, doi = {10.1364/JOCN.7.00A200}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/ReisSFNLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/ShahpariLRRFMVP15, author = {Ali Shahpari and Ruben S. Lu{\'{\i}}s and V{\'{\i}}tor Ribeiro and Jacklyn D. Reis and Ricardo Ferreira and Jose Manuel Delgado Mendinueta and Zoran Vujicic and Benjamin J. Puttnam and M{\'{a}}rio J. N. Lima and Naoya Wada and Ant{\'{o}}nio Teixeira}, title = {Spectrally Efficient Enhanced-Performance Bidirectional Coherent {PON} With Laserless 10 Gb/s {ONU} [Invited]}, journal = {{JOCN}}, volume = {7}, number = {3}, pages = {A403--A413}, year = {2015}, url = {https://doi.org/10.1364/jocn.7.00a403}, doi = {10.1364/JOCN.7.00A403}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/ShahpariLRRFMVP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MestreRDSKPFH15, author = {Gon{\c{c}}alo Mestre and Ant{\'{o}}nio E. B. Ruano and Helder Duarte and Sergio Silva and Hamid Reza Khosravani and Shabnam Pesteh and Pedro M. Ferreira and Ricardo Horta}, title = {An Intelligent Weather Station}, journal = {Sensors}, volume = {15}, number = {12}, pages = {31005--31022}, year = {2015}, url = {https://doi.org/10.3390/s151229841}, doi = {10.3390/S151229841}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MestreRDSKPFH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/FerreiraRSNWC15, author = {Ricardo S. Ferreira and Luciana Rocha and Andr{\'{e}} G. Santos and Jos{\'{e}} Augusto Miranda Nacif and Stephan Wong and Luigi Carro}, title = {A Runtime {FPGA} Placement and Routing Using Low-Complexity Graph Traversal}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {8}, number = {2}, pages = {9:1--9:16}, year = {2015}, url = {https://doi.org/10.1145/2660775}, doi = {10.1145/2660775}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trets/FerreiraRSNWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/PascoalSPN15, author = {Ricardo Pascoal and V{\'{\i}}tor M. F. Santos and Cristiano Premebida and Urbano Nunes}, title = {Simultaneous Segmentation and Superquadrics Fitting in Laser-Range Data}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {64}, number = {2}, pages = {441--452}, year = {2015}, url = {https://doi.org/10.1109/TVT.2014.2321899}, doi = {10.1109/TVT.2014.2321899}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/PascoalSPN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEscc/SouzaSCRL15, author = {F{\'{a}}bio N. Souza and Tarcisio Coutinho Da Silva and David Junio Mota Cavalcanti and Nelson S. Rosa and Ricardo M. F. Lima}, title = {A Meta-model for QoS Monitoring in a Dynamic Service-Component Platform}, booktitle = {2015 {IEEE} International Conference on Services Computing, {SCC} 2015, New York City, NY, USA, June 27 - July 2, 2015}, pages = {459--466}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SCC.2015.69}, doi = {10.1109/SCC.2015.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEscc/SouzaSCRL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/CasauMSS15, author = {Pedro Casau and Christopher G. Mayhew and Ricardo G. Sanfelice and Carlos Silvestre}, title = {Global exponential stabilization on the n-dimensional sphere}, booktitle = {American Control Conference, {ACC} 2015, Chicago, IL, USA, July 1-3, 2015}, pages = {3218--3223}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ACC.2015.7171828}, doi = {10.1109/ACC.2015.7171828}, timestamp = {Fri, 03 Dec 2021 13:03:59 +0100}, biburl = {https://dblp.org/rec/conf/amcc/CasauMSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/Garibay-Martinez15, author = {Ricardo Garibay{-}Mart{\'{\i}}nez and Geoffrey Nelissen and Luis Lino Ferreira and Lu{\'{\i}}s Miguel Pinho}, editor = {Lu{\'{\i}}s Miguel Pinho and Wolfgang Karl and Albert Cohen and Uwe Brinkschulte}, title = {Allocation of Parallel Real-Time Tasks in Distributed Multi-core Architectures Supported by an {FTT-SE} Network}, booktitle = {Architecture of Computing Systems - {ARCS} 2015 - 28th International Conference, Porto, Portugal, March 24-27, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9017}, pages = {224--235}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-16086-3\_18}, doi = {10.1007/978-3-319-16086-3\_18}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/arcs/Garibay-Martinez15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/FerreiraCGC15, author = {Ricardo Ferreira and Manuel Eduardo Correia and Francisco Nuno Rocha Goncalves and Ricardo Jo{\~{a}}o Cruz Correia}, editor = {Christine Verdier and Marta Bienkiewicz and Ana L. N. Fred and Hugo Gamboa and Dirk Elias}, title = {Visualization of Passively Extracted {HL7} Production Metrics}, booktitle = {{HEALTHINF} 2015 - Proceedings of the International Conference on Health Informatics, Lisbon, Portugal, 12-15 January, 2015}, pages = {423--430}, publisher = {SciTePress}, year = {2015}, timestamp = {Sat, 06 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/FerreiraCGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bled/Cruz-CunhaMSV15, author = {Maria Manuela Cruz{-}Cunha and Isabel Maria Ferreira Miranda and Ricardo Sim{\~{o}}es and Jo{\~{a}}o Varaj{\~{a}}o}, title = {Aggregating Community Resources of Care and Assistance Services for the Elderly Population}, booktitle = {28th Bled eConference: s{\#}eWellBeing, Bled, Slovenia, June 7-10, 2015}, pages = {29}, year = {2015}, url = {http://aisel.aisnet.org/bled2015/29}, timestamp = {Sun, 29 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bled/Cruz-CunhaMSV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/FerreiraCGC15, author = {Ricardo Jorge Teixeira Ferreira and Manuel Eduardo Carvalho Duarte Correia and Francisco Nuno Rocha Goncalves and Ricardo Jo{\~{a}}o Cruz Correia}, editor = {Caetano Traina Jr. and Pedro Pereira Rodrigues and Bridget Kane and Paulo Mazzoncini de Azevedo Marques and Agma Juci Machado Traina}, title = {Data Quality in {HL7} Messages - {A} Real Case Analysis}, booktitle = {28th {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2015, Sao Carlos, Brazil, June 22-25, 2015}, pages = {197--200}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CBMS.2015.30}, doi = {10.1109/CBMS.2015.30}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cbms/FerreiraCGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/centeris/FerreiraMSC15, author = {Lu{\'{\i}}s Ferreira and I. Miranda and Ricardo Sim{\~{o}}es and Maria Manuela Cruz{-}Cunha}, editor = {Maria Manuela Cruz{-}Cunha and Jo{\~{a}}o Eduardo Quintela Varaj{\~{a}}o and Rui Rijo and Ricardo Martinho and Petra Schubert and Albert Boonstra and Ricardo Correia and Alexander Berler}, title = {\emph{GuiMarket} Specification Using the \emph{Unified Modeling Language}}, booktitle = {Conference on ENTERprise Information Systems/International Conference on Project MANagement/Conference on Health and Social Care Information Systems and Technologies, CENTERIS/ProjMAN/HCist 2015, Vilamoura, Portugal, October 7-9, 2015}, series = {Procedia Computer Science}, volume = {64}, pages = {1263--1272}, publisher = {Elsevier}, year = {2015}, url = {https://doi.org/10.1016/j.procs.2015.09.241}, doi = {10.1016/J.PROCS.2015.09.241}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/centeris/FerreiraMSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciarp/FerreiraBB15, author = {Daniela Portes L. Ferreira and Andr{\'{e}} R. Backes and C{\'{e}}lia A. Zorzo Barcelos}, editor = {Alvaro Pardo and Josef Kittler}, title = {Bregman Divergence Applied to Hierarchical Segmentation Problems}, booktitle = {Progress in Pattern Recognition, Image Analysis, Computer Vision, and Applications - 20th Iberoamerican Congress, {CIARP} 2015, Montevideo, Uruguay, November 9-12, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9423}, pages = {493--500}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-25751-8\_59}, doi = {10.1007/978-3-319-25751-8\_59}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ciarp/FerreiraBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LourencoMH15, author = {Nuno Louren{\c{c}}o and Ricardo Martins and Nuno Horta}, editor = {Wolfgang Nebel and David Atienza}, title = {Layout-aware sizing of analog ICs using floorplan {\&} routing estimates for parasitic extraction}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1156--1161}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757080}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LourencoMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/FerreiraSGARR0T15, author = {Ricardo M. Ferreira and Ali Shahpari and Fernando P. Guiomar and Sofia B. Amado and Claudio Rodrigues and Jacklyn D. Reis and Armando N. Pinto and Ant{\'{o}}nio L. J. Teixeira}, title = {Field-trial of a real-time bidirectional {UDWDM-PON} coexisting with GPON, {RF} video overlay and {NG-PON2} systems}, booktitle = {European Conference on Optical Communication, {ECOC} 2015, Valencia, Spain, September 27 - October 1, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ECOC.2015.7341693}, doi = {10.1109/ECOC.2015.7341693}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/FerreiraSGARR0T15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/LiBGMXFGDPW15, author = {Xin Li and Nikolaos Bamiedakis and X. Guo and Jonathan J. D. McKendry and Enyuan Xie and Ricardo Ferreira and E. Gu and Martin D. Dawson and Richard V. Penty and Ian H. White}, title = {2 Gb/s {\(\mu\)}LED-APD based visible light communications using feed-forward pre-equalization and {PAM-4} modulation}, booktitle = {European Conference on Optical Communication, {ECOC} 2015, Valencia, Spain, September 27 - October 1, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ECOC.2015.7341880}, doi = {10.1109/ECOC.2015.7341880}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecoc/LiBGMXFGDPW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/ReisRCFPSTO15, author = {Jacklyn D. Reis and Sandro M. Rossi and Andrea Chiuchiarelli and Ricardo M. Ferreira and Victor E. Parahyba and Ali Shahpari and Ant{\'{o}}nio L. J. Teixeira and Juliano R. F. Oliveira}, title = {Experimental demonstration of interchannel {FWM} mitigation on coherent bidirectional {UDWDM} networks}, booktitle = {European Conference on Optical Communication, {ECOC} 2015, Valencia, Spain, September 27 - October 1, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ECOC.2015.7341846}, doi = {10.1109/ECOC.2015.7341846}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/ReisRCFPSTO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/ShahpariFZVROT15, author = {Ali Shahpari and Ricardo M. Ferreira and Somayeh Ziaie and Zoran Vujicic and Jacklyn D. Reis and Juliano R. F. Oliveira and Ant{\'{o}}nio L. J. Teixeira}, title = {Multiple system configuration for next generation optical access networks with real-time Nyquist {UDWDM-PON}}, booktitle = {European Conference on Optical Communication, {ECOC} 2015, Valencia, Spain, September 27 - October 1, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ECOC.2015.7341832}, doi = {10.1109/ECOC.2015.7341832}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/ShahpariFZVROT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/TeixeiraRBEL15, author = {Marcelo Teixeira and Richardson Ribeiro and Marco A. C. Barbosa and Fabr{\'{\i}}cio Enembreck and Ricardo Massa Ferreira Lima}, title = {A modeling architecture for the orchestration of service components in factory automation}, booktitle = {20th {IEEE} Conference on Emerging Technologies {\&} Factory Automation, {ETFA} 2015, Luxembourg, September 8-11, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ETFA.2015.7301446}, doi = {10.1109/ETFA.2015.7301446}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/etfa/TeixeiraRBEL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eumas/MartinsOFC15, author = {F{\'{a}}bio Rodrigues Martins and Alcione de Paiva Oliveira and Ricardo dos Santos Ferreira and Fabio Ribeiro Cerqueira}, editor = {Michael Rovatsos and George A. Vouros and Vicente Juli{\'{a}}n}, title = {Hardware Architecture Benchmarking for Simulation of Human Immune System by Multi-agent Systems}, booktitle = {Multi-Agent Systems and Agreement Technologies - 13th European Conference, {EUMAS} 2015, and Third International Conference, {AT} 2015, Athens, Greece, December 17-18, 2015, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9571}, pages = {441--448}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-33509-4\_34}, doi = {10.1007/978-3-319-33509-4\_34}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eumas/MartinsOFC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/SouzaFV15, author = {{\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Nandamudi L. Vijaykumar}, title = {Using the Findings of a Mapping Study to Conduct a Research Project: {A} Case in Knowledge Management in Software Testing}, booktitle = {41st Euromicro Conference on Software Engineering and Advanced Applications, {EUROMICRO-SEAA} 2015, Madeira, Portugal, August 26-28, 2015}, pages = {208--215}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SEAA.2015.10}, doi = {10.1109/SEAA.2015.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/SouzaFV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/FerreiraNMAP15, author = {Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif and Salles V. G. Magalh{\~{a}}es and Thales T. de Almeida and Racyus D. G. Pac{\'{\i}}fico}, title = {Be a simulator developer and go beyond in computing engineering}, booktitle = {2015 {IEEE} Frontiers in Education Conference, {FIE} 2015, El Paso, TX, USA, October 21-24, 2015}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/FIE.2015.7344416}, doi = {10.1109/FIE.2015.7344416}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/FerreiraNMAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarsc/VicenteFJB15, author = {Pedro Vicente and Ricardo Ferreira and Lorenzo Jamone and Alexandre Bernardino}, title = {GPU-Enabled Particle Based Optimization for Robotic-Hand Pose Estimation and Self-Calibration}, booktitle = {2015 {IEEE} International Conference on Autonomous Robot Systems and Competitions, {ICARSC} 2015, Vila Real, Portugal, April 8-10, 2015}, pages = {3--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICARSC.2015.25}, doi = {10.1109/ICARSC.2015.25}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icarsc/VicenteFJB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/CruzMS15, author = {Estrela Ferreira Cruz and Ricardo J. Machado and Maribel Yasmina Santos}, editor = {Slimane Hammoudi and Leszek A. Maciaszek and Ernest Teniente}, title = {Deriving a Data Model from a Set of Interrelated Business Process Models}, booktitle = {{ICEIS} 2015 - Proceedings of the 17th International Conference on Enterprise Information Systems, Volume 2, Barcelona, Spain, 27-30 April, 2015}, pages = {49--59}, publisher = {SciTePress}, year = {2015}, url = {https://doi.org/10.5220/0005366100490059}, doi = {10.5220/0005366100490059}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceis/CruzMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/CruzMS15a, author = {Estrela Ferreira Cruz and Ricardo J. Machado and Maribel Yasmina Santos}, editor = {Slimane Hammoudi and Leszek A. Maciaszek and Ernest Teniente}, title = {Bridging the Gap between a Set of Interrelated Business Process Models and Software Models}, booktitle = {{ICEIS} 2015 - Proceedings of the 17th International Conference on Enterprise Information Systems, Volume 2, Barcelona, Spain, 27-30 April, 2015}, pages = {338--345}, publisher = {SciTePress}, year = {2015}, url = {https://doi.org/10.5220/0005378103380345}, doi = {10.5220/0005378103380345}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceis/CruzMS15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/0001AMZMFTG15, author = {Armando N. Pinto and Sofia B. Amado and Celestino Sanches Martins and Somayeh Ziaie and Nelson J. Muga and Ricardo Ferreira and Ant{\'{o}}nio L. J. Teixeira and Fernando P. Guiomar}, title = {Real-time digital signal processing for coherent optical systems}, booktitle = {17th International Conference on Transparent Optical Networks, {ICTON} 2015, Budapest, Hungary, July 5-9, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTON.2015.7193329}, doi = {10.1109/ICTON.2015.7193329}, timestamp = {Wed, 31 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icton/0001AMZMFTG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/BamiedakisLMXFG15, author = {Nikos Bamiedakis and Xin Li and Jonathan J. D. McKendry and Enyuan Xie and Ricardo Ferreira and E. Gu and Martin D. Dawson and Richard V. Penty and Ian H. White}, title = {Micro-LED-based guided-wave optical links for visible light communications}, booktitle = {17th International Conference on Transparent Optical Networks, {ICTON} 2015, Budapest, Hungary, July 5-9, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTON.2015.7193686}, doi = {10.1109/ICTON.2015.7193686}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icton/BamiedakisLMXFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/CaladoOP15, author = {J. M. F. Calado and A. Lu{\'{\i}}s Os{\'{o}}rio and Ricardo Prata}, editor = {Luis M. Camarinha{-}Matos and Fr{\'{e}}d{\'{e}}rick B{\'{e}}naben and Willy Picard}, title = {An Adaptive IoT Management Infrastructure for EcoTransport Networks}, booktitle = {Risks and Resilience of Collaborative Networks - 16th {IFIP} {WG} 5.5 Working Conference on Virtual Enterprises, {PRO-VE} 2015, Albi, France, October 5-7, 2015, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {463}, pages = {285--296}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24141-8\_26}, doi = {10.1007/978-3-319-24141-8\_26}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/CaladoOP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/Luis-FerreiraGJ15, author = {Fernando Luis{-}Ferreira and Sudeep Ghimire and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Luis M. Camarinha{-}Matos and Thais A. Baldissera and Giovanni Di Orio and Francisco Marques}, title = {Brain Inspired Health Monitoring Supported by the Cloud}, booktitle = {Technological Innovation for Cloud-Based Engineering Systems - 6th {IFIP} {WG} 5.5/SOCOLNET Doctoral Conference on Computing, Electrical and Industrial Systems, DoCEIS 2015, Costa de Caparica, Portugal, April 13-15, 2015, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {450}, pages = {273--281}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-16766-4\_30}, doi = {10.1007/978-3-319-16766-4\_30}, timestamp = {Tue, 21 Mar 2023 20:57:25 +0100}, biburl = {https://dblp.org/rec/conf/ifip5-5/Luis-FerreiraGJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-8/Luis-FerreiraPJ15, author = {Fernando Luis{-}Ferreira and Herv{\'{e}} Panetto and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Marten van Sinderen and Vincent Chapurlat}, title = {Humans in the Enterprise Interoperability Ecosystem}, booktitle = {Enterprise Interoperability - 6th International {IFIP} Working Conference, {IWEI} 2015, N{\^{\i}}mes, France, May 28-29, 2015, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {213}, pages = {92--98}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-47157-9\_9}, doi = {10.1007/978-3-662-47157-9\_9}, timestamp = {Tue, 20 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-8/Luis-FerreiraPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/CerriBC15, author = {Ricardo Cerri and Rodrigo C. Barros and Andr{\'{e}} C. P. L. F. de Carvalho}, title = {Hierarchical classification of Gene Ontology-based protein functions with neural networks}, booktitle = {2015 International Joint Conference on Neural Networks, {IJCNN} 2015, Killarney, Ireland, July 12-17, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IJCNN.2015.7280474}, doi = {10.1109/IJCNN.2015.7280474}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/CerriBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/FerreiraPRBL15, author = {Adriano Ferreira and Arnaldo Pereira and Nelson Rodrigues and Jos{\'{e}} Barbosa and Paulo Leit{\~{a}}o}, title = {Integration of an agent-based strategic planner in an enterprise service bus ecosystem}, booktitle = {13th {IEEE} International Conference on Industrial Informatics, {INDIN} 2015, Cambridge, United Kingdom, July 22-24, 2015}, pages = {1336--1341}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INDIN.2015.7281929}, doi = {10.1109/INDIN.2015.7281929}, timestamp = {Tue, 11 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/FerreiraPRBL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/CardosoFSB15, author = {{\^{A}}ngelo Cardoso and Ricardo Ferreira and Ricardo Santos and Alexandre Bernardino}, title = {Low-rank forward models: {A} path to the self-organization of visuo-motor systems}, booktitle = {2015 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2015, Hamburg, Germany, September 28 - October 2, 2015}, pages = {1534--1539}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IROS.2015.7353571}, doi = {10.1109/IROS.2015.7353571}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/CardosoFSB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FerreiraHQ15, author = {Renan U. Ferreira and Edson M. Hung and Ricardo L. de Queiroz}, title = {Clustering of matched features and gradient matching for mixed-resolution video super-resolution}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1202--1205}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168855}, doi = {10.1109/ISCAS.2015.7168855}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FerreiraHQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinsLCH15, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Ant{\'{o}}nio Canelas and Nuno Horta}, title = {Extraction and application of wiring symmetry rules to route analog multiport terminals}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1945--1948}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169054}, doi = {10.1109/ISCAS.2015.7169054}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MartinsLCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscis/CoutoCFO15, author = {Adriano Donato Couto and Fabio Ribeiro Cerqueira and Ricardo dos Santos Ferreira and Alcione de Paiva Oliveira}, editor = {Omer H. Abdelrahman and Erol Gelenbe and G{\"{o}}k{\c{c}}e G{\"{o}}rbil and Ricardo Lent}, title = {Proposal of a New Method for de Novo {DNA} Sequence Assembly Using de Bruijn Graphs}, booktitle = {Information Sciences and Systems 2015 - 30th International Symposium on Computer and Information Sciences, {ISCIS} 2015, London, UK, 21-24 September 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {363}, pages = {307--317}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22635-4\_28}, doi = {10.1007/978-3-319-22635-4\_28}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscis/CoutoCFO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/MatiasDFFP15, author = {Ricardo Matias and Joel Dinis and Jos{\'{e}} Alberto Fonseca and Joaquim Ferreira and Paulo Pedreiras}, title = {Energy issues of bike sharing systems: From energy harvesting to contactless battery charging}, booktitle = {24th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2015, Rio de Janeiro, Brazil, June 3-5, 2015}, pages = {288--293}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISIE.2015.7281483}, doi = {10.1109/ISIE.2015.7281483}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isie/MatiasDFFP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lanoms/FerreiraCP15, author = {Vinicius C. Ferreira and Ricardo Campanha Carrano and Bruno Peres}, title = {Solution for spectrum monitoring of the industrial, scientific and medical {(ISM)} radio bands}, booktitle = {2015 Latin American Network Operations and Management Symposium, {LANOMS} 2015, Jo{\~{a}}o Pessoa, Brazil, October 1-3, 2015}, pages = {49--55}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/LANOMS.2015.7332669}, doi = {10.1109/LANOMS.2015.7332669}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lanoms/FerreiraCP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/GomesAFN15, author = {Andr{\'{e}} B. M. Gomes and Fredy A. M. Alves and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, title = {Vericonn: a tool to generate efficient interconnection networks for post-silicon debug}, booktitle = {16th Latin-American Test Symposium, {LATS} 2015, Puerto Vallarta, Mexico, March 25-27, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/LATW.2015.7102519}, doi = {10.1109/LATW.2015.7102519}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/GomesAFN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/FerreiraRRASGOP15, author = {Ricardo M. Ferreira and Jacklyn D. Reis and Sandro M. Rossi and Sofia B. Amado and Ali Shahpari and Neil Guerrero Gonz{\'{a}}lez and Juliano R. F. Oliveira and Armando N. Pinto and Ant{\'{o}}nio L. J. Teixeira}, title = {Demonstration of Nyquist {UDWDM-PON} with digital signal processing in real-time}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1364/OFC.2015.Th3I.4}, doi = {10.1364/OFC.2015.TH3I.4}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/FerreiraRRASGOP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ReisFRSPSTGO15, author = {Jacklyn D. Reis and Ricardo M. Ferreira and Sandro M. Rossi and Gabriel J. Suzigan and Thyago M. S. Pinto and Ali Shahpari and Ant{\'{o}}nio L. J. Teixeira and Neil Guerrero Gonz{\'{a}}lez and Juliano R. F. Oliveira}, title = {Bidirectional coherent {WDM-PON} performance with real-time Nyquist 16QAM transmitter}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1364/OFC.2015.Th3I.5}, doi = {10.1364/OFC.2015.TH3I.5}, timestamp = {Wed, 26 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/ReisFRSPSTGO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/otm/GhimireMFAG15, author = {Sudeep Ghimire and Raquel Melo and Jos{\'{e}} Ferreira and Carlos Agostinho and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Ioana Ciuciu and Herv{\'{e}} Panetto and Christophe Debruyne and Alexis Aubry and Peter Bollen and Rafael Valencia{-}Garc{\'{\i}}a and Alok Mishra and Anna Fensel and Fernando Ferri}, title = {Continuous Data Collection Framework for Manufacturing Industries}, booktitle = {On the Move to Meaningful Internet Systems: {OTM} 2015 Workshops - Confederated International Workshops: {OTM} Academy, {OTM} Industry Case Studies Program, EI2N, FBM, INBAST, ISDE, META4eS, and {MSC} 2015, Rhodes, Greece, October 26-30, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9416}, pages = {29--40}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-26138-6\_5}, doi = {10.1007/978-3-319-26138-6\_5}, timestamp = {Fri, 06 Oct 2023 13:39:05 +0200}, biburl = {https://dblp.org/rec/conf/otm/GhimireMFAG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robot/MorenoNFFBSBVAA15, author = {Plinio Moreno and Ricardo Nunes and Rui Pimentel de Figueiredo and Ricardo Ferreira and Alexandre Bernardino and Jos{\'{e}} Santos{-}Victor and Ricardo Beira and Lu{\'{\i}}s Vargas and Duarte Arag{\~{a}}o and Miguel Arag{\~{a}}o}, editor = {Lu{\'{\i}}s Paulo Reis and Ant{\'{o}}nio Paulo Moreira and Pedro U. Lima and Luis Montano and Victor F. Mu{\~{n}}oz{-}Mart{\'{\i}}nez}, title = {Vizzy: {A} Humanoid on Wheels for Assistive Robotics}, booktitle = {Robot 2015: Second Iberian Robotics Conference - Advances in Robotics, Lisbon, Portugal, 19-21 November 2015, Volume 1}, series = {Advances in Intelligent Systems and Computing}, volume = {417}, pages = {17--28}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27146-0\_2}, doi = {10.1007/978-3-319-27146-0\_2}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robot/MorenoNFFBSBVAA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/GomesAFN15, author = {Andr{\'{e}} B. M. Gomes and Fredy A. M. Alves and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, editor = {Robson Nunes de Lima and Ana Isabela Ara{\'{u}}jo Cunha and Calvin Plett and Wagner Luiz Alves de Oliveira}, title = {Increasing Observability in Post-Silicon Debug Using Asymmetric Omega Networks}, booktitle = {Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015}, pages = {17:1--17:7}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2800986.2801011}, doi = {10.1145/2800986.2801011}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/GomesAFN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbesc/DelabridaDOL15, author = {Saul Emanuel Delabrida and Thiago D'Angelo and Ricardo Augusto Rabelo Oliveira and Antonio Alfredo Ferreira Loureiro}, title = {Towards a Wearable Device for Monitoring Ecological Environments}, booktitle = {2015 Brazilian Symposium on Computing Systems Engineering, {SBESC} 2015, Foz do Iguacu, Brazil, November 3-6, 2015}, pages = {148--153}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SBESC.2015.35}, doi = {10.1109/SBESC.2015.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbesc/DelabridaDOL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MendoncaLAC15, author = {Julio Mendonca and Ricardo M. F. Lima and Ermeson C. Andrade and Gustavo Rau de Almeida Callou}, title = {Assessing Performance and Energy Consumption in Mobile Applications}, booktitle = {2015 {IEEE} International Conference on Systems, Man, and Cybernetics, Kowloon Tong, Hong Kong, October 9-12, 2015}, pages = {74--79}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SMC.2015.26}, doi = {10.1109/SMC.2015.26}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/MendoncaLAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wfcs/Garibay-Martinez15, author = {Ricardo Garibay{-}Mart{\'{\i}}nez and Geoffrey Nelissen and Luis Lino Ferreira and Paulo Pedreiras and Lu{\'{\i}}s Miguel Pinho}, title = {Holistic analysis for fork-join distributed tasks supported by the {FTT-SE} protocol}, booktitle = {{IEEE} World Conference on Factory Communication Systems, {WFCS} 2015, Palma de Mallorca, Spain, May 27-29, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WFCS.2015.7160571}, doi = {10.1109/WFCS.2015.7160571}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/wfcs/Garibay-Martinez15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/15/OliveiraLR15, author = {C{\'{e}}sar A. L. de Oliveira and Ricardo M. F. Lima and Hajo A. Reijers}, editor = {Jan vom Brocke and Theresa Schmiedel}, title = {Implementing a Digital Strategy through Business Process Management}, booktitle = {{BPM} - Driving Innovation in a Digital World}, pages = {231--245}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-14430-6\_15}, doi = {10.1007/978-3-319-14430-6\_15}, timestamp = {Tue, 07 May 2024 19:59:15 +0200}, biburl = {https://dblp.org/rec/books/sp/15/OliveiraLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SousaDFL15, author = {Diogo G. Sousa and Ricardo J. Dias and Carla Ferreira and Jo{\~{a}}o Louren{\c{c}}o}, title = {Preventing Atomicity Violations with Contracts}, journal = {CoRR}, volume = {abs/1505.02951}, year = {2015}, url = {http://arxiv.org/abs/1505.02951}, eprinttype = {arXiv}, eprint = {1505.02951}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/SousaDFL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/FerreiraSFGS14, author = {V{\^{a}}nio Ferreira and Lu{\'{\i}}s Paulo Santos and Markus Franzen and Omar O. Ghouati and Ricardo Sim{\~{o}}es}, title = {Improving {FEM} crash simulation accuracy through local thickness estimation based on {CAD} data}, journal = {Adv. Eng. Softw.}, volume = {71}, pages = {52--62}, year = {2014}, url = {https://doi.org/10.1016/j.advengsoft.2014.02.003}, doi = {10.1016/J.ADVENGSOFT.2014.02.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aes/FerreiraSFGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/PintoF14, author = {Ricardo Luiz Utsch de Freitas Pinto and Ricardo Poley Martins Ferreira}, title = {An exact penalty function based on the projection matrix}, journal = {Appl. Math. Comput.}, volume = {245}, pages = {66--73}, year = {2014}, url = {https://doi.org/10.1016/j.amc.2014.07.098}, doi = {10.1016/J.AMC.2014.07.098}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/PintoF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/SousaFA14, author = {Ricardo Teixeira Sousa and An{\'{\i}}bal J. S. Ferreira and Paavo Alku}, title = {The harmonic and noise information of the glottal pulses in speech}, journal = {Biomed. Signal Process. Control.}, volume = {10}, pages = {137--143}, year = {2014}, url = {https://doi.org/10.1016/j.bspc.2013.12.004}, doi = {10.1016/J.BSPC.2013.12.004}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/SousaFA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/Jardim-GoncalvesCCSG14, author = {Ricardo Jardim{-}Gon{\c{c}}alves and Carlos Coutinho and Adina Cretan and Catarina Ferreira da Silva and Parisa Ghodous}, title = {Collaborative negotiation for ontology-driven enterprise businesses}, journal = {Comput. Ind.}, volume = {65}, number = {9}, pages = {1232--1241}, year = {2014}, url = {https://doi.org/10.1016/j.compind.2014.01.001}, doi = {10.1016/J.COMPIND.2014.01.001}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cii/Jardim-GoncalvesCCSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdc/FerreiraCBVFRB14, author = {Filipe Ferreira and Miguel E. Coimbra and Raquel Bairr{\~{a}}o and Ricardo Viera and Ana T. Freitas and Lu{\'{\i}}s M. S. Russo and Jos{\'{e}} Borbinha}, title = {Data Management in Metagenomics: {A} Risk Management Approach}, journal = {Int. J. Digit. Curation}, volume = {9}, number = {1}, pages = {41--56}, year = {2014}, url = {https://doi.org/10.2218/ijdc.v9i1.299}, doi = {10.2218/IJDC.V9I1.299}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdc/FerreiraCBVFRB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/BarrosJCC14, author = {Rodrigo C. Barros and Pablo A. Jaskowiak and Ricardo Cerri and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, title = {A framework for bottom-up induction of oblique decision trees}, journal = {Neurocomputing}, volume = {135}, pages = {3--12}, year = {2014}, url = {https://doi.org/10.1016/j.neucom.2013.01.067}, doi = {10.1016/J.NEUCOM.2013.01.067}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/BarrosJCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/MirandaPCS14, author = {P{\'{e}}ricles B. C. de Miranda and Ricardo B. C. Prud{\^{e}}ncio and Andr{\'{e}} C. P. L. F. de Carvalho and Carlos Soares}, title = {A hybrid meta-learning architecture for multi-objective optimization of {SVM} parameters}, journal = {Neurocomputing}, volume = {143}, pages = {27--43}, year = {2014}, url = {https://doi.org/10.1016/j.neucom.2014.06.026}, doi = {10.1016/J.NEUCOM.2014.06.026}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/MirandaPCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/MartinsLCH14, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Ant{\'{o}}nio Canelas and Nuno Horta}, title = {Electromigration-aware analog Router with multilayer multiport terminal structures}, journal = {Integr.}, volume = {47}, number = {4}, pages = {532--547}, year = {2014}, url = {https://doi.org/10.1016/j.vlsi.2014.02.003}, doi = {10.1016/J.VLSI.2014.02.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/MartinsLCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/CerriBC14, author = {Ricardo Cerri and Rodrigo C. Barros and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, title = {Hierarchical multi-label classification using local neural networks}, journal = {J. Comput. Syst. Sci.}, volume = {80}, number = {1}, pages = {39--56}, year = {2014}, url = {https://doi.org/10.1016/j.jcss.2013.03.007}, doi = {10.1016/J.JCSS.2013.03.007}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcss/CerriBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jitr/Cruz-CunhaSVM14, author = {Maria Manuela Cruz{-}Cunha and Ricardo Sim{\~{o}}es and Jo{\~{a}}o Varaj{\~{a}}o and Isabel Miranda}, title = {Information Technology Supporting Healthcare and Social Care Services: An e-Marketplace Case Study}, journal = {J. Inf. Technol. Res.}, volume = {7}, number = {1}, pages = {41--58}, year = {2014}, url = {https://doi.org/10.4018/jitr.2014010104}, doi = {10.4018/JITR.2014010104}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jitr/Cruz-CunhaSVM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/MoraisFCBRG14, author = {Erikson Freitas de Morais and Anselmo Ferreira and Sergio Augusto Cunha and Ricardo M. L. Barros and Anderson Rocha and Siome Goldenstein}, title = {A multiple camera methodology for automatic localization and tracking of futsal players}, journal = {Pattern Recognit. Lett.}, volume = {39}, pages = {21--30}, year = {2014}, url = {https://doi.org/10.1016/j.patrec.2013.09.007}, doi = {10.1016/J.PATREC.2013.09.007}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/MoraisFCBRG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scp/AldrichL14, author = {Jonathan Aldrich and Ricardo Massa Ferreira Lima}, title = {Brazilian Symposium on Programming Languages {(SBLP} 2010)}, journal = {Sci. Comput. Program.}, volume = {80}, pages = {51}, year = {2014}, url = {https://doi.org/10.1016/j.scico.2013.03.013}, doi = {10.1016/J.SCICO.2013.03.013}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scp/AldrichL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JimenezSAFBGA14, author = {Agustin Alberto Ortega Jimenez and Manuel Silva and Ernesto Homar Teniente Avil{\'{e}}s and Ricardo Ferreira and Alexandre Bernardino and Jos{\'{e}} Ant{\'{o}}nio Gaspar and Juan Andrade{-}Cetto}, title = {Calibration of an Outdoor Distributed Camera Network with a 3D Point Cloud}, journal = {Sensors}, volume = {14}, number = {8}, pages = {13708--13729}, year = {2014}, url = {https://doi.org/10.3390/s140813708}, doi = {10.3390/S140813708}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JimenezSAFBGA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aosd/RebeloLBRLZCT14, author = {Henrique Reb{\^{e}}lo and Gary T. Leavens and Mehdi Bagherzadeh and Hridesh Rajan and Ricardo Massa Ferreira Lima and Daniel M. Zimmerman and M{\'{a}}rcio Corn{\'{e}}lio and Thomas Th{\"{u}}m}, editor = {Walter Binder and Erik Ernst and Achille Peternier and Robert Hirschfeld}, title = {Modularizing crosscutting contracts with AspectJML}, booktitle = {13th International Conference on Modularity, {MODULARITY} '14, Lugano, Switzerland, April 22-26, 2014}, pages = {21--24}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2584469.2584476}, doi = {10.1145/2584469.2584476}, timestamp = {Tue, 21 Mar 2023 21:01:43 +0100}, biburl = {https://dblp.org/rec/conf/aosd/RebeloLBRLZCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aosd/RebeloLBRLZCT14a, author = {Henrique Reb{\^{e}}lo and Gary T. Leavens and Mehdi Bagherzadeh and Hridesh Rajan and Ricardo Massa Ferreira Lima and Daniel M. Zimmerman and M{\'{a}}rcio Corn{\'{e}}lio and Thomas Th{\"{u}}m}, editor = {Walter Binder and Erik Ernst and Achille Peternier and Robert Hirschfeld}, title = {AspectJML: modular specification and runtime checking for crosscutting contracts}, booktitle = {13th International Conference on Modularity, {MODULARITY} '14, Lugano, Switzerland, April 22-26, 2014}, pages = {157--168}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2577080.2577084}, doi = {10.1145/2577080.2577084}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aosd/RebeloLBRLZCT14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/LagidoLCLFG14, author = {Ricardo Belchior Lagido and Joana Lobo and Jos{\'{e}} Carlos Magalh{\~{a}}es Silva Cardoso and S{\'{e}}rgio Lopes Mariano Machado Leite and Liliana Ferreira and Carla Margarida Castanheira de Sousa Gon{\c{c}}alves}, title = {Using the smartphone camera to monitor heart rate and rhythm in heart failure patients}, booktitle = {Proceedings of {IEEE-EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2014, Valencia, Spain, June 1-4, 2014}, pages = {556--559}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BHI.2014.6864425}, doi = {10.1109/BHI.2014.6864425}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bhi/LagidoLCLFG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/CasauSS14, author = {Pedro Casau and Ricardo G. Sanfelice and Carlos Silvestre}, title = {A hybrid controller for global uniform exponential stabilization of linear systems with singular input constraints}, booktitle = {53rd {IEEE} Conference on Decision and Control, {CDC} 2014, Los Angeles, CA, USA, December 15-17, 2014}, pages = {741--746}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CDC.2014.7039470}, doi = {10.1109/CDC.2014.7039470}, timestamp = {Fri, 04 Mar 2022 13:29:15 +0100}, biburl = {https://dblp.org/rec/conf/cdc/CasauSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SilvaLCH14, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Ant{\'{o}}nio Canelas and Nuno Horta}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Electromigration-aware and IR-Drop avoidance routing in analog multiport terminal structures}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.023}, doi = {10.7873/DATE.2014.023}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/SilvaLCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eann/AguiarNAFRFVTRV14, author = {Eduardo P. de Aguiar and Fernando M. de A. Nogueira and Renan P. F. Amaral and Diego F. Fabri and S{\'{e}}rgio C. de A. Rossignoli and Jos{\'{e}} Geraldo Ferreira and Marley M. B. R. Vellasco and Ricardo Tanscheit and Mois{\'{e}}s Vidal Ribeiro and Pedro C. G. da S. Vellasco}, editor = {Valeri M. Mladenov and Chrisina Jayne and Lazaros S. Iliadis}, title = {Classification of Events in Switch Machines Using Bayes, Fuzzy Logic System and Neural Network}, booktitle = {Engineering Applications of Neural Networks - 15th International Conference, {EANN} 2014, Sofia, Bulgaria, September 5-7, 2014. Proceedings}, series = {Communications in Computer and Information Science}, volume = {459}, pages = {81--91}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-11071-4\_8}, doi = {10.1007/978-3-319-11071-4\_8}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eann/AguiarNAFRFVTRV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/FerreiraSACG0T14, author = {Ricardo M. Ferreira and Ali Shahpari and Sofia B. Amado and Pedro Costa and Fernando P. Guiomar and Armando N. Pinto and Ant{\'{o}}nio L. J. Teixeira}, title = {Impact of {TWDM} on optional real-time {QPSK} {WDM} channels}, booktitle = {The European Conference on Optical Communication, {ECOC} 2014, Cannes, France, September 21-25, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ECOC.2014.6964105}, doi = {10.1109/ECOC.2014.6964105}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/FerreiraSACG0T14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/ShahpariFRVTZSG14, author = {Ali Shahpari and Ricardo Ferreira and V{\'{\i}}tor Ribeiro and Zoran Vujicic and Ana Tavares and Somayeh Ziaie and Artur Sousa and Fernando P. Guiomar and M{\'{a}}rio J. N. Lima and Armando N. Pinto and Ant{\'{o}}nio L. J. Teixeira}, title = {Free space optics hybrid {PTMP} advanced modulation bidirectional {PON}}, booktitle = {The European Conference on Optical Communication, {ECOC} 2014, Cannes, France, September 21-25, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ECOC.2014.6964074}, doi = {10.1109/ECOC.2014.6964074}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/ShahpariFRVTZSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eewc/CruzMS14, author = {Estrela Ferreira Cruz and Ricardo Jorge Machado and Maribel Yasmina Santos}, editor = {David Aveiro and Jos{\'{e}} M. Tribolet and Duarte Gouveia}, title = {From Business Process Models to Use Case Models: {A} Systematic Approach}, booktitle = {Advances in Enterprise Engineering {VIII} - 4th Enterprise Engineering Working Conference, {EEWC} 2014, Funchal, Madeira Island, Portugal, May 5-8, 2014. Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {174}, pages = {167--181}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-06505-2\_12}, doi = {10.1007/978-3-319-06505-2\_12}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eewc/CruzMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/RosaCL14, author = {Ricardo Erikson Veras De Sena Rosa and Lucas C. Cordeiro and Vicente Ferreira de Lucena Jr.}, title = {A secondary screen architecture to accurately capture viewers' interactions in an iTV environment}, booktitle = {{IEEE} 3rd Global Conference on Consumer Electronics, {GCCE} 2014, Tokyo, Japan, 7-10 October 2014}, pages = {264--265}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GCCE.2014.7031328}, doi = {10.1109/GCCE.2014.7031328}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gcce/RosaCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/CerriBFC14, author = {Ricardo Cerri and Rodrigo C. Barros and Alex Alves Freitas and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Dirk V. Arnold and Enrique Alba}, title = {Evolving relational hierarchical classification rules for predicting gene ontology-based protein functions}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} '14, Vancouver, BC, Canada, July 12-16, 2014, Companion Material Proceedings}, pages = {1279--1286}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2598394.2611384}, doi = {10.1145/2598394.2611384}, timestamp = {Wed, 13 Jul 2022 16:15:15 +0200}, biburl = {https://dblp.org/rec/conf/gecco/CerriBFC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/geoinfo/CamaraACFBOFRSF14, author = {Jean H. S. C{\^{a}}mara and Thales T. de Almeida and Denis R. Carvalho and Thiago B. Ferreira and Allan F. Balardino and Gilberto V. Oliveira and Fabio J. B. Fonseca and Ricardo S. Ramos and Wagner Dias de Souza and Jugurta Lisboa Filho}, editor = {Clodoveu A. Davis Jr. and Karine Reis Ferreira}, title = {A Comparative Analysis of Development Environments for Voluntary Geographical Information Web Systems}, booktitle = {{XV} Brazilian Symposium on Geoinformatics, Campos do Jord{\~{a}}o, S{\~{a}}o Paulo, Brazil, November 30 - December 3, 2014}, pages = {130--141}, publisher = {{MCTI/INPE}}, year = {2014}, url = {http://www.geoinfo.info/proceedings\_geoinfo2014.split/Paper20-F-p8.pdf}, timestamp = {Wed, 04 Jan 2023 10:01:20 +0100}, biburl = {https://dblp.org/rec/conf/geoinfo/CamaraACFBOFRSF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/Luis-FerreiraSJ14, author = {Fernando Luis{-}Ferreira and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Constantine Stephanidis and Margherita Antona}, title = {Framework for Adaptive Knowledge Transmission Supported by {HCI} and Interoperability Concepts}, booktitle = {Universal Access in Human-Computer Interaction. Universal Access to Information and Knowledge - 8th International Conference, {UAHCI} 2014, Held as Part of {HCI} International 2014, Heraklion, Crete, Greece, June 22-27, 2014, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8514}, pages = {370--377}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07440-5\_34}, doi = {10.1007/978-3-319-07440-5\_34}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/Luis-FerreiraSJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/CostaSFM14, author = {Nuno Manuel Silva Costa and Nuno Santos and Nuno Ferreira and Ricardo Jorge Machado}, editor = {Beniamino Murgante and Sanjay Misra and Ana Maria A. C. Rocha and Carmelo Maria Torre and Jorge Gustavo Rocha and Maria Irene Falc{\~{a}}o and David Taniar and Bernady O. Apduhan and Osvaldo Gervasi}, title = {Delivering User Stories for Implementing Logical Software Architectures by Multiple Scrum Teams}, booktitle = {Computational Science and Its Applications - {ICCSA} 2014 - 14th International Conference, Guimar{\~{a}}es, Portugal, June 30 - July 3, 2014, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8581}, pages = {747--762}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09150-1\_55}, doi = {10.1007/978-3-319-09150-1\_55}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/CostaSFM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/CruzMS14, author = {Estrela Ferreira Cruz and Ricardo J. Machado and Maribel Yasmina Santos}, editor = {Bernady O. Apduhan and Ana Maria A. C. Rocha and Sanjay Misra and David Taniar and Osvaldo Gervasi and Beniamino Murgante}, title = {On the Decomposition of Use Cases for the Refinement of Software Requirements}, booktitle = {2014 14th International Conference on Computational Science and Its Applications, Guimaraes, Portugal, June 30 - July 3, 2014}, pages = {237--240}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCSA.2014.54}, doi = {10.1109/ICCSA.2014.54}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/CruzMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/FerreiraSM14, author = {Nuno Ferreira and Nuno Santos and Ricardo J. Machado}, editor = {Bernady O. Apduhan and Ana Maria A. C. Rocha and Sanjay Misra and David Taniar and Osvaldo Gervasi and Beniamino Murgante}, title = {Modularization of Logical Software Architectures for Implementation with Multiple Teams}, booktitle = {2014 14th International Conference on Computational Science and Its Applications, Guimaraes, Portugal, June 30 - July 3, 2014}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCSA.2014.14}, doi = {10.1109/ICCSA.2014.14}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/FerreiraSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdl-epirob/MoutinhoFGBS14, author = {Nuno Moutinho and Ricardo Ferreira and Jos{\'{e}} Ant{\'{o}}nio Gaspar and Alexandre Bernardino and Jos{\'{e}} Santos{-}Victor}, title = {Markerless online stereo calibration for a humanoid robot}, booktitle = {4th International Conference on Development and Learning and on Epigenetic Robotics, {ICDL-EPIROB} 2014, Genoa, Italy, October 13-16, 2014}, pages = {454--460}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/DEVLRN.2014.6983023}, doi = {10.1109/DEVLRN.2014.6983023}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdl-epirob/MoutinhoFGBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdl-epirob/SantosFCB14, author = {Ricardo Santos and Ricardo Ferreira and {\^{A}}ngelo Cardoso and Alexandre Bernardino}, title = {Sensori-motor networks vs neural networks for visual stimulus prediction}, booktitle = {4th International Conference on Development and Learning and on Epigenetic Robotics, {ICDL-EPIROB} 2014, Genoa, Italy, October 13-16, 2014}, pages = {287--292}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/DEVLRN.2014.6982995}, doi = {10.1109/DEVLRN.2014.6982995}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdl-epirob/SantosFCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdl-epirob/VicenteFJB14, author = {Pedro Vicente and Ricardo Ferreira and Lorenzo Jamone and Alexandre Bernardino}, title = {Eye-hand online adaptation during reaching tasks in a humanoid robot}, booktitle = {4th International Conference on Development and Learning and on Epigenetic Robotics, {ICDL-EPIROB} 2014, Genoa, Italy, October 13-16, 2014}, pages = {175--180}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/DEVLRN.2014.6982978}, doi = {10.1109/DEVLRN.2014.6982978}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdl-epirob/VicenteFJB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/SilvaOAL14, author = {Nat{\'{a}}lia C. Silva and C{\'{e}}sar A. L. de Oliveira and Fabiane A. L. A. Albino and Ricardo M. F. Lima}, editor = {Slimane Hammoudi and Leszek A. Maciaszek and Jos{\'{e}} Cordeiro}, title = {Declarative Versus Imperative Business Process Languages - {A} Controlled Experiment}, booktitle = {{ICEIS} 2014 - Proceedings of the 16th International Conference on Enterprise Information Systems, Volume 3, Lisbon, Portugal, 27-30 April, 2014}, pages = {394--401}, publisher = {SciTePress}, year = {2014}, url = {https://doi.org/10.5220/0004896203940401}, doi = {10.5220/0004896203940401}, timestamp = {Wed, 12 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iceis/SilvaOAL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/FerreiraPS14, author = {Ant{\'{o}}nio Homem Ferreira and Ricardo J. F. Lopes Pereira and Fernando M. Silva}, title = {Partial Swarm Merger: Increasing BitTorrent content availability}, booktitle = {The International Conference on Information Networking 2014, {ICOIN} 2014, Phuket, Thailand, February 10-12, 2014}, pages = {550--555}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICOIN.2014.6799742}, doi = {10.1109/ICOIN.2014.6799742}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/FerreiraPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/CasauSCCS14, author = {Pedro Casau and Ricardo G. Sanfelice and Rita Cunha and David Cabecinhas and Carlos Silvestre}, title = {A hybrid feedback controller for robust global trajectory tracking of quadrotor-like vehicles with minimized attitude error}, booktitle = {2014 {IEEE} International Conference on Robotics and Automation, {ICRA} 2014, Hong Kong, China, May 31 - June 7, 2014}, pages = {6272--6277}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICRA.2014.6907784}, doi = {10.1109/ICRA.2014.6907784}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/CasauSCCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KhalilFEKM14, author = {Islam S. M. Khalil and Pedro Ferreira and Ricardo Eleuterio and Chris L. de Korte and Sarthak Misra}, title = {Magnetic-based closed-loop control of paramagnetic microparticles using ultrasound feedback}, booktitle = {2014 {IEEE} International Conference on Robotics and Automation, {ICRA} 2014, Hong Kong, China, May 31 - June 7, 2014}, pages = {3807--3812}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICRA.2014.6907411}, doi = {10.1109/ICRA.2014.6907411}, timestamp = {Fri, 15 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KhalilFEKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/0001GAZSFMT14, author = {Armando N. Pinto and Fernando P. Guiomar and Sofia B. Amado and Somayeh Ziaie and Ali Shahpari and Ricardo Ferreira and Nelson J. Muga and Ant{\'{o}}nio L. J. Teixeira}, title = {Digital equalization of optical nonlinearities in very high-speed optical communication systems}, booktitle = {16th International Conference on Transparent Optical Networks, {ICTON} 2014, Graz, Austria, July 6-10, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTON.2014.6876595}, doi = {10.1109/ICTON.2014.6876595}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icton/0001GAZSFMT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/TeixeiraSRF14, author = {Ant{\'{o}}nio L. J. Teixeira and Ali Shahpari and Jacklyn D. Reis and Ricardo Ferreira}, title = {Flexible access networks}, booktitle = {16th International Conference on Transparent Optical Networks, {ICTON} 2014, Graz, Austria, July 6-10, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTON.2014.6876382}, doi = {10.1109/ICTON.2014.6876382}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icton/TeixeiraSRF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/LuisSQPS14, author = {Ricardo Lu{\'{\i}}s and J. Fernando A. da Silva and Jos{\'{e}} Carlos Quadrado and S{\'{o}}nia Ferreira Pinto and Duarte de Mesquita e Sousa}, editor = {Luis M. Camarinha{-}Matos and Nuno S. Barrento and Ricardo Mendon{\c{c}}a}, title = {Bidirectional {DC-DC} Converter Using Modular Marx Power Switches and Series/Parallel Inductor for High-Voltage Applications}, booktitle = {Technological Innovation for Collective Awareness Systems - 5th {IFIP} {WG} 5.5/SOCOLNET Doctoral Conference on Computing, Electrical and Industrial Systems, DoCEIS 2014, Costa de Caparica, Portugal, April 7-9, 2014. Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {423}, pages = {478--485}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-642-54734-8\_53}, doi = {10.1007/978-3-642-54734-8\_53}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/LuisSQPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/SantannaRK14, author = {Joao Ferreira Santanna and Ricardo J. Rabelo and Alexandra A. Pereira Klen}, editor = {Bernard Grabot and Bruno Vallespir and Samuel Gomes and Abdelaziz Bouras and Dimitris Kiritsis}, title = {Enacting Innovation within Collaborative-Driven {SOA} Providers Networks}, booktitle = {Advances in Production Management Systems. Innovative and Knowledge-Based Production Management in a Global-Local World - {IFIP} {WG} 5.7 International Conference, {APMS} 2014, Ajaccio, France, September 20-24, 2014, Proceedings, Part {III}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {440}, pages = {399--408}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44733-8\_50}, doi = {10.1007/978-3-662-44733-8\_50}, timestamp = {Sun, 23 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifip5-7/SantannaRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/BrandaoFHST14, author = {Martim Brandao and Ricardo Ferreira and Kenji Hashimoto and Jos{\'{e}} Santos{-}Victor and Atsuo Takanishi}, title = {On the formulation, performance and design choices of Cost-Curve Occupancy Grids for stereo-vision based 3D reconstruction}, booktitle = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, pages = {1818--1823}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IROS.2014.6942801}, doi = {10.1109/IROS.2014.6942801}, timestamp = {Tue, 05 Sep 2023 15:07:47 +0200}, biburl = {https://dblp.org/rec/conf/iros/BrandaoFHST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/MartinsFD14, author = {Ricardo Martins and Jo{\~{a}}o Filipe Ferreira and Jorge Dias}, title = {Touch attention Bayesian models for robotic active haptic exploration of heterogeneous surfaces}, booktitle = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, pages = {1208--1215}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IROS.2014.6942711}, doi = {10.1109/IROS.2014.6942711}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/MartinsFD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PovoaLLCMH14, author = {Ricardo Povoa and Ricardo Lourenco and Nuno Louren{\c{c}}o and Ant{\'{o}}nio Canelas and Ricardo Martins and Nuno Horta}, title = {{LC-VCO} automatic synthesis using multi-objective evolutionary techniques}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {293--296}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865123}, doi = {10.1109/ISCAS.2014.6865123}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PovoaLLCMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isdevel/SousaMM14, author = {Jos{\'{e}} L. R. Sousa and Jos{\'{e}} F. F. Mendes and Ricardo J. Machado}, editor = {Vjeran Strahonja and Neven Vrcek and Dijana Plantak Vukovac and Chris Barry and Michael Lang and Henry Linger and Christoph Schneider}, title = {An Emergent-Based Approach for Deriving Business/IT Alignment Models and Measures through {IS} Enactment}, booktitle = {Information Systems Development: Transforming Organisations and Society through Information Systems - Proceedings of the 23rd International Conference on Information Systems Development, {ISD} 2014, Vara{\v{z}}din, Croatia, September 2-4, 2014}, publisher = {Association for Information Systems}, year = {2014}, url = {http://aisel.aisnet.org/isd2014/proceedings/ReshapingOrganisations/1}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isdevel/SousaMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispe/GhimireLJN14, author = {Sudeep Ghimire and Fernando Luis{-}Ferreira and Ricardo Jardim{-}Gon{\c{c}}alves and Tahereh Nodehi}, editor = {Jianzhong Cha and Shuo{-}Yan Chou and Josip Stjepandic and Richard Curran and Wensheng Xu}, title = {Towards Self-evolutionary Cyber Physical Systems}, booktitle = {Moving Integrated Product Development to Service Clouds in the Global Economy - Proceedings of the 21st {ISPE} Inc. International Conference on Concurrent Engineering, Beijing Jiaotong University, China, September 8-11, 2014}, series = {Advances in Transdisciplinary Engineering}, volume = {1}, pages = {547--554}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-440-4-547}, doi = {10.3233/978-1-61499-440-4-547}, timestamp = {Fri, 22 Sep 2023 11:29:30 +0200}, biburl = {https://dblp.org/rec/conf/ispe/GhimireLJN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispe/Luis-FerreiraGJ14, author = {Fernando Luis{-}Ferreira and Sudeep Ghimire and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Jianzhong Cha and Shuo{-}Yan Chou and Josip Stjepandic and Richard Curran and Wensheng Xu}, title = {Internet of Things for eHealth in a Physiologic and Sensorial Perspective Supported by the Cloud}, booktitle = {Moving Integrated Product Development to Service Clouds in the Global Economy - Proceedings of the 21st {ISPE} Inc. International Conference on Concurrent Engineering, Beijing Jiaotong University, China, September 8-11, 2014}, series = {Advances in Transdisciplinary Engineering}, volume = {1}, pages = {790--795}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-440-4-790}, doi = {10.3233/978-1-61499-440-4-790}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispe/Luis-FerreiraGJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispe/RaposoAFJ14, author = {Carlos Raposo and Carlos Agostinho and Jos{\'{e}} Ferreira and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Jianzhong Cha and Shuo{-}Yan Chou and Josip Stjepandic and Richard Curran and Wensheng Xu}, title = {Automatic Detection of Harmonization Breaking in SOA-based Enterprise Networks}, booktitle = {Moving Integrated Product Development to Service Clouds in the Global Economy - Proceedings of the 21st {ISPE} Inc. International Conference on Concurrent Engineering, Beijing Jiaotong University, China, September 8-11, 2014}, series = {Advances in Transdisciplinary Engineering}, volume = {1}, pages = {726--735}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-440-4-726}, doi = {10.3233/978-1-61499-440-4-726}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispe/RaposoAFJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/FerreiraDCdFSG14, author = {Michel Ferreira and Lu{\'{\i}}s Damas and Hugo Concei{\c{c}}{\~{a}}o and Pedro M. d'Orey and Ricardo Fernandes and Peter Steenkiste and Pedro Gomes}, title = {Self-automated parking lots for autonomous vehicles based on vehicular ad hoc networking}, booktitle = {2014 {IEEE} Intelligent Vehicles Symposium Proceedings, Dearborn, MI, USA, June 8-11, 2014}, pages = {472--479}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IVS.2014.6856561}, doi = {10.1109/IVS.2014.6856561}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ivs/FerreiraDCdFSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwaal/CostaMFPSN14, author = {{\^{A}}ngelo Costa and Paula Magalh{\~{a}}es and Jose Ferreira{-}Alves and Tito Peixoto and Ricardo Sim{\~{o}}es and Paulo Novais}, editor = {Leandro Pecchia and Liming Luke Chen and Chris D. Nugent and Jos{\'{e}} Bravo}, title = {The Caregiver Perspective: An Assistive {AAL} Platform}, booktitle = {Ambient Assisted Living and Daily Activities - 6th International Work-Conference, {IWAAL} 2014, Belfast, UK, December 2-5, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8868}, pages = {304--311}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13105-4\_44}, doi = {10.1007/978-3-319-13105-4\_44}, timestamp = {Tue, 21 Mar 2023 21:00:58 +0100}, biburl = {https://dblp.org/rec/conf/iwaal/CostaMFPSN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/FerreiraVB14, author = {Filipe Ferreira and Ricardo Vieira and Jos{\'{e}} Borbinha}, title = {The value of risk management for data management in science and engineering}, booktitle = {{IEEE/ACM} Joint Conference on Digital Libraries, {JCDL} 2014, London, United Kingdom, September 8-12, 2014}, pages = {439--440}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/JCDL.2014.6970214}, doi = {10.1109/JCDL.2014.6970214}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcdl/FerreiraVB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwcn/TrindadePV14, author = {Jo{\~{a}}o Trindade and Ricardo J. F. Lopes Pereira and Teresa Maria Vaz{\~{a}}o}, title = {Scalability of bloom filter based routing for large scale mobile networks}, booktitle = {7th {IFIP} Wireless and Mobile Networking Conference, {WMNC} 2014, Vilamoura, Portugal, May 20-22, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/WMNC.2014.6878852}, doi = {10.1109/WMNC.2014.6878852}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwcn/TrindadePV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ReisSFNLT14, author = {Jacklyn D. Reis and Ali Shahpari and Ricardo Ferreira and Darlene M. Neves and M{\'{a}}rio J. N. Lima and Ant{\'{o}}nio L. J. Teixeira}, title = {Nyquist signaling for spectrally-efficient optical access networks}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.W3G.3}, doi = {10.1364/OFC.2014.W3G.3}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ReisSFNLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ReisSFNLT14a, author = {Jacklyn D. Reis and Ali Shahpari and Ricardo Ferreira and Darlene M. Neves and M{\'{a}}rio J. N. Lima and Ant{\'{o}}nio L. J. Teixeira}, title = {Dowstream and upstream Nyquist band optimization for heterodyne coherent {PON}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.W2A.25}, doi = {10.1364/OFC.2014.W2A.25}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ReisSFNLT14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/quatic/CruzMS14, author = {Estrela Ferreira Cruz and Ricardo J. Machado and Maribel Yasmina Santos}, title = {Derivation of Data-Driven Software Models from Business Process Representations}, booktitle = {9th International Conference on the Quality of Information and Communications Technology, {QUATIC} 2014, Guimaraes, Portugal, September 23-26, 2014}, pages = {276--281}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/QUATIC.2014.44}, doi = {10.1109/QUATIC.2014.44}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/quatic/CruzMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/FerreiraDPQCW14, author = {Ricardo S. Ferreira and Waldir Denver and Monica Magalh{\~{a}}es Pereira and Jorge Quadros and Luigi Carro and Stephan Wong}, title = {A run-time modulo scheduling by using a binary translation mechanism}, booktitle = {XIVth International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2014, Agios Konstantinos, Samos, Greece, July 14-17, 2014}, pages = {75--82}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SAMOS.2014.6893197}, doi = {10.1109/SAMOS.2014.6893197}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/FerreiraDPQCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/Garibay-MartinezNFP14, author = {Ricardo Garibay{-}Mart{\'{\i}}nez and Geoffrey Nelissen and Luis Lino Ferreira and Lu{\'{\i}}s Miguel Pinho}, title = {On the scheduling of fork-join parallel/distributed real-time tasks}, booktitle = {Proceedings of the 9th {IEEE} International Symposium on Industrial Embedded Systems, {SIES} 2014, Pisa, Italy, June 18-20, 2014}, pages = {31--40}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SIES.2014.6871184}, doi = {10.1109/SIES.2014.6871184}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sies/Garibay-MartinezNFP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/BessaniMONCPV14, author = {Alysson Neves Bessani and Ricardo Mendes and Tiago Oliveira and Nuno Ferreira Neves and Miguel Correia and Marcelo Pasin and Paulo Ver{\'{\i}}ssimo}, editor = {Garth Gibson and Nickolai Zeldovich}, title = {{SCFS:} {A} Shared Cloud-backed File System}, booktitle = {Proceedings of the 2014 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2014, Philadelphia, PA, USA, June 19-20, 2014}, pages = {169--180}, publisher = {{USENIX} Association}, year = {2014}, url = {https://www.usenix.org/conference/atc14/technical-sessions/presentation/bessani}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/BessaniMONCPV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/aws14/FerreiraSMFG14, author = {Nuno Ferreira and Nuno Santos and Ricardo Jorge Machado and Jos{\'{e}} Eduardo Fernandes and Dragan Gasevic}, editor = {Athman Bouguettaya and Quan Z. Sheng and Florian Daniel}, title = {A V-Model Approach for Business Process Requirements Elicitation in Cloud Design}, booktitle = {Advanced Web Services}, pages = {551--578}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-1-4614-7535-4\_23}, doi = {10.1007/978-1-4614-7535-4\_23}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/aws14/FerreiraSMFG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MartinsFD14, author = {Ricardo Martins and Jo{\~{a}}o Filipe Ferreira and Jorge Dias}, title = {Touch attention Bayesian models for robotic active haptic exploration of heterogeneous surfaces}, journal = {CoRR}, volume = {abs/1409.6226}, year = {2014}, url = {http://arxiv.org/abs/1409.6226}, eprinttype = {arXiv}, eprint = {1409.6226}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MartinsFD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adb/RueschFB13, author = {Jonas Ruesch and Ricardo Ferreira and Alexandre Bernardino}, title = {A computational approach on the co-development of artificial visual sensorimotor}, journal = {Adapt. Behav.}, volume = {21}, number = {6}, pages = {452--464}, year = {2013}, url = {https://doi.org/10.1177/1059712313492176}, doi = {10.1177/1059712313492176}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/adb/RueschFB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LibinBDIFLTCCLTAWSRBLMWZKAPOAGSOPBCV13, author = {Pieter Libin and Gertjan Beheydt and Koen Deforche and Stijn Imbrechts and Fossie Ferreira and Kristel Van Laethem and Kristof Theys and Ana Patricia Carvalho and Joana Cavaco{-}Silva and Giuseppe Lapadula and Carlo Torti and Matthias Assel and Stefan Wesner and Joke Snoeck and Jean Ruelle and Annelies De Bel and Patrick Lacor and Paul De Munter and Eric Van Wijngaerden and Maurizio Zazzi and Rolf Kaiser and Ahidjo Ayouba and Martine Peeters and Tulio de Oliveira and Luiz Carlos J{\'{u}}nior Alc{\^{a}}ntara and Zehava Grossman and Peter M. A. Sloot and Dan Otelea and Simona Paraschiv and Charles A. Boucher and Ricardo Camacho and Anne{-}Mieke Vandamme}, title = {RegaDB: community-driven data management and analysis for infectious diseases}, journal = {Bioinform.}, volume = {29}, number = {11}, pages = {1477--1480}, year = {2013}, url = {https://doi.org/10.1093/bioinformatics/btt162}, doi = {10.1093/BIOINFORMATICS/BTT162}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/LibinBDIFLTCCLTAWSRBLMWZKAPOAGSOPBCV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/OliveiraSSL13, author = {C{\'{e}}sar A. L. de Oliveira and Nat{\'{a}}lia Cabral Silva and Cec{\'{\i}}lia Leite Sabat and Ricardo Massa Ferreira Lima}, title = {Reducing the Gap Between Business and Information Systems Through Complex Event Processing}, journal = {Comput. Informatics}, volume = {32}, number = {2}, pages = {225--250}, year = {2013}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/1619}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cai/OliveiraSSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/datamine/NaldiCC13, author = {Murilo Coelho Naldi and Andr{\'{e}} C. P. L. F. de Carvalho and Ricardo J. G. B. Campello}, title = {Cluster ensemble selection based on relative validity indexes}, journal = {Data Min. Knowl. Discov.}, volume = {27}, number = {2}, pages = {259--289}, year = {2013}, url = {https://doi.org/10.1007/s10618-012-0290-x}, doi = {10.1007/S10618-012-0290-X}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/datamine/NaldiCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/ReisNS13, author = {Daniel Morais dos Reis and Thiago F. Noronha and S{\'{e}}rgio Ricardo de Souza}, title = {Iterated Local Search for Fiber Installation in Optical Network Optimization}, journal = {Electron. Notes Discret. Math.}, volume = {41}, pages = {277--284}, year = {2013}, url = {https://doi.org/10.1016/j.endm.2013.05.103}, doi = {10.1016/J.ENDM.2013.05.103}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/ReisNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/CretanSCJG13, author = {Adina Cretan and Catarina Ferreira Da Silva and Carlos Coutinho and Ricardo Jardim{-}Gon{\c{c}}alves and Parisa Ghodous}, title = {Framework for Ontology-Based Negotiation To Support Enterprise Interoperability in Cloud-Based Environments}, journal = {Int. J. Electron. Bus. Manag.}, volume = {11}, number = {3}, year = {2013}, url = {http://ijebm-ojs.ie.nthu.edu.tw/IJEBM\_OJS/index.php/IJEBM/article/view/624}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijebm/CretanSCJG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijseke/RebeloLKRCCSM13, author = {Henrique Reb{\^{e}}lo and Ricardo Massa Ferreira Lima and Uir{\'{a}} Kulesza and M{\'{a}}rcio Ribeiro and Yuanfang Cai and Roberta Coelho and Cl{\'{a}}udio Sant'Anna and Alexandre Mota}, title = {Quantifying the effects of Aspectual Decompositions on Design by Contract Modularization: a Maintenance Study}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {23}, number = {7}, pages = {913--942}, year = {2013}, url = {https://doi.org/10.1142/S0218194013500265}, doi = {10.1142/S0218194013500265}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijseke/RebeloLKRCCSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/AraujoF13, author = {Ricardo de A. Ara{\'{u}}jo and Tiago A. E. Ferreira}, title = {A Morphological-Rank-Linear evolutionary method for stock market prediction}, journal = {Inf. Sci.}, volume = {237}, pages = {3--17}, year = {2013}, url = {https://doi.org/10.1016/j.ins.2009.07.007}, doi = {10.1016/J.INS.2009.07.007}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/AraujoF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/FerreiraFS13, author = {Ricardo J. Ferreira and Maria{-}Jos{\'{e}} U. Ferreira and Daniel J. V. A. dos Santos}, title = {Molecular Docking Characterizes Substrate-Binding Sites and Efflux Modulation Mechanisms within P-Glycoprotein}, journal = {J. Chem. Inf. Model.}, volume = {53}, number = {7}, pages = {1747--1760}, year = {2013}, url = {https://doi.org/10.1021/ci400195v}, doi = {10.1021/CI400195V}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/FerreiraFS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/FerreiraXCB13, author = {Ricardo Ferreira and Jo{\~{a}}o Manuel Freitas Xavier and Jo{\~{a}}o Paulo Costeira and Victor A. N. Barroso}, title = {Newton Algorithms for Riemannian Distance Related Problems on Connected Locally Symmetric Manifolds}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {7}, number = {4}, pages = {634--645}, year = {2013}, url = {https://doi.org/10.1109/JSTSP.2013.2261799}, doi = {10.1109/JSTSP.2013.2261799}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/FerreiraXCB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ker/CheeinPSC13, author = {Fernando Alfredo Auat Chee{\'{\i}}n and Fernando M. Lobo Pereira and Fernando di Sciascio and Ricardo O. Carelli}, title = {Autonomous Simultaneous Localization and Mapping driven by Monte Carlo uncertainty maps-based navigation}, journal = {Knowl. Eng. Rev.}, volume = {28}, number = {1}, pages = {35--57}, year = {2013}, url = {https://doi.org/10.1017/S0269888912000276}, doi = {10.1017/S0269888912000276}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ker/CheeinPSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/FigueirasOLCHBLFM13, author = {Edite Figueiras and Ricardo Oliveira and C{\'{a}}tia F. Louren{\c{c}}o and Rita Campos and Anne Humeau{-}Heurtier and Rui M. Barbosa and Jo{\~{a}}o Laranjinha and Luis F. Requicha Ferreira and Frits F. M. de Mul}, title = {Self-mixing microprobe for monitoring microvascular perfusion in rat brain}, journal = {Medical Biol. Eng. Comput.}, volume = {51}, number = {1-2}, pages = {103--112}, year = {2013}, url = {https://doi.org/10.1007/s11517-012-0973-x}, doi = {10.1007/S11517-012-0973-X}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/FigueirasOLCHBLFM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/Cruz-CorreiaBLSRFF13, author = {Ricardo Cruz{-}Correia and Isabel Boldt and Lu{\'{\i}}s Velez Lap{\~{a}}o and C{\'{a}}tia Santos{-}Pereira and Pedro Pereira Rodrigues and Ana Margarida Ferreira and Alberto Freitas}, title = {Analysis of the quality of hospital information systems audit trails}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {13}, pages = {84}, year = {2013}, url = {https://doi.org/10.1186/1472-6947-13-84}, doi = {10.1186/1472-6947-13-84}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/Cruz-CorreiaBLSRFF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scp/RebeloLLCMO13, author = {Henrique Reb{\^{e}}lo and Ricardo Massa Ferreira Lima and Gary T. Leavens and M{\'{a}}rcio Corn{\'{e}}lio and Alexandre Mota and C{\'{e}}sar A. L. de Oliveira}, title = {Optimizing generated aspect-oriented assertion checking code for {JML} using program transformations: An empirical study}, journal = {Sci. Comput. Program.}, volume = {78}, number = {8}, pages = {1137--1156}, year = {2013}, url = {https://doi.org/10.1016/j.scico.2012.09.003}, doi = {10.1016/J.SCICO.2012.09.003}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scp/RebeloLLCMO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AriasMMCFF13, author = {Sergio Iv{\'{a}}n Ravelo Arias and Diego Ram{\'{\i}}rez Mu{\~{n}}oz and Jaime S{\'{a}}nchez Moreno and Susana Cardoso and Ricardo Ferreira and Paulo Jorge Peixeiro de Freitas}, title = {Fractional Modeling of the {AC} Large-Signal Frequency Response in Magnetoresistive Current Sensors}, journal = {Sensors}, volume = {13}, number = {12}, pages = {17516--17533}, year = {2013}, url = {https://doi.org/10.3390/s131217516}, doi = {10.3390/S131217516}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AriasMMCFF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MartinsLH13, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta}, title = {{LAYGEN} {II} - Automatic Layout Generation of Analog Integrated Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {11}, pages = {1641--1654}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2013.2269050}, doi = {10.1109/TCAD.2013.2269050}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MartinsLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/RosaLCF13, author = {Ricardo Erikson Veras De Sena Rosa and Vicente Ferreira de Lucena Jr. and Lucas C. Cordeiro and Joao Edgar Chaves Filho}, title = {Dynamic and automated product derivation for consumer electronics software applications}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {59}, number = {4}, pages = {883--891}, year = {2013}, url = {https://doi.org/10.1109/TCE.2013.6689703}, doi = {10.1109/TCE.2013.6689703}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/RosaLCF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/AlbuquerqueMLM13, author = {Gabriel Alves de Albuquerque and Paulo Romero Martins Maciel and Ricardo Massa Ferreira Lima and F{\'{a}}bio S. Magnani}, title = {Strategic and Tactical Evaluation of Conflicting Environment and Business Goals in Green Supply Chains}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {43}, number = {5}, pages = {1013--1027}, year = {2013}, url = {https://doi.org/10.1109/TSMCA.2012.2227311}, doi = {10.1109/TSMCA.2012.2227311}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/AlbuquerqueMLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/CasauSCCS13, author = {Pedro Casau and Ricardo G. Sanfelice and Rita Cunha and David Cabecinhas and Carlos Silvestre}, title = {Global trajectory tracking for a class of underactuated vehicles}, booktitle = {American Control Conference, {ACC} 2013, Washington, DC, USA, June 17-19, 2013}, pages = {419--424}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACC.2013.6579873}, doi = {10.1109/ACC.2013.6579873}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/CasauSCCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bracis/CerriBC13, author = {Ricardo Cerri and Rodrigo Coelho Barros and Andr{\'{e}} C. P. L. F. de Carvalho}, title = {Neural Networks for Hierarchical Classification of G-Protein Coupled Receptors}, booktitle = {Brazilian Conference on Intelligent Systems, {BRACIS} 2013, Fortaleza, CE, Brazil, 19-24 October, 2013}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BRACIS.2013.29}, doi = {10.1109/BRACIS.2013.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bracis/CerriBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/CerriBCF13, author = {Ricardo Cerri and Rodrigo C. Barros and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho and Alex Alves Freitas}, title = {A grammatical evolution algorithm for generation of Hierarchical Multi-Label Classification rules}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2013, Cancun, Mexico, June 20-23, 2013}, pages = {454--461}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CEC.2013.6557604}, doi = {10.1109/CEC.2013.6557604}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cec/CerriBCF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/MartinsCLCH13, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Ant{\'{o}}nio Canelas and Nuno Horta}, title = {Multi-port multi-terminal analog router based on an evolutionary optimization kernel}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2013, Cancun, Mexico, June 20-23, 2013}, pages = {2789--2796}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CEC.2013.6557907}, doi = {10.1109/CEC.2013.6557907}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/MartinsCLCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/RochaLPMH13, author = {Frederico Rocha and Nuno Louren{\c{c}}o and Ricardo Povoa and Ricardo Martins and Nuno Horta}, title = {A new metaheuristc combining gradient models with {NSGA-II} to enhance analog {IC} synthesis}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2013, Cancun, Mexico, June 20-23, 2013}, pages = {2781--2788}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CEC.2013.6557906}, doi = {10.1109/CEC.2013.6557906}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/RochaLPMH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsai/PereiraFBSN13, author = {Let{\'{\i}}cia Seixas Pereira and Simone Bacellar Leal Ferreira and H{\'{e}}lio da Silva Braga and Luciana Cardoso de Castro Salgado and Ricardo Rodrigues Nunes}, editor = {Manuel P{\'{e}}rez Cota and Jo{\~{a}}o Barroso and Simone Bacellar Leal Ferreira and Benjamim Fonseca and Tassos A. Mikropoulos and Hugo Paredes}, title = {Using Cultural Viewpoint Metaphors to Provide Web Accessibility for the Visually Impaired Users}, booktitle = {Proceedings of the 5th International Conference on Software Development for Enhancing Accessibility and Fighting Info-exclusion, {DSAI} 2013, University of Vigo, Spain, November 13-15, 2013}, series = {Procedia Computer Science}, volume = {27}, pages = {186--196}, publisher = {Elsevier}, year = {2013}, url = {https://doi.org/10.1016/j.procs.2014.02.022}, doi = {10.1016/J.PROCS.2014.02.022}, timestamp = {Thu, 03 Mar 2022 11:04:49 +0100}, biburl = {https://dblp.org/rec/conf/dsai/PereiraFBSN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecmr/JimenezGFBGA13, author = {Agustin Alberto Ortega Jimenez and Ricardo Galego and Ricardo Ferreira and Alexandre Bernardino and Jos{\'{e}} Ant{\'{o}}nio Gaspar and Juan Andrade{-}Cetto}, title = {Estimation of camera calibration uncertainty using {LIDAR} data}, booktitle = {2013 European Conference on Mobile Robots, Barcelona, Catalonia, Spain, September 25-27, 2013}, pages = {361--366}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ECMR.2013.6698868}, doi = {10.1109/ECMR.2013.6698868}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecmr/JimenezGFBGA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edoc/NardiFAGPSG13, author = {Julio Cesar Nardi and Ricardo de Almeida Falbo and Jo{\~{a}}o Paulo A. Almeida and Giancarlo Guizzardi and Lu{\'{\i}}s Ferreira Pires and Marten van Sinderen and Nicola Guarino}, editor = {Dragan Gasevic and Marek Hatala and Hamid R. Motahari Nezhad and Manfred Reichert}, title = {Towards a Commitment-Based Reference Ontology for Services}, booktitle = {17th {IEEE} International Enterprise Distributed Object Computing Conference, {EDOC} 2013, Vancouver, BC, Canada, September 9-13, 2013}, pages = {175--184}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/EDOC.2013.28}, doi = {10.1109/EDOC.2013.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edoc/NardiFAGPSG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edoc/SouzaFV13, author = {{\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Nandamudi L. Vijaykumar}, editor = {Ebrahim Bagheri and Dragan Gasevic and Sylvain Hall{\'{e}} and Marek Hatala and Hamid R. Motahari Nezhad and Manfred Reichert}, title = {Using Ontology Patterns for Building a Reference Software Testing Ontology}, booktitle = {17th {IEEE} International Enterprise Distributed Object Computing Conference Workshops, {EDOC} Workshops, Vancouver, BC, Canada, September 9-13, 2013}, pages = {21--30}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/EDOCW.2013.10}, doi = {10.1109/EDOCW.2013.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edoc/SouzaFV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/foal/RebeloLLBR13, author = {Henrique Reb{\^{e}}lo and Gary T. Leavens and Ricardo Massa Ferreira Lima and Paulo Borba and M{\'{a}}rcio Ribeiro}, editor = {Erik Ernst}, title = {Modular aspect-oriented design rule enforcement with XPIDRs}, booktitle = {Proceedings of the 12th Workshop on Foundations of Aspect-Oriented Languages, {FOAL} 2013, March 26, 2013, Fukuoka, Japan}, pages = {13--18}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2451598.2451603}, doi = {10.1145/2451598.2451603}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/foal/RebeloLLBR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/FerreiraRSNWC13, author = {Ricardo S. Ferreira and Luciana Rocha and Andr{\'{e}} G. Santos and Jos{\'{e}} Augusto Miranda Nacif and Stephan Wong and Luigi Carro}, title = {A run-time graph-based Polynomial Placement and routing algorithm for virtual {FPGAS}}, booktitle = {23rd International Conference on Field programmable Logic and Applications, {FPL} 2013, Porto, Portugal, September 2-4, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPL.2013.6645514}, doi = {10.1109/FPL.2013.6645514}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/FerreiraRSNWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/BarrosBCSC13, author = {Rodrigo C. Barros and M{\'{a}}rcio P. Basgalupp and Ricardo Cerri and Tiago Silva da Silva and Andr{\'{e}} C. P. L. F. de Carvalho}, editor = {Christian Blum and Enrique Alba}, title = {A grammatical evolution approach for software effort estimation}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} '13, Amsterdam, The Netherlands, July 6-10, 2013}, pages = {1413--1420}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463372.2463546}, doi = {10.1145/2463372.2463546}, timestamp = {Wed, 13 Jul 2022 16:15:15 +0200}, biburl = {https://dblp.org/rec/conf/gecco/BarrosBCSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/CunhaTSFSFS13, author = {David Cunha and Gabriela Trevisan and Florbela Samagaio and Liliana Ferreira and Filipe Sousa and Jose Ferreira{-}Alves and Ricardo Sim{\~{o}}es}, title = {Ambient Assisted Living Technology: Comparative perspectives of users and caregivers}, booktitle = {{IEEE} 15th International Conference on e-Health Networking, Applications and Services, Healthcom 2013, Lisbon, Portugal, October 9-12, 2013}, pages = {41--45}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/HealthCom.2013.6720635}, doi = {10.1109/HEALTHCOM.2013.6720635}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/healthcom/CunhaTSFSFS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibpria/GalegoFBGG13, author = {Ricardo Galego and Ricardo Ferreira and Alexandre Bernardino and Etienne Grossmann and Jos{\'{e}} Ant{\'{o}}nio Gaspar}, editor = {Jo{\~{a}}o M. Sanches and Luisa Mic{\'{o}} and Jaime S. Cardoso}, title = {Topological Auto-Calibration of Central Imaging Sensors}, booktitle = {Pattern Recognition and Image Analysis - 6th Iberian Conference, IbPRIA 2013, Funchal, Madeira, Portugal, June 5-7, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7887}, pages = {476--483}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-38628-2\_56}, doi = {10.1007/978-3-642-38628-2\_56}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ibpria/GalegoFBGG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/FerreiraFSCRPO13, author = {Ana Ferreira and Pedro Farinha and C{\'{a}}tia Santos{-}Pereira and Ricardo Jo{\~{a}}o Cruz Correia and Pedro Pereira Rodrigues and Altamiro da Costa Pereira and Ver{\'{o}}nica Orvalho}, editor = {Slimane Hammoudi and Leszek A. Maciaszek and Jos{\'{e}} Cordeiro and Jan L. G. Dietz}, title = {Log Analysis of Human Computer Interactions Regarding Break The Glass Accesses to Genetic Reports}, booktitle = {{ICEIS} 2013 - Proceedings of the 15th International Conference on Enterprise Information Systems, Volume 3, Angers, France, 4-7 July, 2013}, pages = {46--53}, publisher = {SciTePress}, year = {2013}, url = {https://doi.org/10.5220/0004419200460053}, doi = {10.5220/0004419200460053}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceis/FerreiraFSCRPO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsoc/SilvaCOL13, author = {Nat{\'{a}}lia Cabral Silva and Renata Medeiros de Carvalho and C{\'{e}}sar Augusto Lins de Oliveira and Ricardo Massa Ferreira Lima}, editor = {Samik Basu and Cesare Pautasso and Liang Zhang and Xiang Fu}, title = {REFlex: An Efficient Web Service Orchestrator for Declarative Business Processes}, booktitle = {Service-Oriented Computing - 11th International Conference, {ICSOC} 2013, Berlin, Germany, December 2-5, 2013, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8274}, pages = {222--236}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-45005-1\_16}, doi = {10.1007/978-3-642-45005-1\_16}, timestamp = {Tue, 09 May 2023 12:51:34 +0200}, biburl = {https://dblp.org/rec/conf/icsoc/SilvaCOL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/Luis-FerreiraJ13, author = {Fernando Luis{-}Ferreira and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Luis M. Camarinha{-}Matos and Slavisa Tomic and Paula Gra{\c{c}}a}, title = {Modelling of Things on the Internet for the Search by the Human Brain}, booktitle = {Technological Innovation for the Internet of Things - 4th {IFIP} {WG} 5.5/SOCOLNET Doctoral Conference on Computing, Electrical and Industrial Systems, DoCEIS 2013, Costa de Caparica, Portugal, April 15-17, 2013. Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {394}, pages = {71--79}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-37291-9\_8}, doi = {10.1007/978-3-642-37291-9\_8}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/Luis-FerreiraJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/RochaMLH13, author = {Frederico Rocha and Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta}, editor = {Luis M. Camarinha{-}Matos and Slavisa Tomic and Paula Gra{\c{c}}a}, title = {Enhancing a Layout-Aware Synthesis Methodology for Analog ICs by Embedding Statistical Knowledge into the Evolutionary Optimization Kernel}, booktitle = {Technological Innovation for the Internet of Things - 4th {IFIP} {WG} 5.5/SOCOLNET Doctoral Conference on Computing, Electrical and Industrial Systems, DoCEIS 2013, Costa de Caparica, Portugal, April 15-17, 2013. Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {394}, pages = {531--538}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-37291-9\_57}, doi = {10.1007/978-3-642-37291-9\_57}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/RochaMLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip8-1/FerreiraSSMG13, author = {Nuno Ferreira and Nuno Santos and Pedro Soares and Ricardo Jorge Machado and Dragan Gasevic}, editor = {Janis Grabis and Marite Kirikova and Jelena Zdravkovic and Janis Stirna}, title = {A Demonstration Case on Steps and Rules for the Transition from Process-Level to Software Logical Architectures in Enterprise Models}, booktitle = {The Practice of Enterprise Modeling - 6th {IFIP} {WG} 8.1 Working Conference, PoEM 2013, Riga, Latvia, November 6-7, 2013, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {165}, pages = {277--291}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-41641-5\_20}, doi = {10.1007/978-3-642-41641-5\_20}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip8-1/FerreiraSSMG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/Moreira-MatiasFGFMD13, author = {Lu{\'{\i}}s Moreira{-}Matias and Ricardo Fernandes and Jo{\~{a}}o Gama and Michel Ferreira and Jo{\~{a}}o Mendes{-}Moreira and Lu{\'{\i}}s Damas}, editor = {Jo{\~{a}}o Gama and Michael May and Nuno Cavalheiro Marques and Paulo Cortez and Carlos Abreu Ferreira}, title = {On Recommending Urban Hotspots to Find Our Next Passenger}, booktitle = {Proceedings of the 3rd Workshop on Ubiquitous Data Mining co-located with the 23rd International Joint Conference on Artificial Intelligence {(IJCAI} 2013), Beijing, China, August 3, 2013}, series = {{CEUR} Workshop Proceedings}, volume = {1088}, pages = {17}, publisher = {CEUR-WS.org}, year = {2013}, url = {https://ceur-ws.org/Vol-1088/paper3.pdf}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/Moreira-MatiasFGFMD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/SeabraSFMVMC13, author = {Eurico Seabra and Lu{\'{\i}}s Ferreira da Silva and Paulo Flores and Jos{\'{e}} Machado and Mai Hung Vu and Maria M. Martins and Ricardo Campos}, title = {Mechatronic medical device for wrist rehabilitation}, booktitle = {11th {IEEE} International Conference on Industrial Informatics, {INDIN} 2013, Bochum, Germany, July 29-31, 2013}, pages = {331--336}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/INDIN.2013.6622905}, doi = {10.1109/INDIN.2013.6622905}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/SeabraSFMVMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/BrandaoFHST13, author = {Martim Brandao and Ricardo Ferreira and Kenji Hashimoto and Jos{\'{e}} Santos{-}Victor and Atsuo Takanishi}, title = {Integrating the whole cost-curve of stereo into occupancy grids}, booktitle = {2013 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2013, Tokyo, Japan, November 3-7, 2013}, pages = {4681--4686}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IROS.2013.6697030}, doi = {10.1109/IROS.2013.6697030}, timestamp = {Tue, 05 Sep 2023 15:06:24 +0200}, biburl = {https://dblp.org/rec/conf/iros/BrandaoFHST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/GodoiTCGFFF13, author = {Thiago A. Godoi and Ricardo da Silva Torres and Ariadne Maria Brito Rizzoni Carvalho and Marcos Andr{\'{e}} Gon{\c{c}}alves and Anderson A. Ferreira and Weiguo Fan and Edward A. Fox}, editor = {J. Stephen Downie and Robert H. McDonald and Timothy W. Cole and Robert Sanderson and Frank Shipman}, title = {A relevance feedback approach for the author name disambiguation problem}, booktitle = {13th {ACM/IEEE-CS} Joint Conference on Digital Libraries, {JCDL} '13, Indianapolis, IN, USA, July 22 - 26, 2013}, pages = {209--218}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2467696.2467709}, doi = {10.1145/2467696.2467709}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/jcdl/GodoiTCGFFF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mim/FerreiraBANJ13, author = {Jos{\'{e}} Ferreira and Miguel Ferro de Beca and Carlos Agostinho and Maria Jos{\'{e}} Nu{\~{n}}ez and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Natalia Bakhtadze and Kirill Chernyshov and Alexandre Dolgui and Vladimir Lototsky}, title = {Standard Blueprints for Interoperability in Factories of the Future (FoF)}, booktitle = {7th {IFAC} Conference on Manufacturing Modelling, Management, and Control, {MIM} 2013, Saint Petersburg, Russia, June 19-21, 2013}, pages = {1322--1327}, publisher = {International Federation of Automatic Control}, year = {2013}, url = {https://doi.org/10.3182/20130619-3-RU-3018.00427}, doi = {10.3182/20130619-3-RU-3018.00427}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mim/FerreiraBANJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobilware/TeofiloRJSC13, author = {Mauro Ricardo da S. Te{\'{o}}filo and Daniel Risi and Vicente Ferreira de Lucena Junior and Thomaz Philippe C. Silva and Luiz Carlos A. M. Cavalcanti}, title = {A Mobile-Based System for Content Delivery over {SMS}}, booktitle = {2013 International Conference on MOBILe Wireless MiddleWARE, Operating Systems, and Applications, Bologna, Italy, November 11-13, 2013}, pages = {77--84}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/Mobilware.2013.8}, doi = {10.1109/MOBILWARE.2013.8}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/mobilware/TeofiloRJSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ReisSFGNPT13, author = {Jacklyn D. Reis and Ali Shahpari and Ricardo Ferreira and Fernando P. Guiomar and Darlene M. Neves and Armando N. Pinto and Ant{\'{o}}nio L. J. Teixeira}, title = {Analysis of transmission impairments on Terabit aggregate PONs}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {http://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=6532735}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ReisSFGNPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ShahpariRFNLT13, author = {Ali Shahpari and Jacklyn D. Reis and Ricardo Ferreira and Darlene M. Neves and M{\'{a}}rio J. N. Lima and Ant{\'{o}}nio L. J. Teixeira}, title = {Terabit+ {(192} {\texttimes} 10 Gb/s) Nyquist shaped {UDWDM} coherent {PON} with upstream and downstream over a 12.8 nm band}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {http://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=6533232}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ShahpariRFNLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ontobras/PaivaCSF13, author = {F{\'{a}}bio A. Proc{\'{o}}pio de Paiva and Jos{\'{e}} Alfredo F. Costa and Cl{\'{a}}udio R. M. Silva and Ricardo S. Fran{\c{c}}a}, editor = {Marcello Peixoto Bax and Mauricio Barcellos Almeida and Renata Wassermann}, title = {Arquitetura de um Sistema de Recomenda{\c{c}}{\~{a}}o Baseado em Ontologia para An{\'{u}}ncios de Carros}, booktitle = {Proceedings of the 6th Seminar on Ontology Research in Brazil, Belo Horizonte, Brazil, September 23, 2013}, series = {{CEUR} Workshop Proceedings}, volume = {1041}, pages = {173--178}, publisher = {CEUR-WS.org}, year = {2013}, url = {https://ceur-ws.org/Vol-1041/ontobras-2013\_paper3.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:15 +0100}, biburl = {https://dblp.org/rec/conf/ontobras/PaivaCSF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ontobras/SouzaFV13, author = {{\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Nandamudi L. Vijaykumar}, editor = {Marcello Peixoto Bax and Mauricio Barcellos Almeida and Renata Wassermann}, title = {Ontologies in Software Testing: {A} Systematic Literature Review}, booktitle = {Proceedings of the 6th Seminar on Ontology Research in Brazil, Belo Horizonte, Brazil, September 23, 2013}, series = {{CEUR} Workshop Proceedings}, volume = {1041}, pages = {71--82}, publisher = {CEUR-WS.org}, year = {2013}, url = {https://ceur-ws.org/Vol-1041/ontobras-2013\_paper25.pdf}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ontobras/SouzaFV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/oopsla/RebeloLL13, author = {Henrique Reb{\^{e}}lo and Gary T. Leavens and Ricardo Massa Ferreira Lima}, editor = {Antony L. Hosking and Patrick Th. Eugster}, title = {Client-aware checking and information hiding in interface specifications with JML/ajmlc}, booktitle = {SPLASH'13 - The Proceedings of the 2013 Companion Publication for Conference on Systems, Programming, {\&} Applications: Software for Humanity, Indianapolis, IN, USA, October 26-31, 2013}, pages = {11--12}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2508075.2514569}, doi = {10.1145/2508075.2514569}, timestamp = {Mon, 14 Feb 2022 15:11:24 +0100}, biburl = {https://dblp.org/rec/conf/oopsla/RebeloLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/BarrosCFC13, author = {Rodrigo C. Barros and Ricardo Cerri and Alex Alves Freitas and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Hendrik Blockeel and Kristian Kersting and Siegfried Nijssen and Filip Zelezn{\'{y}}}, title = {Probabilistic Clustering for Hierarchical Multi-Label Classification of Protein Functions}, booktitle = {Machine Learning and Knowledge Discovery in Databases - European Conference, {ECML} {PKDD} 2013, Prague, Czech Republic, September 23-27, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8189}, pages = {385--400}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40991-2\_25}, doi = {10.1007/978-3-642-40991-2\_25}, timestamp = {Tue, 21 Mar 2023 21:00:11 +0100}, biburl = {https://dblp.org/rec/conf/pkdd/BarrosCFC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/Garibay-MartinezNFP13, author = {Ricardo Garibay{-}Mart{\'{\i}}nez and Geoffrey Nelissen and Luis Lino Ferreira and Lu{\'{\i}}s Miguel Pinho}, editor = {Marisol Garc{\'{\i}}a{-}Valls and Tommaso Cucinotta}, title = {Task Partitioning and Priority Assignment for Hard Real-time Distributed Systems}, booktitle = {{REACTION} 2013, Second International Workshop on Real-time and distributed computing in emerging applications, Proceedings, Vancouver, Canada, December 3, 2013}, publisher = {Universidad Carlos {III} de Madrid}, year = {2013}, url = {https://hdl.handle.net/10016/17915}, timestamp = {Wed, 04 May 2022 13:02:27 +0200}, biburl = {https://dblp.org/rec/conf/rtss/Garibay-MartinezNFP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/FerreiraDMPCW13, author = {Ricardo S. Ferreira and Vinicius Duarte and Waldir Meireles and Monica Magalh{\~{a}}es Pereira and Luigi Carro and Stephan Wong}, title = {A just-in-time modulo scheduling for virtual coarse-grained reconfigurable architectures}, booktitle = {2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2013, Agios Konstantinos, Samos Island, Greece, July 15-18, 2013}, pages = {188--195}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SAMOS.2013.6621122}, doi = {10.1109/SAMOS.2013.6621122}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/FerreiraDMPCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/SilvaOL13, author = {Nat{\'{a}}lia C. Silva and C{\'{e}}sar A. L. de Oliveira and Ricardo M. F. Lima}, title = {A Solution to the State Space Explosion Problem in Declarative Business Process Modeling {(S)}}, booktitle = {The 25th International Conference on Software Engineering and Knowledge Engineering, Boston, MA, USA, June 27-29, 2013}, pages = {26--29}, publisher = {Knowledge Systems Institute Graduate School}, year = {2013}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seke/SilvaOL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/SouzaFV13, author = {{\'{E}}rica Ferreira de Souza and Ricardo de Almeida Falbo and Nandamudi L. Vijaykumar}, title = {Knowledge Management Applied to Software Testing: {A} Systematic Mapping}, booktitle = {The 25th International Conference on Software Engineering and Knowledge Engineering, Boston, MA, USA, June 27-29, 2013}, pages = {562--567}, publisher = {Knowledge Systems Institute Graduate School}, year = {2013}, timestamp = {Mon, 21 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seke/SouzaFV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/Garibay-MartinezFMP13, author = {Ricardo Garibay{-}Mart{\'{\i}}nez and Luis Lino Ferreira and Cl{\'{a}}udio Maia and Lu{\'{\i}}s Miguel Pinho}, title = {Towards transparent parallel/distributed support for real-time embedded applications}, booktitle = {8th {IEEE} International Symposium on Industrial Embedded Systems, {SIES} 2013, Porto, Portugal, June 19-21, 2013}, pages = {114--117}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SIES.2013.6601483}, doi = {10.1109/SIES.2013.6601483}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sies/Garibay-MartinezFMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/TeofiloCL13, author = {Mauro Te{\'{o}}filo and Luiz Carlos A. M. Cavalcanti and Vicente Ferreira de Lucena}, editor = {Mark Billinghurst and B{\"{o}}rje Karlsson}, title = {A SMS-based application store for emerging market: a case study}, booktitle = {{SIGGRAPH} Asia 2013 Symposium on Mobile Graphics and Interactive Applications, Hong Kong, China, November 19-22, 2013}, pages = {66:1--66:4}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2543651.2543654}, doi = {10.1145/2543651.2543654}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/TeofiloCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/CarvalhoSLC13, author = {Renata Medeiros de Carvalho and Nat{\'{a}}lia Cabral Silva and Ricardo Massa Ferreira Lima and M{\'{a}}rcio Corn{\'{e}}lio}, title = {ReFlex: An Efficient Graph-Based Rule Engine to Execute Declarative Processes}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, pages = {1379--1384}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SMC.2013.238}, doi = {10.1109/SMC.2013.238}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/CarvalhoSLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/FernandesVF13, author = {Ricardo Fernandes and Fausto Vieira and Michel Ferreira}, editor = {Eric S. Imsand and Shaoen Wu and Qishi Wu}, title = {Parallel microscopic simulation of metropolitan-scale traffic}, booktitle = {Proceedings of the 46th Annual Simulation Symposium, part of the 2013 Spring Simulation Multiconference, SpringSim '13, San Diego, CA, USA, April 7-10, 2013}, pages = {10}, publisher = {{SCS/ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2499614}, timestamp = {Fri, 20 Sep 2013 08:32:58 +0200}, biburl = {https://dblp.org/rec/conf/springsim/FernandesVF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swqd/FerreiraSMG13, author = {Nuno Ferreira and Nuno Santos and Ricardo Jorge Machado and Dragan Gasevic}, editor = {Dietmar Winkler and Stefan Biffl and Johannes Bergsmann}, title = {Aligning Domain-Related Models for Creating Context for Software Product Design}, booktitle = {Software Quality. Increasing Value in Software and Systems Development - 5th International Conference, {SWQD} 2013, Vienna, Austria, January 15-17, 2013. Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {133}, pages = {168--190}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-35702-2\_11}, doi = {10.1007/978-3-642-35702-2\_11}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/swqd/FerreiraSMG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/w4a/MolinaroBCGHFKAS13, author = {Mateus Molinaro and Sergio Borger and Carlos Cardonha and Diego Gallo and Ricardo Herrmann and Ademir Ferreira and Fernando Koch and Priscilla Avegliano and Kelly Shigeno}, editor = {Giorgio Brajnik and Paola Salomoni}, title = {Smarter board: a community-oriented communication tool}, booktitle = {International Cross-Disciplinary Conference on Web Accessibility, {W4A} '13, Rio de Janeiro, Brazil, May 13-15, 2013}, pages = {21:1--21:2}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2461121.2461149}, doi = {10.1145/2461121.2461149}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/w4a/MolinaroBCGHFKAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/FerreiraOGF13, author = {Celio Marcio Soares Ferreira and Ricardo Augusto Rabelo Oliveira and Haroldo Gambini Santos and Alejandro C. Frery}, title = {Characterization of {FHSS} in Wireless Personal Area Networks}, booktitle = {22nd Wireless and Optical Communication Conference, {WOCC} 2013, Chongqing, China, May 16-18, 2013}, pages = {39--44}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WOCC.2013.6676337}, doi = {10.1109/WOCC.2013.6676337}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wocc/FerreiraOGF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsa/MarinhoJCFALSJZ13, author = {Marco A. M. Marinho and Ronaldo S. Ferreira Jr. and Jo{\~{a}}o Paulo Carvalho Lustosa da Costa and Edison Pignaton de Freitas and Felix Antreich and Kefei Liu and Hing{-}Cheung So and Rafael Tim{\'{o}}teo de Sousa J{\'{u}}nior and Ricardo Zelenovsky}, title = {Antenna Array Based Positioning Scheme for Unmanned Aerial Vehicles}, booktitle = {17th International {ITG} Workshop on Smart Antennas, {WSA} 2013, Stuttgart, Germany, 3-14 March 2013}, pages = {1--6}, publisher = {{VDE} Verlag / {IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6780679/}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsa/MarinhoJCFALSJZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/AvilaMEPF12, author = {Ricardo P. {\'{A}}vila and Paulo F. A. Mancera and Lourdes Esteva and Marcio R. Pie and Cl{\'{a}}udia Pio Ferreira}, title = {Traveling waves in the Lethargic Crab Disease}, journal = {Appl. Math. Comput.}, volume = {218}, number = {19}, pages = {9898--9910}, year = {2012}, url = {https://doi.org/10.1016/j.amc.2012.03.076}, doi = {10.1016/J.AMC.2012.03.076}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/AvilaMEPF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/PereiraVR12, author = {Ricardo J. F. Lopes Pereira and Teresa Maria Vaz{\~{a}}o and Rodrigo Rodrigues}, title = {Adaptive Search Radius - Using hop count to reduce {P2P} traffic}, journal = {Comput. Networks}, volume = {56}, number = {2}, pages = {642--660}, year = {2012}, url = {https://doi.org/10.1016/j.comnet.2011.10.014}, doi = {10.1016/J.COMNET.2011.10.014}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/PereiraVR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/GomesPSRC12, author = {Taciana A. F. Gomes and Ricardo Bastos Cavalcante Prud{\^{e}}ncio and Carlos Soares and Andr{\'{e}} L. D. Rossi and Andr{\'{e}} C. P. L. F. de Carvalho}, title = {Combining meta-learning and search techniques to select parameters for support vector machines}, journal = {Neurocomputing}, volume = {75}, number = {1}, pages = {3--13}, year = {2012}, url = {https://doi.org/10.1016/j.neucom.2011.07.005}, doi = {10.1016/J.NEUCOM.2011.07.005}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/GomesPSRC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jlbs/PereiraTMR12, author = {Fernando Pereira and Christian Theis and Adriano J. C. Moreira and Manuel Ricardo}, title = {Performance and limits of KNN-based positioning methods for {GSM} networks over leaky feeder in underground tunnels}, journal = {J. Locat. Based Serv.}, volume = {6}, number = {2}, pages = {117--133}, year = {2012}, url = {https://doi.org/10.1080/17489725.2012.692619}, doi = {10.1080/17489725.2012.692619}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jlbs/PereiraTMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/MacielBSCLCMAM12, author = {Paulo Ditarso Maciel Jr. and Francisco Vilar Brasileiro and Ricardo Ara{\'{u}}jo Santos and David Candeia and Raquel Lopes and Marcus Carvalho and Renato Miceli and Nazareno Andrade and Miranda Mowbray}, title = {Business-driven short-term management of a hybrid {IT} infrastructure}, journal = {J. Parallel Distributed Comput.}, volume = {72}, number = {2}, pages = {106--119}, year = {2012}, url = {https://doi.org/10.1016/j.jpdc.2011.11.001}, doi = {10.1016/J.JPDC.2011.11.001}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/MacielBSCLCMAM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/RueschFB12, author = {Jonas Ruesch and Ricardo Ferreira and Alexandre Bernardino}, title = {Predicting Visual Stimuli From Self-Induced Actions: An Adaptive Model of a Corollary Discharge Circuit}, journal = {{IEEE} Trans. Auton. Ment. Dev.}, volume = {4}, number = {4}, pages = {290--304}, year = {2012}, url = {https://doi.org/10.1109/TAMD.2012.2199989}, doi = {10.1109/TAMD.2012.2199989}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tamd/RueschFB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/OliveiraLRR12, author = {C{\'{e}}sar A. L. de Oliveira and Ricardo Massa Ferreira Lima and Hajo A. Reijers and Joel Tiago S. Ribeiro}, title = {Quantitative Analysis of Resource-Constrained Business Processes}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {A}}, volume = {42}, number = {3}, pages = {669--684}, year = {2012}, url = {https://doi.org/10.1109/TSMCA.2011.2170412}, doi = {10.1109/TSMCA.2011.2170412}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/OliveiraLRR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bica/RueschFB12, author = {Jonas Ruesch and Ricardo Ferreira and Alexandre Bernardino}, editor = {Antonio Chella and Roberto Pirrone and Rosario Sorbello and Kamilla R. Johannsdottir}, title = {An Approach toward Self-organization of Artificial Visual Sensorimotor Structures}, booktitle = {Biologically Inspired Cognitive Architectures 2012 - Proceedings of the Third Annual Meeting of the {BICA} Society, Palermo, Sicily, Italy, October 31 - November 3, 2012}, series = {Advances in Intelligent Systems and Computing}, volume = {196}, pages = {273--282}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34274-5\_48}, doi = {10.1007/978-3-642-34274-5\_48}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bica/RueschFB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/FigueirasCOFH12, author = {Edite Figueiras and Rita Campos and Ricardo Oliveira and Luis F. Requicha Ferreira and Anne Humeau{-}Heurtier}, editor = {Joaquim Gabriel and Carlos Manuel B. A. Correia and Ana L. N. Fred and Hugo Gamboa}, title = {A New Laser Doppler Flowmeter Prototype for Microcirculation Skin Depth Monitoring - In Vitro Validation and in Vivo Preliminar Results}, booktitle = {{BIODEVICES} 2012 - Proceedings of the International Conference on Biomedical Electronics and Devices, Vilamoura, Algarve, Portugal, 1 - 4 February, 2012}, pages = {154--159}, publisher = {SciTePress}, year = {2012}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/FigueirasCOFH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/FigueirasCOFMH12, author = {Edite Figueiras and Rita Campos and Ricardo Oliveira and Luis F. Requicha Ferreira and Frits F. M. de Mul and Anne Humeau{-}Heurtier}, editor = {Jan Schier and Carlos Manuel B. A. Correia and Ana L. N. Fred and Hugo Gamboa}, title = {Laser Doppler Flowmeters Prototypes Validation using Monte Carlo Simulations}, booktitle = {{BIOINFORMATICS} 2012 - Proceedings of the International Conference on Bioinformatics Models, Methods and Algorithms, Vilamoura, Algarve, Portugal, 1 - 4 February, 2012}, pages = {24--33}, publisher = {SciTePress}, year = {2012}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/FigueirasCOFMH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/FigueirasHCOFM12, author = {Edite Figueiras and Anne Humeau{-}Heurtier and Rita Campos and Ricardo Oliveira and Luis F. Requicha Ferreira and Frits F. M. de Mul}, editor = {Joaquim Gabriel and Jan Schier and Sabine Van Huffel and Emmanuel Conchon and Carlos Manuel B. A. Correia and Ana L. N. Fred and Hugo Gamboa}, title = {Laser Doppler Flowmeters Prototypes: Monte Carlo Simulations Validation Paired with Measurements}, booktitle = {Biomedical Engineering Systems and Technologies - 5th International Joint Conference, {BIOSTEC} 2012, Vilamoura, Portugal, February 1-4, 2012, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {357}, pages = {135--149}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-38256-7\_9}, doi = {10.1007/978-3-642-38256-7\_9}, timestamp = {Sun, 02 Oct 2022 15:55:42 +0200}, biburl = {https://dblp.org/rec/conf/biostec/FigueirasHCOFM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/Santos-PereiraACF12, author = {C{\'{a}}tia Santos{-}Pereira and Luis Filipe Coelho Antunes and Ricardo Jo{\~{a}}o Cruz Correia and Ana Margarida Ferreira}, editor = {Emmanuel Conchon and Carlos Manuel B. A. Correia and Ana L. N. Fred and Hugo Gamboa}, title = {One Way to Patient Empowerment - The Proposal of an Authorization Model}, booktitle = {{HEALTHINF} 2012 - Proceedings of the International Conference on Health Informatics, Vilamoura, Algarve, Portugal, 1 - 4 February, 2012}, pages = {249--255}, publisher = {SciTePress}, year = {2012}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/Santos-PereiraACF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/SantosFRPSSSRBFS12, author = {Marco Soares dos Santos and Jorge A. F. Ferreira and Ant{\'{o}}nio Ramos and Ricardo Pascoal and Raul Morais dos Santos and Nuno M. Silva and Jos{\'{e}} A. O. Sim{\~{o}}es and Manuel J. C. S. Reis and Camila Nicola Boeri and Ant{\'{o}}nio Festas and Paulo M. Santos}, editor = {Joaquim Gabriel and Carlos Manuel B. A. Correia and Ana L. N. Fred and Hugo Gamboa}, title = {Multi-source Energy Harvesting Power Generators for Instrumented Implants - Towards the Development of a Smart Hip Prosthesis}, booktitle = {{BIODEVICES} 2012 - Proceedings of the International Conference on Biomedical Electronics and Devices, Vilamoura, Algarve, Portugal, 1 - 4 February, 2012}, pages = {71--81}, publisher = {SciTePress}, year = {2012}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/SantosFRPSSSRBFS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/SantosFRPSSSRFS12, author = {Marco Soares dos Santos and Jorge A. F. Ferreira and Ant{\'{o}}nio Ramos and Ricardo Pascoal and Raul Morais dos Santos and Nuno M. Silva and Jos{\'{e}} A. O. Sim{\~{o}}es and Manuel J. C. S. Reis and Ant{\'{o}}nio Festas and Paulo M. Santos}, editor = {Joaquim Gabriel and Jan Schier and Sabine Van Huffel and Emmanuel Conchon and Carlos Manuel B. A. Correia and Ana L. N. Fred and Hugo Gamboa}, title = {Multi-source Harvesting Systems for Electric Energy Generation on Smart Hip Prostheses}, booktitle = {Biomedical Engineering Systems and Technologies - 5th International Joint Conference, {BIOSTEC} 2012, Vilamoura, Portugal, February 1-4, 2012, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {357}, pages = {80--96}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-38256-7\_6}, doi = {10.1007/978-3-642-38256-7\_6}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/SantosFRPSSSRFS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bpm/PassinhasAPCSH12, author = {Davide Passinhas and Michael Adams and Bernardo Oliveira Pinto and Ricardo Costa and Ant{\'{o}}nio Rito Silva and Arthur H. M. ter Hofstede}, editor = {Niels Lohmann and Simon Moser}, title = {Supporting Blended Workflows}, booktitle = {Proceedings of the Demonstration Track of the 10th International Conference on Business Process Management {(BPM} 2012), Tallinn, Estonia, September 4, 2012}, series = {{CEUR} Workshop Proceedings}, volume = {940}, pages = {23--28}, publisher = {CEUR-WS.org}, year = {2012}, url = {https://ceur-ws.org/Vol-940/paper5.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:55 +0100}, biburl = {https://dblp.org/rec/conf/bpm/PassinhasAPCSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/FerreiraPMMCCD12, author = {Pedro Ferreira and Daniel Pereira and Felipe Mourato and Sandra da Silva Mattos and Ricardo Cruz{-}Correia and Miguel T. Coimbra and In{\^{e}}s de Castro Dutra}, editor = {Paolo Soda and Francesco Tortorella and Sameer K. Antani and Mykola Pechenizkiy and Mario Cannataro and Alexey Tsymbal}, title = {Detecting cardiac pathologies from annotated auscultations}, booktitle = {Proceedings of {CBMS} 2012, The 25th {IEEE} International Symposium on Computer-Based Medical Systems, June 20-22, 2012, Rome, Italy}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CBMS.2012.6266358}, doi = {10.1109/CBMS.2012.6266358}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/FerreiraPMMCCD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/CasauSCS12, author = {Pedro Casau and Ricardo G. Sanfelice and Rita Cunha and Carlos Silvestre}, title = {A landmark-based controller for global asymptotic stabilization on {SE(3)}}, booktitle = {Proceedings of the 51th {IEEE} Conference on Decision and Control, {CDC} 2012, December 10-13, 2012, Maui, HI, {USA}}, pages = {496--501}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CDC.2012.6426535}, doi = {10.1109/CDC.2012.6426535}, timestamp = {Fri, 04 Mar 2022 13:28:47 +0100}, biburl = {https://dblp.org/rec/conf/cdc/CasauSCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/Garibay-MartinezFP12, author = {Ricardo Garibay{-}Mart{\'{\i}}nez and Luis Lino Ferreira and Lu{\'{\i}}s Miguel Pinho}, editor = {Vittorio Cortellessa and Henry Muccini and Onur Demir{\"{o}}rs}, title = {A Framework for the Development of Parallel and Distributed Real-Time Embedded Systems}, booktitle = {38th Euromicro Conference on Software Engineering and Advanced Applications, {SEAA} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {39--46}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SEAA.2012.60}, doi = {10.1109/SEAA.2012.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/Garibay-MartinezFP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ew/LimaBSMFC12, author = {Francisco Rafael Marques Lima and Nibia Souza Bezerra and Ricardo B. dos Santos and Tarcisio F. Maciel and Walter C. Freitas Jr. and Francisco Rodrigo P. Cavalcanti}, title = {Maximizing Spectral Efficiency with Acceptable Service Provision in Multiple Antennas Scenarios}, booktitle = {European Wireless 2012 - 18th European Conference 2012, April 18-20, 2012, Poznan, Poland}, publisher = {VDE-Verlag}, year = {2012}, url = {http://www.vde-verlag.de/proceedings-de/563426052.html}, timestamp = {Thu, 21 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ew/LimaBSMFC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/MartinsLH12, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Nuno Horta}, editor = {Terence Soule and Jason H. Moore}, title = {{LAYGEN} {II:} automatic analog ICs layout generator based on a template approach}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} '12, Philadelphia, PA, USA, July 7-11, 2012}, pages = {1127--1134}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2330163.2330319}, doi = {10.1145/2330163.2330319}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/MartinsLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/FerreiraPS12, author = {Ant{\'{o}}nio Homem Ferreira and Ricardo J. F. Lopes Pereira and Fernando M. Silva}, title = {Content Redundancy in BitTorrent}, booktitle = {21st International Conference on Computer Communications and Networks, {ICCCN} 2012, Munich, Germany, July 30 - August 2, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCCN.2012.6289300}, doi = {10.1109/ICCCN.2012.6289300}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/FerreiraPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/MirandaPCS12, author = {P{\'{e}}ricles B. C. de Miranda and Ricardo Bastos Cavalcante Prud{\^{e}}ncio and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho and Carlos Soares}, editor = {Beniamino Murgante and Osvaldo Gervasi and Sanjay Misra and Nadia Nedjah and Ana Maria A. C. Rocha and David Taniar and Bernady O. Apduhan}, title = {An Experimental Study of the Combination of Meta-Learning with Particle Swarm Algorithms for {SVM} Parameter Selection}, booktitle = {Computational Science and Its Applications - {ICCSA} 2012 - 12th International Conference, Salvador de Bahia, Brazil, June 18-21, 2012, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {7335}, pages = {562--575}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31137-6\_43}, doi = {10.1007/978-3-642-31137-6\_43}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/MirandaPCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/CastroCRN12, author = {Ricardo Ferreira Vieira de Castro and Pedro Henrique Gouv{\^{e}}a Coelho and Joaquim Augusto Pinto Rodrigues and Luiz Biondi Neto}, editor = {Leszek A. Maciaszek and Alfredo Cuzzocrea and Jos{\'{e}} Cordeiro}, title = {Classification of Anthropometric Data using Neural Networks}, booktitle = {{ICEIS} 2012 - Proceedings of the 14th International Conference on Enterprise Information Systems, Volume 3, Wroclaw, Poland, 28 June - 1 July, 2012}, pages = {116--119}, publisher = {SciTePress}, year = {2012}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceis/CastroCRN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/FerreiraHQ12, author = {Renan U. Ferreira and Edson M. Hung and Ricardo L. de Queiroz}, title = {Video super-resolution based on local invariant features matching}, booktitle = {19th {IEEE} International Conference on Image Processing, {ICIP} 2012, Lake Buena Vista, Orlando, FL, USA, September 30 - October 3, 2012}, pages = {877--880}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICIP.2012.6467000}, doi = {10.1109/ICIP.2012.6467000}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/FerreiraHQ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icumt/GomesMSFCCCM12, author = {Teresa Gomes and L{\'{u}}cia Martins and Jos{\'{e}} Silva and Nuno Gomes Ferreira and Jos{\'{e}} M. F. Craveirinha and Jo{\~{a}}o C. N. Cl{\'{\i}}maco and Ricardo Cadime and Catarina Monica}, title = {Protected bicriteria paths in transport networks}, booktitle = {4th International Congress on Ultra Modern Telecommunications and Control Systems, {ICUMT} 2012, St. Petersburg, Russia, October 3-5, 2012}, pages = {731--737}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICUMT.2012.6459761}, doi = {10.1109/ICUMT.2012.6459761}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icumt/GomesMSFCCCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws/NevesOLS12, author = {Alan M. V. Neves and C{\'{e}}sar A. L. de Oliveira and Ricardo Massa Ferreira Lima and Cec{\'{\i}}lia Leite Sabat}, editor = {Carole A. Goble and Peter P. Chen and Jia Zhang}, title = {Computing Strategic Trade-Offs in Web Service Deployment and Selection}, booktitle = {2012 {IEEE} 19th International Conference on Web Services, Honolulu, HI, USA, June 24-29, 2012}, pages = {210--217}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICWS.2012.15}, doi = {10.1109/ICWS.2012.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icws/NevesOLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/FerreiraJ12, author = {Fernando Luis{-}Ferreira and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Luis M. Camarinha{-}Matos and Ehsan Shahamatnia and Gon{\c{c}}alo Nunes}, title = {Framework for Knowledge Management Based in the Two-Stream Hypothesis}, booktitle = {Technological Innovation for Value Creation - Third {IFIP} {WG} 5.5/SOCOLNET Doctoral Conference on Computing, Electrical and Industrial Systems, DoCEIS 2012, Costa de Caparica, Portugal, February 27-29, 2012. Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {372}, pages = {69--76}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-28255-3\_8}, doi = {10.1007/978-3-642-28255-3\_8}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/FerreiraJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip8/FerreiraSSMG12, author = {Nuno Ferreira and Nuno Santos and Pedro Soares and Ricardo Jorge Machado and Dragan Gasevic}, editor = {Geert Poels}, title = {Transition from Process- to Product-Level Perspective for Business Software}, booktitle = {Enterprise Information Systems of the Future - 6th {IFIP} {WG} 8.9 Working Conference, {CONFENIS} 2012, Ghent, Belgium, September 19-21, 2012, Revised Selected Papers}, series = {Lecture Notes in Business Information Processing}, volume = {139}, pages = {268--275}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36611-6\_25}, doi = {10.1007/978-3-642-36611-6\_25}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip8/FerreiraSSMG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/MirandaPCS12, author = {P{\'{e}}ricles B. C. de Miranda and Ricardo Bastos Cavalcante Prud{\^{e}}ncio and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho and Carlos Soares}, title = {Multi-objective optimization and Meta-learning for {SVM} parameter selection}, booktitle = {The 2012 International Joint Conference on Neural Networks (IJCNN), Brisbane, Australia, June 10-15, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IJCNN.2012.6252378}, doi = {10.1109/IJCNN.2012.6252378}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/MirandaPCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FernandesMFG12, author = {Francisco R. Fernandes and Ricardo J. Machado and Jos{\'{e}} M. Ferreira and Manuel G. Gericota}, title = {Gatewaying {IEEE} 1149.1 and {IEEE} 1149.7 test access ports}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {136--137}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313858}, doi = {10.1109/IOLTS.2012.6313858}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FernandesMFG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipin/PereiraTMR12, author = {Fernando Pereira and Christian Theis and Adriano J. C. Moreira and Manuel Ricardo}, title = {Multi-technology {RF} fingerprinting with leaky-feeder in underground tunnels}, booktitle = {2012 International Conference on Indoor Positioning and Indoor Navigation, {IPIN} 2012, Sydney, Australia, November 13-15, 2012}, pages = {1--9}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IPIN.2012.6418871}, doi = {10.1109/IPIN.2012.6418871}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipin/PereiraTMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/DiasCBGFS12, author = {Hugo Dias and Pedro Calado and Ricardo Bencatel and Ricardo Gomes and S{\'{e}}rgio Ferreira and Jo{\~{a}}o B. Sousa}, title = {Operations with multiple unmanned systems}, booktitle = {2012 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2012, Vilamoura, Algarve, Portugal, October 7-12, 2012}, pages = {3043--3044}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IROS.2012.6386280}, doi = {10.1109/IROS.2012.6386280}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/DiasCBGFS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/MoutinhoBFGBTS12, author = {Nuno Moutinho and Martim Brandao and Ricardo Ferreira and Jos{\'{e}} Ant{\'{o}}nio Gaspar and Alexandre Bernardino and Atsuo Takanishi and Jos{\'{e}} Santos{-}Victor}, title = {Online calibration of a humanoid robot head from relative encoders, {IMU} readings and visual data}, booktitle = {2012 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2012, Vilamoura, Algarve, Portugal, October 7-12, 2012}, pages = {2070--2075}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IROS.2012.6386162}, doi = {10.1109/IROS.2012.6386162}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/MoutinhoBFGBTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isccsp/VenturaSF12, author = {Jose Ventura and Ricardo Teixeira Sousa and An{\'{\i}}bal J. S. Ferreira}, title = {Accurate analysis and visual feedback of vibrato in singing}, booktitle = {5th International Symposium on Communications, Control and Signal Processing, {ISCCSP} 2012, Roma, Italy, May 2-4, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCCSP.2012.6217808}, doi = {10.1109/ISCCSP.2012.6217808}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isccsp/VenturaSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itbam/Santos-PereiraACFC12, author = {C{\'{a}}tia Santos{-}Pereira and Alexandre B. Augusto and Manuel Eduardo Correia and Ana Ferreira and Ricardo Jo{\~{a}}o Cruz Correia}, editor = {Christian B{\"{o}}hm and Sami Khuri and Lenka Lhotsk{\'{a}} and M. Elena Renda}, title = {A Mobile Based Authorization Mechanism for Patient Managed Role Based Access Control}, booktitle = {Information Technology in Bio- and Medical Informatics - Third International Conference, {ITBAM} 2012, Vienna, Austria, September 4-5, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7451}, pages = {54--68}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32395-9\_5}, doi = {10.1007/978-3-642-32395-9\_5}, timestamp = {Tue, 30 Jan 2024 13:00:56 +0100}, biburl = {https://dblp.org/rec/conf/itbam/Santos-PereiraACFC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/dOreyFF12, author = {Pedro M. d'Orey and Ricardo Fernandes and Michel Ferreira}, title = {Empirical evaluation of a dynamic and distributed taxi-sharing system}, booktitle = {15th International {IEEE} Conference on Intelligent Transportation Systems, {ITSC} 2012, Anchorage, AK, USA, September 16-19, 2012}, pages = {140--146}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITSC.2012.6338703}, doi = {10.1109/ITSC.2012.6338703}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/dOreyFF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/dOreyFF12, author = {Pedro M. d'Orey and Ricardo Fernandes and Michel Ferreira}, title = {Reducing the environmental impact of taxi operation: The taxi-sharing use case}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {319--323}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425191}, doi = {10.1109/ITST.2012.6425191}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itst/dOreyFF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mediaeval/AlmeidaSMPTGA12, author = {Jurandy Almeida and Thiago Salles and Eder Ferreira Martins and Ot{\'{a}}vio Augusto Bizetto Penatti and Ricardo da Silva Torres and Marcos Andr{\'{e}} Gon{\c{c}}alves and Jussara M. Almeida}, editor = {Martha A. Larson and Sebastian Schmiedeke and Pascal Kelm and Adam Rae and Vasileios Mezaris and Tomas Piatrik and Mohammad Soleymani and Florian Metze and Gareth J. F. Jones}, title = {{UNICAMP-UFMG} at MediaEval 2012: Genre Tagging Task}, booktitle = {Working Notes Proceedings of the MediaEval 2012 Workshop, Santa Croce in Fossabanda, Pisa, Italy, October 4-5, 2012}, series = {{CEUR} Workshop Proceedings}, volume = {927}, publisher = {CEUR-WS.org}, year = {2012}, url = {https://ceur-ws.org/Vol-927/mediaeval2012\_submission\_13.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:12 +0100}, biburl = {https://dblp.org/rec/conf/mediaeval/AlmeidaSMPTGA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/middleware/BarretoDFFG12, author = {Jo{\~{a}}o Pedro Barreto and Aleksandar Dragojevic and Paulo Ferreira and Ricardo Filipe and Rachid Guerraoui}, editor = {Priya Narasimhan and Peter Triantafillou}, title = {Unifying Thread-Level Speculation and Transactional Memory}, booktitle = {Middleware 2012 - {ACM/IFIP/USENIX} 13th International Middleware Conference, Montreal, QC, Canada, December 3-7, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7662}, pages = {187--207}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35170-9\_10}, doi = {10.1007/978-3-642-35170-9\_10}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/middleware/BarretoDFFG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ontobras/SouzaOFV12, author = {{\'{E}}rica Ferreira de Souza and Leandro E. Oliveira and Ricardo de Almeida Falbo and Nandamudi L. Vijaykumar}, editor = {Andreia Malucelli and Marcello Peixoto Bax}, title = {Using Ontologies to Build a Database to Obtain Strategic Information in Decision Making}, booktitle = {Proceedings of Joint {V} Seminar on Ontology Research in Brazil and {VII} International Workshop on Metamodels, Ontologies and Semantic Technologies, Recife, Brazil, September 19-21, 2012}, series = {{CEUR} Workshop Proceedings}, volume = {938}, pages = {200--205}, publisher = {CEUR-WS.org}, year = {2012}, url = {https://ceur-ws.org/Vol-938/ontobras-most2012\_paper19.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:15 +0100}, biburl = {https://dblp.org/rec/conf/ontobras/SouzaOFV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/phealth/SousaVFTCAS12, author = {Filipe Sousa and Lara Viola and Liliana Ferreira and Gabriela Trevisan and David Cunha and Jos{\'{e}} Alves and Ricardo Sim{\~{o}}es}, editor = {Bernd Blobel and Peter Pharow and Filipe Sousa}, title = {An Ecosystem of Products and Systems for Ambient Intelligence - the {AAL4ALL} Users Perspective}, booktitle = {pHealth 2012 - Proceedings of the 9th International Conference on Wearable Micro and Nano Technologies for Personalized Health, Porto, Portugal, June 26-28, 2012}, series = {Studies in Health Technology and Informatics}, volume = {177}, pages = {263--271}, publisher = {{IOS} Press}, year = {2012}, url = {https://doi.org/10.3233/978-1-61499-069-7-263}, doi = {10.3233/978-1-61499-069-7-263}, timestamp = {Wed, 04 Mar 2020 14:10:38 +0100}, biburl = {https://dblp.org/rec/conf/phealth/SousaVFTCAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/profes/FerreiraSMG12, author = {Nuno Ferreira and Nuno Santos and Ricardo Jorge Machado and Dragan Gasevic}, editor = {Oscar Dieste and Andreas Jedlitschka and Natalia Juristo Juzgado}, title = {Derivation of Process-Oriented Logical Architectures: An Elicitation Approach for Cloud Design}, booktitle = {Product-Focused Software Process Improvement - 13th International Conference, {PROFES} 2012, Madrid, Spain, June 13-15, 2012 Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7343}, pages = {44--58}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31063-8\_5}, doi = {10.1007/978-3-642-31063-8\_5}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/profes/FerreiraSMG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/quatic/CruzMS12, author = {Estrela Ferreira Cruz and Ricardo Jorge Machado and Maribel Yasmina Santos}, editor = {Jo{\~{a}}o Pascoal Faria and Alberto Rodrigues da Silva and Ricardo Jorge Machado}, title = {From Business Process Modeling to Data Model: {A} Systematic Approach}, booktitle = {8th International Conference on the Quality of Information and Communications Technology, {QUATIC} 2012, Lisbon, Portugal, 2-6 September 2012, Proceedings}, pages = {205--210}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/QUATIC.2012.31}, doi = {10.1109/QUATIC.2012.31}, timestamp = {Wed, 09 Aug 2023 08:39:51 +0200}, biburl = {https://dblp.org/rec/conf/quatic/CruzMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/quatic/SousaMM12, author = {Jos{\'{e}} L. R. Sousa and Ricardo Jorge Machado and J. F. F. Mendes}, editor = {Jo{\~{a}}o Pascoal Faria and Alberto Rodrigues da Silva and Ricardo Jorge Machado}, title = {Modeling Organizational Information System Architecture Using "Complex Networks" Concepts}, booktitle = {8th International Conference on the Quality of Information and Communications Technology, {QUATIC} 2012, Lisbon, Portugal, 2-6 September 2012, Proceedings}, pages = {365--370}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/QUATIC.2012.40}, doi = {10.1109/QUATIC.2012.40}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/quatic/SousaMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sab/RueschFB12, author = {Jonas Ruesch and Ricardo Ferreira and Alexandre Bernardino}, editor = {Tom Ziemke and Christian Balkenius and John Hallam}, title = {Self-organization of Visual Sensor Topologies Based on Spatiotemporal Cross-Correlation}, booktitle = {From Animals to Animats 12 - 12th International Conference on Simulation of Adaptive Behavior, {SAB} 2012, Odense, Denmark, August 27-30, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7426}, pages = {259--268}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33093-3\_26}, doi = {10.1007/978-3-642-33093-3\_26}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sab/RueschFB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/CerriBC12, author = {Ricardo Cerri and Rodrigo C. Barros and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Sascha Ossowski and Paola Lecca}, title = {A genetic algorithm for Hierarchical Multi-Label Classification}, booktitle = {Proceedings of the {ACM} Symposium on Applied Computing, {SAC} 2012, Riva, Trento, Italy, March 26-30, 2012}, pages = {250--255}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2245276.2245325}, doi = {10.1145/2245276.2245325}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/CerriBC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbqs/VasconcelosOL12, author = {L{\'{\i}}via Maria R. de Vasconcelos and C{\'{e}}sar Augusto Lins de Oliveira and Ricardo Massa Ferreira Lima}, editor = {Sheila S. Reinehr and Andreia Malucelli}, title = {Petri-SW: Uma Metodologia baseada em Redes de Petri para Avalia{\c{c}}{\~{a}}o do Desempenho de Processos de Software}, booktitle = {Proceedings of the 11th Brazilian Symposium on Software Quality, {SBQS} 2012, Fortaleza, CE, Brazil, July 11-15, 2012}, pages = {39--53}, publisher = {{SBC}}, year = {2012}, url = {https://doi.org/10.5753/sbqs.2012.15306}, doi = {10.5753/SBQS.2012.15306}, timestamp = {Mon, 04 Apr 2022 16:59:13 +0200}, biburl = {https://dblp.org/rec/conf/sbqs/VasconcelosOL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbrn/MirandaPCS12, author = {P{\'{e}}ricles B. C. de Miranda and Ricardo B. C. Prud{\^{e}}ncio and Andr{\'{e}} C. P. L. F. de Carvalho and Carlos Soares}, editor = {Ana Carolina Lorena and Carlos Eduardo Thomaz and Aurora Trinidad Ramirez Pozo}, title = {Combining Meta-Learning with Multi-objective Particle Swarm Algorithms for {SVM} Parameter Selection: An Experimental Analysis}, booktitle = {2012 Brazilian Symposium on Neural Networks, Curitiba, Paran{\'{a}}, Brazil, October 20-25, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SBRN.2012.12}, doi = {10.1109/SBRN.2012.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbrn/MirandaPCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sccc/CoutoCGGGSFO12, author = {Adriano Donato Couto and Fabio Ribeiro Cerqueira and Rafael Luciano Guerra and Luciana Brugiolo Gon{\c{c}}alves and Carlos de Castro Goulart and Rodrigo Siqueira{-}Batista and Ricardo dos Santos Ferreira and Alcione de Paiva Oliveira}, title = {Theoretical Basis of a New Method for {DNA} Fragment Assembly in k-mer Graphs}, booktitle = {31st International Conference of the Chilean Computer Science Society, {SCCC} 2012, Valpara{\'{\i}}so, Chile, November 12-16, 2012}, pages = {69--77}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SCCC.2012.16}, doi = {10.1109/SCCC.2012.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sccc/CoutoCGGGSFO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scube/FerreiraFCGdMGLD12, author = {Michel Ferreira and Ricardo Fernandes and Hugo Concei{\c{c}}{\~{a}}o and Pedro Emanuel Rodrigues Gomes and Pedro M. d'Orey and Lu{\'{\i}}s Moreira{-}Matias and Jo{\~{a}}o Gama and Fernanda Lima and Lu{\'{\i}}s Damas}, editor = {Francisco Martins and Lu{\'{\i}}s M. B. Lopes and Herv{\'{e}} Paulino}, title = {Vehicular Sensing: Emergence of a Massive Urban Scanner}, booktitle = {Sensor Systems and Software - Third International {ICST} Conference, S-Cube 2012, Lisbon, Portugal, June 4-5, 2012, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {102}, pages = {1--14}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32778-0\_1}, doi = {10.1007/978-3-642-32778-0\_1}, timestamp = {Tue, 07 May 2024 20:10:47 +0200}, biburl = {https://dblp.org/rec/conf/scube/FerreiraFCGdMGLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/RebeloLMOR12, author = {Henrique Reb{\^{e}}lo and Ricardo Massa Ferreira Lima and Alexandre Mota and C{\'{e}}sar A. L. de Oliveira and M{\'{a}}rcio Ribeiro}, title = {Enforcing Contracts for Aspect-oriented programs with Annotations, Pointcuts and Advice}, booktitle = {Proceedings of the 24th International Conference on Software Engineering {\&} Knowledge Engineering (SEKE'2012), Hotel Sofitel, Redwood City, San Francisco Bay, {USA} July 1-3, 2012}, pages = {148--153}, publisher = {Knowledge Systems Institute Graduate School}, year = {2012}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seke/RebeloLMOR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/RebeloLMOR12a, author = {Henrique Reb{\^{e}}lo and Ricardo Massa Ferreira Lima and Alexandre Mota and C{\'{e}}sar A. L. de Oliveira and M{\'{a}}rcio Ribeiro}, title = {Checking Contracts for {AOP} using XPIDRs}, booktitle = {Proceedings of the 24th International Conference on Software Engineering {\&} Knowledge Engineering (SEKE'2012), Hotel Sofitel, Redwood City, San Francisco Bay, {USA} July 1-3, 2012}, publisher = {Knowledge Systems Institute Graduate School}, year = {2012}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seke/RebeloLMOR12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MirandaPCS12, author = {P{\'{e}}ricles B. C. de Miranda and Ricardo Bastos Cavalcante Prud{\^{e}}ncio and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho and Carlos Soares}, title = {Combining a multi-objective optimization approach with meta-learning for {SVM} parameter selection}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2012, Seoul, Korea (South), October 14-17, 2012}, pages = {2909--2914}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICSMC.2012.6378235}, doi = {10.1109/ICSMC.2012.6378235}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/MirandaPCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vnc/FernandesVF12, author = {Ricardo Fernandes and Fausto Vieira and Michel Ferreira}, title = {{VNS:} An integrated framework for vehicular networks simulation}, booktitle = {2012 {IEEE} Vehicular Networking Conference, {VNC} 2012, Seoul, Korea (South), November 14-16, 2012}, pages = {195--202}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VNC.2012.6407431}, doi = {10.1109/VNC.2012.6407431}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vnc/FernandesVF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vnc/Moreira-MatiasFGFMD12, author = {Lu{\'{\i}}s Moreira{-}Matias and Ricardo Fernandes and Jo{\~{a}}o Gama and Michel Ferreira and Jo{\~{a}}o Mendes{-}Moreira and Lu{\'{\i}}s Damas}, title = {An online recommendation system for the taxi stand choice problem (Poster)}, booktitle = {2012 {IEEE} Vehicular Networking Conference, {VNC} 2012, Seoul, Korea (South), November 14-16, 2012}, pages = {173--180}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VNC.2012.6407427}, doi = {10.1109/VNC.2012.6407427}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vnc/Moreira-MatiasFGFMD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FernandesF12, author = {Ricardo Fernandes and Michel Ferreira}, title = {Scalable {VANET} Simulations with {NS-3}}, booktitle = {Proceedings of the 75th {IEEE} Vehicular Technology Conference, {VTC} Spring 2012, Yokohama, Japan, May 6-9, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VETECS.2012.6240251}, doi = {10.1109/VETECS.2012.6240251}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FernandesF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wscad/JacobFF12, author = {Vin{\'{\i}}cius Vilar Jacob and Chaulio de Resende Ferreira and Ricardo Ferreira}, title = {{GPU} Optimization Techniques Applied to Scale Free Gene Regulatory Networks Based on Threshold Function}, booktitle = {13th Symposium on Computer Systems, {WSCAD-SSC} 2012, Petropolis, Brazil, October 17-19, 2012}, pages = {57--64}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/WSCAD-SSC.2012.23}, doi = {10.1109/WSCAD-SSC.2012.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wscad/JacobFF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wscad/MucidaLMF12, author = {Lucas Mucida and Vincius Lopes and Waldir Meireles and Ricardo S. Ferreira}, title = {Problem Oriented Approach to Hardware-Assisted Algorithm Design in {C:} {A} Case Study for Scheduling, Placement and Routing}, booktitle = {13th Symposium on Computer Systems, {WSCAD-SSC} 2012, Petropolis, Brazil, October 17-19, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/WSCAD-SSC.2012.35}, doi = {10.1109/WSCAD-SSC.2012.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wscad/MucidaLMF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wscad/RomaoAFPO12, author = {Oberlan Christo Rom{\~{a}}o and Lu{\'{\i}}s Eduardo de Souza Amorim and Ricardo dos Santos Ferreira and Maurilio De Araujo Possi and Alcione de Paiva Oliveira}, title = {Multiagent Systems Modeling Using GPUs - {A} Case Study of the Human Immune System}, booktitle = {13th Symposium on Computer Systems, {WSCAD-SSC} 2012, Petropolis, Brazil, October 17-19, 2012}, pages = {234--241}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/WSCAD-SSC.2012.31}, doi = {10.1109/WSCAD-SSC.2012.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wscad/RomaoAFPO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/procedia/FerreiraNS12, author = {Simone Bacellar Leal Ferreira and Ricardo Rodrigues Nunes and Denis Silva da Silveira}, editor = {Leontios J. Hadjileontiadis and Paulo Martins and Robert Todd and Hugo Paredes and Jo{\~{a}}o Rodrigues and Jo{\~{a}}o Barroso}, title = {Aligning Usability Requirements with the Accessibility Guidelines Focusing on the Visually-Impaired}, booktitle = {Proceedings of the 4th International Conference on Software Development for Enhancing Accessibility and Fighting Info-exclusion, {DSAI} 2012, Douro Region, Portugal, July 19-22, 2012}, series = {Procedia Computer Science}, volume = {14}, pages = {263--273}, publisher = {Elsevier}, year = {2012}, url = {https://doi.org/10.1016/j.procs.2012.10.030}, doi = {10.1016/J.PROCS.2012.10.030}, timestamp = {Thu, 03 Mar 2022 11:04:49 +0100}, biburl = {https://dblp.org/rec/journals/procedia/FerreiraNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1208-2976, author = {Daniel Yasumasa Takahashi and Jo{\~{a}}o Ricardo Sato and Carlos Eduardo Ferreira and Andr{\'{e}} Fujita}, title = {Discriminating different classes of biological networks by analyzing the graphs spectra distribution}, journal = {CoRR}, volume = {abs/1208.2976}, year = {2012}, url = {http://arxiv.org/abs/1208.2976}, eprinttype = {arXiv}, eprint = {1208.2976}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1208-2976.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ndltd/Ferreira11a, author = {Ricardo Vicente Ferreira}, title = {{GIS} Mapping Network: Contributions to the Optimization of the Travel Time of the Rural Population to Health Service Facilities (Mapeamento de redes em {SIG:} proposta de otimiza{\c{c}}{\~{a}}o do tempo de viagem da popula{\c{c}}{\~{a}}o rural aos servi{\c{c}}os de sa{\'{u}}de)}, school = {University of S{\~{a}}o Paulo, Brazil}, year = {2011}, url = {http://www.teses.usp.br/teses/disponiveis/8/8135/tde-28052012-093407/}, timestamp = {Sat, 14 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ndltd/Ferreira11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/NaldiCHC11, author = {Murilo Coelho Naldi and Ricardo J. G. B. Campello and Eduardo R. Hruschka and Andr{\'{e}} C. P. L. F. de Carvalho}, title = {Efficiency issues of evolutionary k-means}, journal = {Appl. Soft Comput.}, volume = {11}, number = {2}, pages = {1938--1952}, year = {2011}, url = {https://doi.org/10.1016/j.asoc.2010.06.010}, doi = {10.1016/J.ASOC.2010.06.010}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/NaldiCHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejes/NogueiraMTALCF11, author = {Bruno Costa e Silva Nogueira and Paulo Romero Martins Maciel and Eduardo Tavares and Ermeson C. Andrade and Ricardo Massa Ferreira Lima and Gustavo Rau de Almeida Callou and Rodolfo Ferraz}, title = {A Formal Model for Performance and Energy Evaluation of Embedded Systems}, journal = {{EURASIP} J. Embed. Syst.}, volume = {2011}, year = {2011}, url = {https://doi.org/10.1155/2011/316510}, doi = {10.1155/2011/316510}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejes/NogueiraMTALCF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/geoinformatica/MunozGSSBPCC11, author = {Mauro Enrique de Souza Mu{\~{n}}oz and Renato De Giovanni and Marinez Ferreira de Siqueira and Tim Sutton and Peter Brewer and Ricardo Scachetti Pereira and Dora Ann Lange Canhos and Vanderlei Perez Canhos}, title = {openModeller: a generic approach to species' potential distribution modelling}, journal = {GeoInformatica}, volume = {15}, number = {1}, pages = {111--135}, year = {2011}, url = {https://doi.org/10.1007/s10707-009-0090-7}, doi = {10.1007/S10707-009-0090-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/geoinformatica/MunozGSSBPCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/CerriCF11, author = {Ricardo Cerri and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho and Alex Alves Freitas}, title = {Adapting non-hierarchical multilabel classification methods for hierarchical multilabel classification}, journal = {Intell. Data Anal.}, volume = {15}, number = {6}, pages = {861--887}, year = {2011}, url = {https://doi.org/10.3233/IDA-2011-0500}, doi = {10.3233/IDA-2011-0500}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ida/CerriCF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/SantosFTGL11, author = {Jefersson A. dos Santos and Cristiano D. Ferreira and Ricardo da Silva Torres and Marcos Andr{\'{e}} Gon{\c{c}}alves and Rubens A. C. Lamparelli}, title = {A relevance feedback method based on genetic programming for classification of remote sensing images}, journal = {Inf. Sci.}, volume = {181}, number = {13}, pages = {2671--2684}, year = {2011}, url = {https://doi.org/10.1016/j.ins.2010.02.003}, doi = {10.1016/J.INS.2010.02.003}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/SantosFTGL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/FerreiraSFG11, author = {Ricardo J. Ferreira and Daniel J. V. A. dos Santos and Maria{-}Jos{\'{e}} U. Ferreira and Rita C. Guedes}, title = {Toward a Better Pharmacophore Description of P-Glycoprotein Modulators, Based on Macrocyclic Diterpenes from \emph{Euphorbia} Species}, journal = {J. Chem. Inf. Model.}, volume = {51}, number = {6}, pages = {1315--1324}, year = {2011}, url = {https://doi.org/10.1021/ci200145p}, doi = {10.1021/CI200145P}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/FerreiraSFG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/FerreiraCDVT11, author = {Ricardo S. Ferreira and Jo{\~{a}}o M. P. Cardoso and Alex Damiany and Julio C. Goldner Vendramini and Tiago Teixeira}, title = {Fast placement and routing by extending coarse-grained reconfigurable arrays with Omega Networks}, journal = {J. Syst. Archit.}, volume = {57}, number = {8}, pages = {761--777}, year = {2011}, url = {https://doi.org/10.1016/j.sysarc.2011.03.006}, doi = {10.1016/J.SYSARC.2011.03.006}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/FerreiraCDVT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jucs/MenezesSSMSZO11, author = {Victor Str{\"{o}}ele A. Menezes and Ricardo Tadeu da Silva and Mois{\'{e}}s Ferreira de Souza and Carlos Eduardo Ribeiro de Mello and Jano M. Souza and Geraldo Zimbrao and Jonice Oliveira}, title = {Identifying Workgroups in Brazilian Scientific Social Networks}, journal = {J. Univers. Comput. Sci.}, volume = {17}, number = {14}, pages = {1951--1970}, year = {2011}, url = {https://doi.org/10.3217/jucs-017-14-1951}, doi = {10.3217/JUCS-017-14-1951}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jucs/MenezesSSMSZO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/CamargoMF11, author = {Ricardo Saraiva de Camargo and Gilberto de Miranda Jr. and Ricardo Poley Martins Ferreira}, title = {A hybrid Outer-Approximation/Benders Decomposition algorithm for the single allocation hub location problem under congestion}, journal = {Oper. Res. Lett.}, volume = {39}, number = {5}, pages = {329--337}, year = {2011}, url = {https://doi.org/10.1016/j.orl.2011.06.015}, doi = {10.1016/J.ORL.2011.06.015}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/orl/CamargoMF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/FerreiraSTGRF11, author = {Cristiano D. Ferreira and Jefersson A. dos Santos and Ricardo da Silva Torres and Marcos Andr{\'{e}} Gon{\c{c}}alves and Rodrigo Carvalho Rezende and Weiguo Fan}, title = {Relevance feedback based on genetic programming for image retrieval}, journal = {Pattern Recognit. Lett.}, volume = {32}, number = {1}, pages = {27--37}, year = {2011}, url = {https://doi.org/10.1016/j.patrec.2010.05.015}, doi = {10.1016/J.PATREC.2010.05.015}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/FerreiraSTGRF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/FujitaSDYSFSM11, author = {Andr{\'{e}} Fujita and Jo{\~{a}}o Ricardo Sato and Marcos Angelo Almeida Demasi and Rui Yamaguchi and Teppei Shimamura and Carlos Eduardo Ferreira and Mari Cleide Sogayar and Satoru Miyano}, title = {Inferring Contagion in Regulatory Networks}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {8}, number = {2}, pages = {570--576}, year = {2011}, url = {https://doi.org/10.1109/TCBB.2010.40}, doi = {10.1109/TCBB.2010.40}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/FujitaSDYSFSM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aosd/AraujoRL0KS11, author = {Jos{\'{e}} Elias Ara{\'{u}}jo and Henrique Reb{\^{e}}lo and Ricardo Massa Ferreira Lima and Alexandre Mota and Uir{\'{a}} Kulesza and Cl{\'{a}}udio Sant'Anna}, editor = {Bram Adams and Michael Haupt and David H. Lorenz and Eric Wohlstadter}, title = {An annotation-based approach for {JCSP} concurrent programming: a quantitative study}, booktitle = {Proceedings of the 1st workshop on Modularity in systems software, MISS@AOSD 2011, Porto de Galinhas, Brazil, March 22, 2011}, pages = {7--11}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1960518.1960521}, doi = {10.1145/1960518.1960521}, timestamp = {Wed, 22 Dec 2021 15:06:48 +0100}, biburl = {https://dblp.org/rec/conf/aosd/AraujoRL0KS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bpm/AalstAM11, author = {Wil M. P. van der Aalst and Arya Adriansyah and Ana Karla Alves de Medeiros and Franco Arcieri and Thomas Baier and Tobias Blickle and R. P. Jagadeesh Chandra Bose and Peter van den Brand and Ronald Brandtjen and Joos C. A. M. Buijs and Andrea Burattin and Josep Carmona and Mal{\'{u}} Castellanos and Jan Claes and Jonathan E. Cook and Nicola Costantini and Francisco Curbera and Ernesto Damiani and Massimiliano de Leoni and Pavlos Delias and Boudewijn F. van Dongen and Marlon Dumas and Schahram Dustdar and Dirk Fahland and Diogo R. Ferreira and Walid Gaaloul and Frank van Geffen and Sukriti Goel and Christian W. G{\"{u}}nther and Antonella Guzzo and Paul Harmon and Arthur H. M. ter Hofstede and John Hoogland and Jon Espen Ingvaldsen and Koki Kato and Rudolf Kuhn and Akhil Kumar and Marcello La Rosa and Fabrizio Maria Maggi and Donato Malerba and R. S. Mans and Alberto Manuel and Martin McCreesh and Paola Mello and Jan Mendling and Marco Montali and Hamid R. Motahari Nezhad and Michael zur Muehlen and Jorge Munoz{-}Gama and Luigi Pontieri and Joel Ribeiro and Anne Rozinat and Hugo Seguel P{\'{e}}rez and Ricardo Seguel P{\'{e}}rez and Marcos Sep{\'{u}}lveda and Jim Sinur and Pnina Soffer and Minseok Song and Alessandro Sperduti and Giovanni Stilo and Casper Stoel and Keith D. Swenson and Maurizio Talamo and Wei Tan and Chris Turner and Jan Vanthienen and George Varvaressos and Eric Verbeek and Marc Verdonk and Roberto Vigo and Jianmin Wang and Barbara Weber and Matthias Weidlich and Ton Weijters and Lijie Wen and Michael Westergaard and Moe Thandar Wynn}, editor = {Florian Daniel and Kamel Barkaoui and Schahram Dustdar}, title = {Process Mining Manifesto}, booktitle = {Business Process Management Workshops - {BPM} 2011 International Workshops, Clermont-Ferrand, France, August 29, 2011, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Business Information Processing}, volume = {99}, pages = {169--194}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-28108-2\_19}, doi = {10.1007/978-3-642-28108-2\_19}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bpm/AalstAM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/FerreiraVMPC11, author = {Ricardo S. Ferreira and Julio C. Goldner Vendramini and Lucas Mucida and Monica Magalh{\~{a}}es Pereira and Luigi Carro}, editor = {Rajesh K. Gupta and Vincent John Mooney}, title = {An FPGA-based heterogeneous coarse-grained dynamically reconfigurable architecture}, booktitle = {Proceedings of the 14th International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2011, part of the Seventh Embedded Systems Week, ESWeek 2011, Taipei, Taiwan, October 9-14, 2011}, pages = {195--204}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2038698.2038728}, doi = {10.1145/2038698.2038728}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/FerreiraVMPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/FerreiraCBA11, author = {Ana Ferreira and Ricardo Jo{\~{a}}o Cruz Correia and Marta Brito and Luis Filipe Coelho Antunes}, title = {Usable access control policy and model for healthcare}, booktitle = {Proceedings of the 24th {IEEE} International Symposium on Computer-Based Medical Systems, 27-30 June, 2011, Bristol, United Kingdom}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CBMS.2011.5999035}, doi = {10.1109/CBMS.2011.5999035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/FerreiraCBA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/SilvaSDN11, author = {Nat{\~{a}} Goulart da Silva and S{\'{e}}rgio Ricardo de Souza and Luiz Gustavo Dias and Thiago F. Noronha}, title = {Biased random-key genetic algorithm for fiber installation in Optical Network Optimization}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2011, New Orleans, LA, USA, 5-8 June, 2011}, pages = {2267--2271}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CEC.2011.5949896}, doi = {10.1109/CEC.2011.5949896}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cec/SilvaSDN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/centeris/FerreiraMD11, author = {Pedro Ferreira and Ricardo Martinho and Dulce Domingos}, editor = {Maria Manuela Cruz{-}Cunha and Jo{\~{a}}o Varaj{\~{a}}o and Philip Powell and Ricardo Martinho}, title = {Goals for an IoT Context-Based Process Modelling Language Regarding Logistics}, booktitle = {ENTERprise Information Systems - International Conference, {CENTERIS} 2011, Vilamoura, Algarve, Portugal, October 5-7, 2011, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {219}, pages = {260--269}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24358-5\_26}, doi = {10.1007/978-3-642-24358-5\_26}, timestamp = {Tue, 23 Apr 2024 23:18:35 +0200}, biburl = {https://dblp.org/rec/conf/centeris/FerreiraMD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgo/VieiraBVV11, author = {Ricardo Vieira and Jos{\'{e}} Borbinha and Francisco Valdez and Andr{\'{e}} Vasconcelos}, editor = {John Carlo Bertot and Karine Nahon and Soon Ae Chun and Luis F. Luna{-}Reyes and Vijay Atluri}, title = {A reference architecture for records management}, booktitle = {Proceedings of the 12th Annual International Conference on Digital Government Research, {DG.O} 2011, College Park, MD, USA, June 12 - 15, 2011}, series = {{ACM} International Conference Proceeding Series}, pages = {339--340}, publisher = {Digital Government Research Center}, year = {2011}, url = {https://doi.org/10.1145/2037556.2037615}, doi = {10.1145/2037556.2037615}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dgo/VieiraBVV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoop/RebeloCLLHMC11, author = {Henrique Reb{\^{e}}lo and Roberta Coelho and Ricardo M. F. Lima and Gary T. Leavens and Marieke Huisman and Alexandre Mota and Fernando Castor}, editor = {Stephen N. Freund}, title = {On the interplay of exception handling and design by contract: an aspect-oriented recovery approach}, booktitle = {Proceedings of the 13th Workshop on Formal Techniques for Java-Like Programs, FTfJP 2011, Lancaster, United Kingdom, July 25-29, 2011}, pages = {7:1--7:6}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2076674.2076681}, doi = {10.1145/2076674.2076681}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecoop/RebeloCLLHMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/FerreiraMP11, author = {Andr{\'{e}} L. Ferreira and Ricardo Jorge Machado and Mark C. Paulk}, title = {An Approach to Software Process Design and Implementation Using Transition Rules}, booktitle = {37th {EUROMICRO} Conference on Software Engineering and Advanced Applications, {SEAA} 2011, Oulu, Finland, August 30 - September 2, 2011}, pages = {330--333}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SEAA.2011.57}, doi = {10.1109/SEAA.2011.57}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euromicro/FerreiraMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaart/OliveiraFL11, author = {Alexander Fl{\'{a}}vio de Oliveira and Ricardo Poley Martins Ferreira and Agnaldo Soares de Lima}, editor = {Joaquim Filipe and Ana L. N. Fred}, title = {Liver Transplant Waiting List Simulation - An Agent based Model}, booktitle = {{ICAART} 2011 - Proceedings of the 3rd International Conference on Agents and Artificial Intelligence, Volume 2 - Agents, Rome, Italy, January 28-30, 2011}, pages = {462--468}, publisher = {SciTePress}, year = {2011}, timestamp = {Fri, 08 Jul 2011 08:36:50 +0200}, biburl = {https://dblp.org/rec/conf/icaart/OliveiraFL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccst/FerreiraC011, author = {Ana Ferreira and Ricardo Jo{\~{a}}o Cruz Correia and Luis Antunes}, title = {Usability of authentication and access control: {A} case study in healthcare}, booktitle = {International Carnahan Conference on Security Technology, {ICCST} 2011, Barcelona, Spain, October 18-21, 2011}, pages = {1--7}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CCST.2011.6095873}, doi = {10.1109/CCST.2011.6095873}, timestamp = {Wed, 09 Mar 2022 16:39:53 +0100}, biburl = {https://dblp.org/rec/conf/iccst/FerreiraC011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdl-epirob/RueschFB11, author = {Jonas Ruesch and Ricardo Ferreira and Alexandre Bernardino}, title = {A measure of good motor actions for active visual perception}, booktitle = {1st International Conference on Development and Learning and on Epigenetic Robotics, {ICDL-EPIROB} 2011, Frankfurt am Main, Germany, August 24-27, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DEVLRN.2011.6037355}, doi = {10.1109/DEVLRN.2011.6037355}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdl-epirob/RueschFB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/RosaL11, author = {Ricardo Erikson Veras De Sena Rosa and Vicente Ferreira de Lucena Jr.}, editor = {Julia Rubin and Goetz Botterweck and Andreas Pleuss and David M. Weiss}, title = {Smart composition of reusable software components in mobile application product lines}, booktitle = {Proceedings of the 2nd International Workshop on Product Line Approaches in Software Engineering, {PLEASE} 2011, Waikiki, Honolulu, HI, USA, May 22-23, 2011}, pages = {45--49}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1985484.1985496}, doi = {10.1145/1985484.1985496}, timestamp = {Thu, 14 Jan 2021 16:56:54 +0100}, biburl = {https://dblp.org/rec/conf/icse/RosaL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/AffonsoSF11, author = {Carlos Affonso and Renato Jos{\'{e}} Sassi and Ricardo P. Ferreira}, title = {Traffic flow breakdown prediction using feature reduction through Rough-Neuro Fuzzy Networks}, booktitle = {The 2011 International Joint Conference on Neural Networks, {IJCNN} 2011, San Jose, California, USA, July 31 - August 5, 2011}, pages = {1943--1947}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IJCNN.2011.6033462}, doi = {10.1109/IJCNN.2011.6033462}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/AffonsoSF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SousaF11, author = {Ricardo Teixeira Sousa and An{\'{\i}}bal J. S. Ferreira}, title = {Singing Voice Analysis Using Relative Harmonic Delays}, booktitle = {12th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2011, Florence, Italy, August 27-31, 2011}, pages = {1997--2000}, publisher = {{ISCA}}, year = {2011}, url = {https://doi.org/10.21437/Interspeech.2011-525}, doi = {10.21437/INTERSPEECH.2011-525}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SousaF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipin/PereiraMR11, author = {Fernando Pereira and Adriano J. C. Moreira and Manuel Ricardo}, title = {Evaluating location fingerprinting methods for underground {GSM} networks deployed over Leaky Feeder}, booktitle = {2011 International Conference on Indoor Positioning and Indoor Navigation, {IPIN} 2011, Guimaraes, Portugal, September 21-23, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPIN.2011.6071940}, doi = {10.1109/IPIN.2011.6071940}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipin/PereiraMR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/MoutinhoCFFGBSDL11, author = {Nuno Moutinho and Nino Cauli and Egidio Falotico and Ricardo Ferreira and Jos{\'{e}} Ant{\'{o}}nio Gaspar and Alexandre Bernardino and Jos{\'{e}} Santos{-}Victor and Paolo Dario and Cecilia Laschi}, title = {An expected perception architecture using visual 3D reconstruction for a humanoid robot}, booktitle = {2011 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2011, San Francisco, CA, USA, September 25-30, 2011}, pages = {4826--4831}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IROS.2011.6094972}, doi = {10.1109/IROS.2011.6094972}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/MoutinhoCFFGBSDL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/BarrosCJC11, author = {Rodrigo C. Barros and Ricardo Cerri and Pablo A. Jaskowiak and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Sebasti{\'{a}}n Ventura and Ajith Abraham and Krzysztof J. Cios and Crist{\'{o}}bal Romero and Francesco Marcelloni and Jos{\'{e}} Manuel Ben{\'{\i}}tez and Eva Lucrecia Gibaja Galindo}, title = {A bottom-up oblique decision tree induction algorithm}, booktitle = {11th International Conference on Intelligent Systems Design and Applications, {ISDA} 2011, C{\'{o}}rdoba, Spain, November 22-24, 2011}, pages = {450--456}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISDA.2011.6121697}, doi = {10.1109/ISDA.2011.6121697}, timestamp = {Tue, 23 Aug 2022 09:19:48 +0200}, biburl = {https://dblp.org/rec/conf/isda/BarrosCJC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/CerriBC11, author = {Ricardo Cerri and Rodrigo C. Barros and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Sebasti{\'{a}}n Ventura and Ajith Abraham and Krzysztof J. Cios and Crist{\'{o}}bal Romero and Francesco Marcelloni and Jos{\'{e}} Manuel Ben{\'{\i}}tez and Eva Lucrecia Gibaja Galindo}, title = {Hierarchical multi-label classification for protein function prediction: {A} local approach based on neural networks}, booktitle = {11th International Conference on Intelligent Systems Design and Applications, {ISDA} 2011, C{\'{o}}rdoba, Spain, November 22-24, 2011}, pages = {337--343}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISDA.2011.6121678}, doi = {10.1109/ISDA.2011.6121678}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isda/CerriBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgteurope/FerreiraMAL11, author = {Ricardo J. Ferreira and Luis M. Miranda and Rui Esteves Araujo and Jo{\~{a}}o A. Pe{\c{c}}as Lopes}, title = {A new bi-directional charger for vehicle-to-grid integration}, booktitle = {2nd {IEEE} {PES} International Conference and Exhibition on "Innovative Smart Grid Technologies", {ISGT} Europe 2011, Manchester, United Kingdom, December 5-7, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISGTEurope.2011.6162770}, doi = {10.1109/ISGTEUROPE.2011.6162770}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isgteurope/FerreiraMAL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/maveba/SousaFA11, author = {Ricardo Teixeira Sousa and An{\'{\i}}bal J. S. Ferreira and Paavo Alku}, editor = {Claudia Manfredi}, title = {Estimation of harmonic and noise components of the glottal excitation}, booktitle = {7th International Workshop on Models and Analysis of Vocal Emissions for Biomedical Applications, {MAVEBA} 2011, Florence, Italy, August 25-27, 2011}, pages = {115--118}, publisher = {Firenze University Press / {ISCA}}, year = {2011}, url = {https://www.isca-archive.org/maveba\_2011/sousa11\_maveba.html}, timestamp = {Thu, 01 Aug 2024 15:37:24 +0200}, biburl = {https://dblp.org/rec/conf/maveba/SousaFA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/otm/FerreiraASJ11, author = {Jos{\'{e}} Ferreira and Carlos Agostinho and Jo{\~{a}}o Sarraipa and Ricardo Jardim{-}Gon{\c{c}}alves}, editor = {Robert Meersman and Tharam S. Dillon and Pilar Herrero}, title = {Monitoring Morphisms to Support Sustainable Interoperability of Enterprise Systems}, booktitle = {On the Move to Meaningful Internet Systems: {OTM} 2011 Workshops - Confederated International Workshops and Posters: {EI2N+NSF} ICE, ICSP+INBAST, ISDE, ORM, OTMA, SWWS+MONET+SeDeS, and {VADER} 2011, Hersonissos, Crete, Greece, October 17-21, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7046}, pages = {71--82}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-25126-9\_15}, doi = {10.1007/978-3-642-25126-9\_15}, timestamp = {Thu, 14 Oct 2021 10:28:26 +0200}, biburl = {https://dblp.org/rec/conf/otm/FerreiraASJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/otm/SouzaLGRL11, author = {F{\'{a}}bio N. Souza and Danilo Lopes and Kiev Gama and Nelson Souto Rosa and Ricardo M. F. Lima}, editor = {Robert Meersman and Tharam S. Dillon and Pilar Herrero and Akhil Kumar and Manfred Reichert and Li Qing and Beng Chin Ooi and Ernesto Damiani and Douglas C. Schmidt and Jules White and Manfred Hauswirth and Pascal Hitzler and Mukesh K. Mohania}, title = {Dynamic Event-Based Monitoring in a {SOA} Environment}, booktitle = {On the Move to Meaningful Internet Systems: {OTM} 2011 - Confederated International Conferences: CoopIS, DOA-SVI, and {ODBASE} 2011, Hersonissos, Crete, Greece, October 17-21, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7045}, pages = {498--506}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-25106-1\_6}, doi = {10.1007/978-3-642-25106-1\_6}, timestamp = {Thu, 14 Oct 2021 10:28:28 +0200}, biburl = {https://dblp.org/rec/conf/otm/SouzaLGRL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/profes/FerreiraMP11, author = {Andr{\'{e}} L. Ferreira and Ricardo Jorge Machado and Mark C. Paulk}, editor = {Danilo Caivano and Markku Oivo and Maria Teresa Baldassarre and Giuseppe Visaggio}, title = {Supporting Audits and Assessments in Multi-model Environments}, booktitle = {Product-Focused Software Process Improvement - 12th International Conference, {PROFES} 2011, Torre Canne, Italy, June 20-22, 2011. Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {6759}, pages = {73--87}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21843-9\_8}, doi = {10.1007/978-3-642-21843-9\_8}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/profes/FerreiraMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/TeixeiraLOM11, author = {Marcelo Teixeira and Ricardo Massa Ferreira Lima and C{\'{e}}sar A. L. de Oliveira and Paulo Romero Martins Maciel}, editor = {William C. Chu and W. Eric Wong and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {Planning service agreements in soa-based systems through stochastic models}, booktitle = {Proceedings of the 2011 {ACM} Symposium on Applied Computing (SAC), TaiChung, Taiwan, March 21 - 24, 2011}, pages = {1576--1581}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1982185.1982518}, doi = {10.1145/1982185.1982518}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/TeixeiraLOM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/LemosSLO11, author = {Artini M. Lemos and Caio C. Sabino and Ricardo Massa Ferreira Lima and C{\'{e}}sar A. L. de Oliveira}, title = {Conformance Checking of Software Development Processes Through Process Mining}, booktitle = {Proceedings of the 23rd International Conference on Software Engineering {\&} Knowledge Engineering (SEKE'2011), Eden Roc Renaissance, Miami Beach, USA, July 7-9, 2011}, pages = {654--659}, publisher = {Knowledge Systems Institute Graduate School}, year = {2011}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seke/LemosSLO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/RebeloLKSCMRO11, author = {Henrique Reb{\^{e}}lo and Ricardo Massa Ferreira Lima and Uir{\'{a}} Kulesza and Cl{\'{a}}udio Sant'Anna and Roberta Coelho and Alexandre Mota and M{\'{a}}rcio Ribeiro and C{\'{e}}sar A. L. de Oliveira}, title = {Assessing the Impact of Aspects on Design By Contract Effort: {A} Quantitative Study}, booktitle = {Proceedings of the 23rd International Conference on Software Engineering {\&} Knowledge Engineering (SEKE'2011), Eden Roc Renaissance, Miami Beach, USA, July 7-9, 2011}, pages = {450--455}, publisher = {Knowledge Systems Institute Graduate School}, year = {2011}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seke/RebeloLKSCMRO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/CarvalhoLO11, author = {Renata Medeiros de Carvalho and Ricardo Massa Ferreira Lima and Adriano Lorena In{\'{a}}cio de Oliveira}, title = {An efficient algorithm for static task scheduling in parallel applications}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Anchorage, Alaska, USA, October 9-12, 2011}, pages = {2313--2318}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICSMC.2011.6084023}, doi = {10.1109/ICSMC.2011.6084023}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/CarvalhoLO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LemosSLO11, author = {Artini M. Lemos and Caio C. Sabino and Ricardo Massa Ferreira Lima and C{\'{e}}sar A. L. de Oliveira}, title = {Using process mining in software development process management: {A} case study}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Anchorage, Alaska, USA, October 9-12, 2011}, pages = {1181--1186}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICSMC.2011.6083858}, doi = {10.1109/ICSMC.2011.6083858}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/LemosSLO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wob/CerriC11, author = {Ricardo Cerri and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Osmar Norberto de Souza and Guilherme P. Telles and Mathew J. Palakal}, title = {Hierarchical Multilabel Protein Function Prediction Using Local Neural Networks}, booktitle = {Advances in Bioinformatics and Computational Biology - 6th Brazilian Symposium on Bioinformatics, {BSB} 2011, Brasilia, Brazil, August 10-12, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6832}, pages = {10--17}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22825-4\_2}, doi = {10.1007/978-3-642-22825-4\_2}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/wob/CerriC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/AlmeidaFEOG10, author = {Sandro Jer{\^{o}}nimo de Almeida and Ricardo Poley Martins Ferreira and {\'{A}}lvaro E. Eiras and Robin P. Obermayr and Martin Geier}, title = {Multi-agent modeling and simulation of an Aedes aegypti mosquito population}, journal = {Environ. Model. Softw.}, volume = {25}, number = {12}, pages = {1490--1507}, year = {2010}, url = {https://doi.org/10.1016/j.envsoft.2010.04.021}, doi = {10.1016/J.ENVSOFT.2010.04.021}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/AlmeidaFEOG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/FerreiraACC10, author = {Ana Margarida Ferreira and Luis Filipe Coelho Antunes and David W. Chadwick and Ricardo Jo{\~{a}}o Cruz Correia}, title = {Grounding information security in healthcare}, journal = {Int. J. Medical Informatics}, volume = {79}, number = {4}, pages = {268--283}, year = {2010}, url = {https://doi.org/10.1016/j.ijmedinf.2010.01.009}, doi = {10.1016/J.IJMEDINF.2010.01.009}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/FerreiraACC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijseke/AgostinhoMMAFRRBC10, author = {S{\'{e}}rgio Agostinho and Ana Moreira and Andr{\'{e}} Marques and Jo{\~{a}}o Ara{\'{u}}jo and Ricardo Ferreira and Ricardo Raminhos and Rita Almeida Ribeiro and Isabel Sofia Brito and Philippe Chevalley}, title = {Aspect-Oriented Specification: a Case Study in Space Domain}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {20}, number = {6}, pages = {783--808}, year = {2010}, url = {https://doi.org/10.1142/S0218194010004943}, doi = {10.1142/S0218194010004943}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijseke/AgostinhoMMAFRRBC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/OliveiraBLC10, author = {Adriano L. I. Oliveira and Petr{\^{o}}nio L. Braga and Ricardo Massa Ferreira Lima and M{\'{a}}rcio Corn{\'{e}}lio}, title = {GA-based method for feature selection and parameters optimization for machine learning regression applied to software effort estimation}, journal = {Inf. Softw. Technol.}, volume = {52}, number = {11}, pages = {1155--1166}, year = {2010}, url = {https://doi.org/10.1016/j.infsof.2010.05.009}, doi = {10.1016/J.INFSOF.2010.05.009}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/infsof/OliveiraBLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isys/FerreiraSNL10, author = {Simone Bacellar Leal Ferreira and Denis Silva da Silveira and Ricardo Rodrigues Nunes and Claudia Sim{\~{o}}es Pinto da Cunha Lima}, title = {Avaliando Acessibilidade em Sistemas de Comunica{\c{c}}{\~{a}}o com Usu{\'{a}}rios Cegos}, journal = {Braz. J. Inf. Syst.}, volume = {3}, number = {1}, year = {2010}, url = {https://doi.org/10.5753/isys.2010.173}, doi = {10.5753/ISYS.2010.173}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isys/FerreiraSNL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/CotaFNGL10, author = {Ricardo G. Cota and Anderson A. Ferreira and Cristiano Nascimento and Marcos Andr{\'{e}} Gon{\c{c}}alves and Alberto H. F. Laender}, title = {An unsupervised heuristic-based hierarchical method for name disambiguation in bibliographic citations}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {61}, number = {9}, pages = {1853--1870}, year = {2010}, url = {https://doi.org/10.1002/asi.21363}, doi = {10.1002/ASI.21363}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/CotaFNGL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rita/SaitoFTACS10, author = {Yuri Saito and Ricardo Jos{\'{e}} Ferrari and Jefferson Teixeira and Paulo M. Azevedo{-}Marques and Andr{\'{e}} C. P. L. F. de Carvalho and Antonio Carlos dos Santos}, title = {Modelo Estat{\'{\i}}stico para a Determina{\c{c}}{\~{a}}o do N{\'{u}}mero {\'{O}}timo de Itera{\c{c}}{\~{o}}es do Filtro de Difus{\~{a}}o Anisotr{\'{o}}pica Aplicado {\`{a}} Redu{\c{c}}{\~{a}}o de Ru{\'{\i}}do de Imagens de Resson{\^{a}}ncia Magn{\'{e}}tica do C{\'{e}}rebro}, journal = {{RITA}}, volume = {17}, number = {1}, pages = {52--70}, year = {2010}, url = {https://doi.org/10.22456/2175-2745.12669}, doi = {10.22456/2175-2745.12669}, timestamp = {Mon, 03 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rita/SaitoFTACS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/AlbuquerqueMLZ10, author = {Gabriel Alves de Albuquerque and Paulo Romero Martins Maciel and Ricardo Massa Ferreira Lima and Armin Zimmermann}, title = {Automatic Modeling for Performance Evaluation of Inventory and Outbound Distribution}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {A}}, volume = {40}, number = {5}, pages = {1025--1044}, year = {2010}, url = {https://doi.org/10.1109/TSMCA.2010.2046730}, doi = {10.1109/TSMCA.2010.2046730}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/AlbuquerqueMLZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/RosaCFOA10, author = {Alex da Rosa and Ricardo J. G. B. Campello and Paulo A. V. Ferreira and Gustavo Henrique Costa Oliveira and Wagner C. Amaral}, title = {Robust expansion of uncertain Volterra kernels into orthonormal series}, booktitle = {American Control Conference, {ACC} 2010, Baltimore, Maryland, USA, June 30 - July 2, 2010}, pages = {5465--5470}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ACC.2010.5530965}, doi = {10.1109/ACC.2010.5530965}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/RosaCFOA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/FerreiraMP10, author = {Andr{\'{e}} L. Ferreira and Ricardo Jorge Machado and Mark C. Paulk}, editor = {Jun Han and Tran Dan Thu}, title = {Quantitative Analysis of Best Practices Models in the Software Domain}, booktitle = {17th Asia Pacific Software Engineering Conference, {APSEC} 2010, Sydney, Australia, November 30 - December 3, 2010}, pages = {433--442}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/APSEC.2010.56}, doi = {10.1109/APSEC.2010.56}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsec/FerreiraMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/FarinhaCAAF10, author = {Pedro Farinha and Ricardo Jo{\~{a}}o Cruz Correia and Luis Filipe Coelho Antunes and Filipe Almeida and Ana Margarida Ferreira}, editor = {Ana L. N. Fred and Joaquim Filipe and Hugo Gamboa}, title = {From Legislation to Practice - {A} Case Study of Break the Glass in Healthcare}, booktitle = {{HEALTHINF} 2010 - Proceedings of the Third International Conference on Health Informatics, Valencia, Spain, January 20-23, 2010}, pages = {114--120}, publisher = {{INSTICC} Press}, year = {2010}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/FarinhaCAAF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/CarvalhoLOS10, author = {Renata Medeiros de Carvalho and Ricardo Massa Ferreira Lima and Adriano L. I. Oliveira and Felix C. G. Santos}, title = {Scheduling parallel jobs for multiphysics simulators}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2010, Barcelona, Spain, 18-23 July 2010}, pages = {1--8}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CEC.2010.5586180}, doi = {10.1109/CEC.2010.5586180}, timestamp = {Wed, 25 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/CarvalhoLOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/MeloLF10, author = {Francisco S. Melo and Manuel Lopes and Ricardo Ferreira}, editor = {Helder Coelho and Rudi Studer and Michael J. Wooldridge}, title = {Analysis of Inverse Reinforcement Learning with Perturbed Demonstrations}, booktitle = {{ECAI} 2010 - 19th European Conference on Artificial Intelligence, Lisbon, Portugal, August 16-20, 2010, Proceedings}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {215}, pages = {349--354}, publisher = {{IOS} Press}, year = {2010}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=17771}, timestamp = {Sat, 06 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecai/MeloLF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/FerreiraMP10, author = {Andr{\'{e}} L. Ferreira and Ricardo Jorge Machado and Mark C. Paulk}, title = {Size and Complexity Attributes for Multimodel Improvement Framework Taxonomy}, booktitle = {36th {EUROMICRO} Conference on Software Engineering and Advanced Applications, {SEAA} 2010, Lille, France, September 1-3, 2010}, pages = {306--309}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SEAA.2010.54}, doi = {10.1109/SEAA.2010.54}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euromicro/FerreiraMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/FerreiraV10, author = {Ricardo S. Ferreira and Julio C. Goldner Vendramini}, title = {FPGA-accelerated Attractor Computation of Scale Free Gene Regulatory Networks}, booktitle = {International Conference on Field Programmable Logic and Applications, {FPL} 2010, August 31 2010 - September 2, 2010, Milano, Italy}, pages = {550--555}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/FPL.2010.108}, doi = {10.1109/FPL.2010.108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/FerreiraV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsm/FerreiraMSBCP10, author = {Andr{\'{e}} L. Ferreira and Ricardo Jorge Machado and Jose G. Silva and Rui F. Batista and Lino A. Costa and Mark C. Paulk}, editor = {Radu Marinescu and Michele Lanza and Andrian Marcus}, title = {An approach to improving software inspections performance}, booktitle = {26th {IEEE} International Conference on Software Maintenance {(ICSM} 2010), September 12-18, 2010, Timisoara, Romania}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICSM.2010.5609700}, doi = {10.1109/ICSM.2010.5609700}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsm/FerreiraMSBCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsoft/AraujoRLMCLLL10, author = {Jos{\'{e}} Elias Ara{\'{u}}jo and Henrique Reb{\^{e}}lo and Ricardo Massa Ferreira Lima and Alexandre Mota and Fernando Castor and Tiago Lima and Juliana Lucena and Filipe Lima}, editor = {Jos{\'{e}} A. Moinhos Cordeiro and Maria Virvou and Boris Shishkov}, title = {An Aspect-based Approach for Concurrent Programming using {CSP} Features}, booktitle = {{ICSOFT} 2010 - Proceedings of the Fifth International Conference on Software and Data Technologies, Volume 2, Athens, Greece, July 22-24, 2010}, pages = {226--231}, publisher = {SciTePress}, year = {2010}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsoft/AraujoRLMCLLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icst/FerreiraFP10, author = {Ricardo D. F. Ferreira and Jo{\~{a}}o C. P. Faria and Ana C. R. Paiva}, title = {Test Coverage Analysis of {UML} State Machines}, booktitle = {Third International Conference on Software Testing, Verification and Validation, {ICST} 2010, Paris, France, April 7-9, 2010, Workshops Proceedings}, pages = {284--289}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICSTW.2010.60}, doi = {10.1109/ICSTW.2010.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icst/FerreiraFP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/LealQF10, author = {Jos{\'{e}} Paulo Leal and Ricardo Queir{\'{o}}s and Duarte Ferreira}, editor = {Xiangfeng Luo and Marc Spaniol and Lizhe Wang and Qing Li and Wolfgang Nejdl and Wu Zhang}, title = {Specifying a Programming Exercises Evaluation Service on the e-Framework}, booktitle = {Advances in Web-Based Learning - {ICWL} 2010 - 9th International Conference, Shanghai, China, December 8-10, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6483}, pages = {141--150}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17407-0\_15}, doi = {10.1007/978-3-642-17407-0\_15}, timestamp = {Sat, 09 Apr 2022 12:43:57 +0200}, biburl = {https://dblp.org/rec/conf/icwl/LealQF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/CerriC10, author = {Ricardo Cerri and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, title = {New top-down methods using SVMs for Hierarchical Multilabel Classification problems}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2010, Barcelona, Spain, 18-23 July, 2010}, pages = {1--8}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IJCNN.2010.5596597}, doi = {10.1109/IJCNN.2010.5596597}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/CerriC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeongTTBFRSLV10, author = {Carlos Leong and Jo{\~{a}}o Paulo Teixeira and Isabel C. Teixeira and Ricardo Bugalho and Manuel Ferreira and Pedro Miguel Rodrigues and Jos{\'{e}} C. Silva and Pedro Lous{\~{a}} and Jo{\~{a}}o Varela}, title = {Automatic Configuration of a Medical Imaging System to Unknown Delays in Synchronous Input Data Channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1185--1188}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537302}, doi = {10.1109/ISCAS.2010.5537302}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeongTTBFRSLV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/SantosAAGOPSS10, author = {V{\'{\i}}tor M. F. Santos and Jorge Almeida and Engenheiro Emanuel {\'{A}}vila and D. Gameiro and Miguel Oliveira and Ricardo Pascoal and R. Sabino and P. Stein}, title = {{ATLASCAR} - technologies for a computer assisted driving system on board a common automobile}, booktitle = {13th International {IEEE} Conference on Intelligent Transportation Systems, Funchal, Madeira, Portugal, 19-22 September 2010}, pages = {1421--1427}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ITSC.2010.5625031}, doi = {10.1109/ITSC.2010.5625031}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/SantosAAGOPSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/CaronaSMAFPML10, author = {Duarte Carona and Ant{\'{o}}nio Serrador and Pedro Mar and Ricardo Abreu and Nuno Ferreira and Thiago Meireles Paix{\~{a}}o and Jo{\~{a}}o Nuno Matos and Jorge Alves Lopes}, title = {A 802.11p prototype implementation}, booktitle = {{IEEE} Intelligent Vehicles Symposium (IV), 2010, La Jolla, CA, USA, June 21-24, 2010}, pages = {1116--1121}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IVS.2010.5548077}, doi = {10.1109/IVS.2010.5548077}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ivs/CaronaSMAFPML10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/Olaverri-MonrealGFVF10, author = {Cristina Olaverri{-}Monreal and Pedro Emanuel Rodrigues Gomes and Ricardo Fernandes and Fausto Vieira and Michel Ferreira}, title = {The See-Through System: {A} VANET-enabled assistant for overtaking maneuvers}, booktitle = {{IEEE} Intelligent Vehicles Symposium (IV), 2010, La Jolla, CA, USA, June 21-24, 2010}, pages = {123--128}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IVS.2010.5548020}, doi = {10.1109/IVS.2010.5548020}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ivs/Olaverri-MonrealGFVF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/FernandesdF10, author = {Ricardo Fernandes and Pedro M. d'Orey and Michel Ferreira}, title = {{DIVERT} for realistic simulation of heterogeneous vehicular networks}, booktitle = {{IEEE} 7th International Conference on Mobile Adhoc and Sensor Systems, {MASS} 2010, 8-12 November 2010, San Francisco, CA, {USA}}, pages = {721--726}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/MASS.2010.5663806}, doi = {10.1109/MASS.2010.5663806}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mass/FernandesdF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/FerreiraCC010, author = {Ana Ferreira and Ricardo Jo{\~{a}}o Cruz Correia and David W. Chadwick and Luis Antunes}, editor = {Charles Safran and Shane R. Reti and Heimar F. Marin}, title = {Access Control in Healthcare: the methodology from legislation to practice}, booktitle = {{MEDINFO} 2010 - Proceedings of the 13th World Congress on Medical Informatics, Cape Town, South Africa, September 12-15, 2010}, series = {Studies in Health Technology and Informatics}, volume = {160}, pages = {666--670}, publisher = {{IOS} Press}, year = {2010}, url = {https://doi.org/10.3233/978-1-60750-588-4-666}, doi = {10.3233/978-1-60750-588-4-666}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/FerreiraCC010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/FerreiraFCVT10, author = {Michel Ferreira and Ricardo Fernandes and Hugo Concei{\c{c}}{\~{a}}o and Wantanee Viriyasitavat and Ozan K. Tonguz}, editor = {Timo Kosch and Andr{\'{e}} Weimerskirch and Marc Torrent{-}Moreno and Marco Gruteser}, title = {Self-organized traffic control}, booktitle = {Proceedings of the Seventh International Workshop on Vehicular Ad Hoc Networks, {VANET} 2010, Chicago, IL, USA, September 24, 2010}, pages = {85--90}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1860058.1860077}, doi = {10.1145/1860058.1860077}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/FerreiraFCVT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nossdav/FerreiraM10, author = {M{\'{a}}rio Lopes Ferreira and Ricardo Morla}, editor = {Dick C. A. Bulterman and Mohamed Hefeeda}, title = {Second life in-world action traffic modeling}, booktitle = {Network and Operating System Support for Digital Audio and Video, 20th International Workshop, {NOSSDAV} 2010, Amsterdam, The Netherlands, June 2-4, 2010, Proceedings}, pages = {3--8}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1806565.1806569}, doi = {10.1145/1806565.1806569}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nossdav/FerreiraM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/quatic/FerreiraFP10, author = {Ricardo D. F. Ferreira and Jo{\~{a}}o C. P. Faria and Ana C. R. Paiva}, editor = {Fernando Brito e Abreu and Jo{\~{a}}o Pascoal Faria and Ricardo Jorge Machado}, title = {Test Coverage Analysis of {UML} Activity Diagrams for Interactive Systems}, booktitle = {Quality of Information and Communications Technology, 7th International Conference on the Quality of Information and Communications Technology, {QUATIC} 2010, Porto, Portugal, 29 September - 2 October, 2010, Proceedings}, pages = {268--274}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/QUATIC.2010.51}, doi = {10.1109/QUATIC.2010.51}, timestamp = {Wed, 09 Aug 2023 08:39:51 +0200}, biburl = {https://dblp.org/rec/conf/quatic/FerreiraFP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbrn/CerriC10, author = {Ricardo Cerri and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Teresa Bernarda Ludermir and Karla Figueiredo and Carlos E. Thomaz}, title = {Hierarchical Multilabel Classification Using Top-Down Label Combination and Artificial Neural Networks}, booktitle = {11th Brazilian Symposium on Neural Networks {(SBRN} 2010), Sao Paulo, 23-28 October, 2010}, pages = {253--258}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SBRN.2010.51}, doi = {10.1109/SBRN.2010.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbrn/CerriC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbrn/GomesPSRC10, author = {Taciana A. F. Gomes and Ricardo Bastos Cavalcante Prud{\^{e}}ncio and Carlos Soares and Andr{\'{e}} L. D. Rossi and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Teresa Bernarda Ludermir and Karla Figueiredo and Carlos E. Thomaz}, title = {Combining Meta-learning and Search Techniques to {SVM} Parameter Selection}, booktitle = {11th Brazilian Symposium on Neural Networks {(SBRN} 2010), Sao Paulo, 23-28 October, 2010}, pages = {79--84}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SBRN.2010.22}, doi = {10.1109/SBRN.2010.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbrn/GomesPSRC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/CarvalhoLOS10, author = {Renata Medeiros de Carvalho and Ricardo Massa Ferreira Lima and Adriano L. I. de Oliveira and Felix Christian Guimar{\~{a}}es Santos}, title = {Identifying parallel jobs for Multi-Physics simulators scheduling}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Istanbul, Turkey, 10-13 October 2010}, pages = {923--930}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICSMC.2010.5641882}, doi = {10.1109/ICSMC.2010.5641882}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/CarvalhoLOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TeixeiraLOM10, author = {Marcelo Teixeira and Ricardo Massa Ferreira Lima and C{\'{e}}sar A. L. de Oliveira and Paulo Romero Martins Maciel}, title = {A stochastic model for performance evaluation and bottleneck discovering on SOA-based systems}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Istanbul, Turkey, 10-13 October 2010}, pages = {358--365}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICSMC.2010.5641802}, doi = {10.1109/ICSMC.2010.5641802}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/TeixeiraLOM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sugarloafplop/RebeloLKCMRA10, author = {Henrique Reb{\^{e}}lo and Ricardo M. F. Lima and Uir{\'{a}} Kulesza and Roberta Coelho and Alexandre Mota and M{\'{a}}rcio Ribeiro and Jos{\'{e}} Elias Ara{\'{u}}jo}, editor = {Rebecca Wirfs{-}Brock and Uir{\'{a}} Kulesza}, title = {The contract enforcement aspect pattern}, booktitle = {Proceedings of the 8th Latin American Conference on Pattern Languages of Programs, SugarLoafPLoP 2010, Salvador, Bahia, Brazil, September 23-27, 2010}, pages = {6:1--6:14}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/2581507.2581513}, doi = {10.1145/2581507.2581513}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sugarloafplop/RebeloLKCMRA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/BatistaSMFC10, author = {Rodrigo L. Batista and Ricardo B. dos Santos and Tarcisio F. Maciel and Walter C. Freitas Jr. and Francisco Rodrigo P. Cavalcanti}, title = {Performance Evaluation for Resource Allocation Algorithms in CoMP Systems}, booktitle = {Proceedings of the 72nd {IEEE} Vehicular Technology Conference, {VTC} Fall 2010, 6-9 September 2010, Ottawa, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/VETECF.2010.5594241}, doi = {10.1109/VETECF.2010.5594241}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/BatistaSMFC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wimob/JuniorLO10, author = {Waldir Ribeiro Pires J{\'{u}}nior and Antonio Alfredo Ferreira Loureiro and Ricardo Rabelo Oliveira}, title = {Using Web technologies in assessment of context-aware pervasive/ubiquitous systems: {A} tourist guide service}, booktitle = {{IEEE} 6th International Conference on Wireless and Mobile Computing, Networking and Communications, WiMob 2010, Niagara Falls, Ontario, Canada, 11-13 October, 2010}, pages = {691--698}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/WIMOB.2010.5644854}, doi = {10.1109/WIMOB.2010.5644854}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wimob/JuniorLO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/CamargoMFL09, author = {Ricardo Saraiva de Camargo and Gilberto de Miranda Jr. and Ricardo Poley Martins Ferreira and Henrique Pacca Loureiro Luna}, title = {Multiple allocation hub-and-spoke network design under hub congestion}, journal = {Comput. Oper. Res.}, volume = {36}, number = {12}, pages = {3097--3106}, year = {2009}, url = {https://doi.org/10.1016/j.cor.2008.10.004}, doi = {10.1016/J.COR.2008.10.004}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/CamargoMFL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/AraujoF09, author = {Ricardo de A. Ara{\'{u}}jo and Tiago A. E. Ferreira}, title = {An intelligent hybrid morphological-rank-linear method for financial time series prediction}, journal = {Neurocomputing}, volume = {72}, number = {10-12}, pages = {2507--2524}, year = {2009}, url = {https://doi.org/10.1016/j.neucom.2008.11.008}, doi = {10.1016/J.NEUCOM.2008.11.008}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/AraujoF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbcb/FujitaSDSFM09, author = {Andr{\'{e}} Fujita and Jo{\~{a}}o Ricardo Sato and Marcos Angelo Almeida Demasi and Mari Cleide Sogayar and Carlos Eduardo Ferreira and Satoru Miyano}, title = {Comparing Pearson, Spearman and Hoeffding's d Measure for Gene Expression Association Analysis}, journal = {J. Bioinform. Comput. Biol.}, volume = {7}, number = {4}, pages = {663--684}, year = {2009}, url = {https://doi.org/10.1142/S0219720009004230}, doi = {10.1142/S0219720009004230}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbcb/FujitaSDSFM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/OliveiraLF09, author = {Ricardo Rabelo Oliveira and Antonio Alfredo Ferreira Loureiro and Alejandro C{\'{e}}sar Frery}, title = {A Multi-Scale Statistical Control Process for Mobility and Interference Identification in {IEEE} 802.11}, journal = {Mob. Networks Appl.}, volume = {14}, number = {6}, pages = {725--743}, year = {2009}, url = {https://doi.org/10.1007/s11036-008-0125-6}, doi = {10.1007/S11036-008-0125-6}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/OliveiraLF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/HruschkaCFC09, author = {Eduardo R. Hruschka and Ricardo Jos{\'{e}} Gabrielli Barreto Campello and Alex Alves Freitas and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, title = {A Survey of Evolutionary Algorithms for Clustering}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {C}}, volume = {39}, number = {2}, pages = {133--155}, year = {2009}, url = {https://doi.org/10.1109/TSMCC.2008.2007252}, doi = {10.1109/TSMCC.2008.2007252}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/HruschkaCFC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/BrancoCFMNSS09, author = {Ant{\'{o}}nio Branco and Francisco Costa and Eduardo Ferreira and Pedro Martins and Filipe Nunes and Jo{\~{a}}o Ricardo Silva and Sara Silveira}, title = {LX-Center: a center of online linguistic services}, booktitle = {{ACL} 2009, Proceedings of the 47th Annual Meeting of the Association for Computational Linguistics and the 4th International Joint Conference on Natural Language Processing of the AFNLP, 2-7 August 2009, Singapore, Software Demonstrations}, pages = {5--8}, publisher = {The Association for Computer Linguistics}, year = {2009}, url = {https://aclanthology.org/P09-4002/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/BrancoCFMNSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsac/FerreiraCFCZCA09, author = {Ana Ferreira and David W. Chadwick and Pedro Farinha and Ricardo Jo{\~{a}}o Cruz Correia and Gansen Zhao and Rui Chilro and Luis Filipe Coelho Antunes}, title = {How to Securely Break into {RBAC:} The {BTG-RBAC} Model}, booktitle = {Twenty-Fifth Annual Computer Security Applications Conference, {ACSAC} 2009, Honolulu, Hawaii, USA, 7-11 December 2009}, pages = {23--31}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ACSAC.2009.12}, doi = {10.1109/ACSAC.2009.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsac/FerreiraCFCZCA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/africom/DinisSSFMC09, author = {Manuel Dinis and Fernando Santiago and Lu{\'{\i}}s Ferreira da Silva and Ricardo Ferreira and Jos{\'{e}} Machado and Eduardo Castela}, editor = {Adolfo Villafiorita and R{\'{e}}gis Saint{-}Paul and Alessandro Zorer}, title = {Telemedicine as a Tool for Europe-Africa Cooperation: {A} Practical Experience}, booktitle = {E-Infrastructures and E-Services on Developing Countries - First International {ICST} Conference, {AFRICOM} 2009, Maputo, Mozambique, December 3-4, 2009. Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {38}, pages = {86--94}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-12701-4\_10}, doi = {10.1007/978-3-642-12701-4\_10}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/africom/DinisSSFMC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/FerreiraDVTC09, author = {Ricardo S. Ferreira and Alex Damiany and Julio C. Goldner Vendramini and Tiago Teixeira and Jo{\~{a}}o M. P. Cardoso}, editor = {J{\"{u}}rgen Becker and Roger F. Woods and Peter M. Athanas and Fearghal Morgan}, title = {On Simplifying Placement and Routing by Extending Coarse-Grained Reconfigurable Arrays with Omega Networks}, booktitle = {Reconfigurable Computing: Architectures, Tools and Applications, 5th International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5453}, pages = {145--156}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-00641-8\_16}, doi = {10.1007/978-3-642-00641-8\_16}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arc/FerreiraDVTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/AlbuquerqueBBCFFGGLLMMNOPPRRRSSTTTV09, author = {Edgar F. M. Albuquerque and Vasco Bexiga and Ricardo Bugalho and Bruno Carri{\c{c}}o and Cl{\'{a}}udia S. Ferreira and Miguel Ferreira and Joaquim Godinho and Fernando M. Gon{\c{c}}alves and Carlos Leong and Pedro Lous{\~{a}} and Pedro Machado and Rui Moura and Pedro Neves and Catarina Ortig{\~{a}}o and Fernando Piedade and Jo{\~{a}}o F. Pinheiro and P. Relvas and Angelo Rivetti and Pedro Miguel Rodrigues and Jos{\'{e}} C. Silva and Manuel M. Silva and Isabel C. Teixeira and Jo{\~{a}}o Paulo Teixeira and Andreia Trindade and Jo{\~{a}}o Varela}, editor = {Teodiano Freire Bastos Filho and Hugo Gamboa}, title = {On-Detector Electronics of the Clear {PEM} Scanner}, booktitle = {{BIODEVICES} 2009 - Proceedings of the International Conference on Biomedical Electronics and Devices, Porto, Portugal, January 14-17, 2009}, pages = {355--358}, publisher = {{INSTICC} Press}, year = {2009}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/AlbuquerqueBBCFFGGLLMMNOPPRRRSSTTTV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/BugalhoCFFMOPRSTV09, author = {Ricardo Bugalho and Bruno Carri{\c{c}}o and Cl{\'{a}}udia S. Ferreira and Manuel Ferreira and Rui Moura and Catarina Ortig{\~{a}}o and Jo{\~{a}}o Pinheiro and Pedro Miguel Rodrigues and Jos{\'{e}} C. Silva and Andreia Trindade and Jo{\~{a}}o Varela}, editor = {Teodiano Freire Bastos Filho and Hugo Gamboa}, title = {Avalanche Photodiodes for High-resolution {PET} Imaging Systems}, booktitle = {{BIODEVICES} 2009 - Proceedings of the International Conference on Biomedical Electronics and Devices, Porto, Portugal, January 14-17, 2009}, pages = {31--38}, publisher = {{INSTICC} Press}, year = {2009}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/BugalhoCFFMOPRSTV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/LeongMBJ09, author = {Carlos Leong and Pedro Machado and Vasco Bexiga and Jo{\~{a}}o Paulo Teixeira and Isabel C. Teixeira and Joel Rego and Pedro Neves and Fernando Piedade and Pedro Lous{\~{a}} and Pedro Miguel Rodrigues and Andreia Trindade and Ricardo Bugalho and Jo{\~{a}}o F. Pinheiro and Manuel Ferreira and Jo{\~{a}}o Varela}, editor = {Teodiano Freire Bastos Filho and Hugo Gamboa}, title = {Data Acquisition Electronics for {PET} Mammography Imaging}, booktitle = {{BIODEVICES} 2009 - Proceedings of the International Conference on Biomedical Electronics and Devices, Porto, Portugal, January 14-17, 2009}, pages = {192--197}, publisher = {{INSTICC} Press}, year = {2009}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/LeongMBJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/FerreiraXC09, author = {Ricardo Ferreira and Jo{\~{a}}o Manuel Freitas Xavier and Jo{\~{a}}o Paulo Costeira}, editor = {Andrea Cavallaro and Simon Prince and Daniel C. Alexander}, title = {Shape From Motion of Nonrigid Objects: The Case of Isometrically Deformable Flat Surfaces}, booktitle = {British Machine Vision Conference, {BMVC} 2009, London, UK, September 7-10, 2009. Proceedings}, pages = {1--10}, publisher = {British Machine Vision Association}, year = {2009}, url = {https://doi.org/10.5244/C.23.40}, doi = {10.5244/C.23.40}, timestamp = {Sat, 06 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/FerreiraXC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/BarrosNFFFSRXS09, author = {Ricardo M. L. Barros and Jos{\'{e}} A. Rodrigues Nt. and Heraldo J. A. Carneiro Filho and Fabr{\'{\i}}cio Raphael Silva Ferreira and Oliverio C. Fernandes and Carlos Eduardo Paulino Silva and And{\'{e}} L. G. Ribeiro and Geraldo Xex{\'{e}}o and Jano Moreira de Souza}, editor = {Marcos R. S. Borges and Weiming Shen and Jos{\'{e}} A. Pino and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Sergio F. Ochoa and Jianming Yong}, title = {A collaborative approach to building evaluated web pages datasets}, booktitle = {Proceedings of the 13th International Conference on Computers Supported Cooperative Work in Design, {CSCWD} 2009, April 22-24, 2009, Santiago, Chile}, pages = {668--673}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CSCWD.2009.4968135}, doi = {10.1109/CSCWD.2009.4968135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscwd/BarrosNFFFSRXS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/SilvaMOSMSZ09, author = {Ricardo Tadeu da Silva and Victor Str{\"{o}}ele A. Menezes and Jonice Oliveira and Mois{\'{e}}s Ferreira de Souza and Carlos Eduardo Ribeiro de Mello and Jano Moreira de Souza and Geraldo Zimbr{\~{a}}o}, editor = {Marcos R. S. Borges and Weiming Shen and Jos{\'{e}} A. Pino and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Sergio F. Ochoa and Jianming Yong}, title = {Mining and analyzing organizational social networks for collaborative design}, booktitle = {Proceedings of the 13th International Conference on Computers Supported Cooperative Work in Design, {CSCWD} 2009, April 22-24, 2009, Santiago, Chile}, pages = {504--509}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CSCWD.2009.4968109}, doi = {10.1109/CSCWD.2009.4968109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscwd/SilvaMOSMSZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/FerreiraXC09, author = {Ricardo Ferreira and Jo{\~{a}}o Manuel Freitas Xavier and Jo{\~{a}}o Paulo Costeira}, title = {Reconstruction of isometrically deformable flat surfaces in 3D from multiple camera images}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2009, 19-24 April 2009, Taipei, Taiwan}, pages = {749--752}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICASSP.2009.4959692}, doi = {10.1109/ICASSP.2009.4959692}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/FerreiraXC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/FerreiraHQM09, author = {Renan U. Ferreira and Edson M. Hung and Ricardo L. de Queiroz and Debargha Mukherjee}, title = {Efficiency improvements for a geometric-partition-based video coder}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {1009--1012}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5413818}, doi = {10.1109/ICIP.2009.5413818}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/FerreiraHQM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/GuedesPVV09, author = {Bruno Miguel Marcos Guedes and Ricardo J. F. Lopes Pereira and Ant{\'{o}}nio Varela and Teresa Maria Vaz{\~{a}}o}, editor = {Yong{-}Jin Park and Yanghee Choi}, title = {Simple media-aware packet discard algorithms}, booktitle = {2009 International Conference on Information Networking, {ICOIN} 2009, Chiang Mai, Thailand, January 21-24, 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/document/4897298/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/GuedesPVV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsea/FerreiraM09, author = {Andr{\'{e}} L. Ferreira and Ricardo Jorge Machado}, editor = {Kenneth Boness and Jo{\~{a}}o M. Fernandes and Jon G. Hall and Ricardo Jorge Machado and Roy Oberhauser}, title = {Software Process Improvement in Multimodel Environments}, booktitle = {The Fourth International Conference on Software Engineering Advances, {ICSEA} 2009, 20-25 September 2009, Porto, Portugal}, pages = {512--517}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICSEA.2009.80}, doi = {10.1109/ICSEA.2009.80}, timestamp = {Thu, 11 Jul 2024 20:37:25 +0200}, biburl = {https://dblp.org/rec/conf/icsea/FerreiraM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsea/FerreiraMG09, author = {Nuno Ferreira and Ricardo Jorge Machado and Dragan Gasevic}, editor = {Kenneth Boness and Jo{\~{a}}o M. Fernandes and Jon G. Hall and Ricardo Jorge Machado and Roy Oberhauser}, title = {An Ontology-Based Approach to Model-Driven Software Product Lines}, booktitle = {The Fourth International Conference on Software Engineering Advances, {ICSEA} 2009, 20-25 September 2009, Porto, Portugal}, pages = {559--564}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICSEA.2009.88}, doi = {10.1109/ICSEA.2009.88}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsea/FerreiraMG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FerreiraLBLRC09, author = {Ricardo S. Ferreira and Marcone Laure and Antonio Carlos Schneider Beck and Thiago Lo and Mateus B. Rutzig and Luigi Carro}, title = {A low cost and adaptable routing network for reconfigurable systems}, booktitle = {23rd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2009, Rome, Italy, May 23-29, 2009}, pages = {1--8}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/IPDPS.2009.5161217}, doi = {10.1109/IPDPS.2009.5161217}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FerreiraLBLRC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/FerreiraCFT09, author = {Michel Ferreira and Hugo Concei{\c{c}}{\~{a}}o and Ricardo Fernandes and Ozan K. Tonguz}, editor = {Rajeev Shorey and Andr{\'{e}} Weimerskirch and Daniel Jiang and Martin Mauve}, title = {Stereoscopic aerial photography: an alternative to model-based urban mobility approaches}, booktitle = {Proceedings of the Sixth International Workshop on Vehicular Ad Hoc Networks, {VANET} 2009, Beijing, China, September 25, 2009}, pages = {53--62}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1614269.1614279}, doi = {10.1145/1614269.1614279}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/FerreiraCFT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pe-wasun/SouzaRFG09, author = {Vitor Barbosa C. Souza and Mauro Nacif Rocha and Ricardo Santos Ferreira and Carlos de Castro Goulart}, editor = {Stephan Olariu and Azzedine Boukerche and Isabelle Gu{\'{e}}rin Lassous}, title = {An implementation of the multi-geo routing protocol for wireless sensor networks using quadtrees}, booktitle = {Proceedings of the 6th {ACM} International Workshop on Performance Evaluation of Wireless Ad Hoc, Sensor, and Ubiquitous Networks, {PE-WASUN} 2009, Tenerife, Canary Islands, Spain, October 28-29, 2009}, pages = {23--26}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1641876.1641881}, doi = {10.1145/1641876.1641881}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pe-wasun/SouzaRFG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbsi/BachFSN09, author = {Catharine Ferreira Bach and Simone Bacellar Leal Ferreira and Denis Silva da Silveira and Ricardo Rodrigues Nunes}, editor = {Jorge Henrique Cabral Fernandes and Renata Mendes de Araujo and Silvana Aparecida Borsetti Greg{\'{o}}rio Vidotti}, title = {Diretrizes de Acessibilidade: Uma Abordagem Comparativa entre {WCAG} e e-MAG}, booktitle = {Proceedings of the 5th Brazilian Symposium on Information Systems, {SBSI} 2009, Bras{\'{\i}}lia;, Brazil, May 20-22, 2009}, pages = {73--84}, year = {2009}, url = {https://doi.org/10.5753/sbsi.2009.6167}, doi = {10.5753/SBSI.2009.6167}, timestamp = {Mon, 14 Feb 2022 17:12:40 +0100}, biburl = {https://dblp.org/rec/conf/sbsi/BachFSN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/OliveiraLAR09, author = {C{\'{e}}sar A. L. de Oliveira and Ricardo Massa Ferreira Lima and Thiago Andre and Hajo A. Reijers}, title = {Modeling and Analyzing Resource-Constrained Business Processes}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, San Antonio, TX, USA, 11-14 October 2009}, pages = {2824--2830}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICSMC.2009.5346592}, doi = {10.1109/ICSMC.2009.5346592}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/OliveiraLAR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TeixeiraLOM09, author = {Marcelo Teixeira and Ricardo Massa Ferreira Lima and C{\'{e}}sar A. L. de Oliveira and Paulo Romero Martins Maciel}, title = {Performance Evaluation of Service-Oriented Architecture through Stochastic Petri Nets}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, San Antonio, TX, USA, 11-14 October 2009}, pages = {2831--2836}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICSMC.2009.5346593}, doi = {10.1109/ICSMC.2009.5346593}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/TeixeiraLOM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FerreiraCFT09, author = {Michel Ferreira and Hugo Concei{\c{c}}{\~{a}}o and Ricardo Fernandes and Ozan K. Tonguz}, title = {Urban Connectivity Analysis of VANETs through Stereoscopic Aerial Photography}, booktitle = {Proceedings of the 70th {IEEE} Vehicular Technology Conference, {VTC} Fall 2009, 20-23 September 2009, Anchorage, Alaska, {USA}}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/VETECF.2009.5378962}, doi = {10.1109/VETECF.2009.5378962}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FerreiraCFT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wob/CerriSC09, author = {Ricardo Cerri and Renato R. O. da Silva and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Katia S. Guimar{\~{a}}es and Anna R. Panchenko and Teresa M. Przytycka}, title = {Comparing Methods for Multilabel Classification of Proteins Using Machine Learning Techniques}, booktitle = {Advances in Bioinformatics and Computational Biology, 4th Brazilian Symposium on Bioinformatics, {BSB} 2009, Porto Alegre, Brazil, July 29-31, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5676}, pages = {109--120}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03223-3\_10}, doi = {10.1007/978-3-642-03223-3\_10}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/wob/CerriSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/HortaNCHC09, author = {Danilo Horta and Murilo Coelho Naldi and Ricardo Jos{\'{e}} Gabrielli Barreto Campello and Eduardo R. Hruschka and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, editor = {Ajith Abraham and Aboul Ella Hassanien and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho}, title = {Evolutionary Fuzzy Clustering: An Overview and Efficiency Issues}, booktitle = {Foundations of Computational Intelligence - Volume 4: Bio-Inspired Data Mining}, series = {Studies in Computational Intelligence}, volume = {204}, pages = {167--195}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01088-0\_8}, doi = {10.1007/978-3-642-01088-0\_8}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/sci/HortaNCHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/BarretoMTFOL08, author = {Raimundo S. Barreto and Paulo Romero Martins Maciel and Eduardo Tavares and Romulo Devezas Freitas and Meuse N. Oliveira Jr. and Ricardo Massa Ferreira Lima}, title = {A time Petri net-based method for embedded hard real-time software synthesis}, journal = {Des. Autom. Embed. Syst.}, volume = {12}, number = {1-2}, pages = {31--62}, year = {2008}, url = {https://doi.org/10.1007/s10617-007-9011-x}, doi = {10.1007/S10617-007-9011-X}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/BarretoMTFOL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dlib/FerreiraRBS08, author = {Miguel Ferreira and El{\'{o}}y Rodrigues and Ana Alice Baptista and Ricardo Saraiva}, title = {Carrots and Sticks: Some Ideas on How to Create a Successful Institutional Repository}, journal = {D Lib Mag.}, volume = {14}, number = {1/2}, year = {2008}, url = {https://doi.org/10.1045/january2008-ferreira}, doi = {10.1045/JANUARY2008-FERREIRA}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dlib/FerreiraRBS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/MateusFC08, author = {Ricardo Mateus and J. Antunes Ferreira and Jo{\~{a}}o Carreira}, title = {Multicriteria decision analysis {(MCDA):} Central Porto high-speed railway station}, journal = {Eur. J. Oper. Res.}, volume = {187}, number = {1}, pages = {1--18}, year = {2008}, url = {https://doi.org/10.1016/j.ejor.2007.04.006}, doi = {10.1016/J.EJOR.2007.04.006}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/MateusFC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbcb/FujitaSGSFM08, author = {Andr{\'{e}} Fujita and Jo{\~{a}}o Ricardo Sato and Humberto Miguel Garay{-}Malpartida and Mari Cleide Sogayar and Carlos Eduardo Ferreira and Satoru Miyano}, title = {Modeling Nonlinear Gene Regulatory Networks from Time Series Gene Expression Data}, journal = {J. Bioinform. Comput. Biol.}, volume = {6}, number = {5}, pages = {961--979}, year = {2008}, url = {https://doi.org/10.1142/S0219720008003746}, doi = {10.1142/S0219720008003746}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbcb/FujitaSGSFM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dica/DiasCSCSS08, author = {Paulo Dias and Guilherme Campos and V{\'{\i}}tor M. F. Santos and Ricardo Casaleiro and Ricardo Seco and Beatriz Sousa Santos}, editor = {Brian D. Corner and Masaaki Mochimaru and Robert Sitnik}, title = {3D reconstruction and spatial auralization of the Painted Dolmen of Antelas}, booktitle = {Proceedings of the Conference on Three-Dimensional Image Capture and Applications 2008, San Jose, CA, USA, January 28-29, 2008}, series = {{SPIE} Proceedings}, volume = {6805}, pages = {68050Y}, publisher = {{SPIE}}, year = {2008}, url = {https://doi.org/10.1117/12.766607}, doi = {10.1117/12.766607}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dica/DiasCSCSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/CarvalhoFCSJ08, author = {Rodrigo Baroni de Carvalho and Marta Ara{\'{u}}jo Tavares Ferreira and Chun Wei Choo and Ricardo Vidigal da Silva and Luiz Antonio Joia}, editor = {Izak Benbasat and Ali R. Montazemi}, title = {Analysis of the Effects of Technological and Organizational Features on Intranet and Portal Usage}, booktitle = {Learning from the past {\&} charting the future of the discipline. 14th Americas Conference on Information Systems, {AMCIS} 2008, Toronto, Ontario, Canada, August 14-17, 2008}, pages = {394}, publisher = {Association for Information Systems}, year = {2008}, url = {http://aisel.aisnet.org/amcis2008/394}, timestamp = {Tue, 03 Jan 2012 16:36:36 +0100}, biburl = {https://dblp.org/rec/conf/amcis/CarvalhoFCSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/Ferreira0PSMSSSGA0AFMMC08, author = {Ana Margarida Ferreira and Luis Antunes and Catarina Pinho and Catarina S{\'{a}} and Edite Mendes and Emanuel Santos and F{\'{a}}tima Silva and Filipa Sousa and Filipe Gomes and Fernando Abreu and Fernando Mota and Francisca Aguiar and Francisco Faria and Francisco Macedo and Sim{\~{a}}o Martins and Ricardo Jo{\~{a}}o Cruz Correia}, editor = {Lu{\'{\i}}s Azevedo and Ana Rita Londral}, title = {Who Should Access Electronic Patient Records}, booktitle = {Proceedings of the First International Conference on Health Informatics, {HEALTHINF} 2008, Funchal, Madeira, Portugal, January 28-31, 2008, Volume 1}, pages = {182--185}, publisher = {{INSTICC} - Institute for Systems and Technologies of Information, Control and Communication}, year = {2008}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/Ferreira0PSMSSSGA0AFMMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/AranildoFA08, author = {Aranildo Rodrigues Lima Junior and Tiago Alessandro Esp{\'{\i}}nola Ferreira and Ricardo de A. Ara{\'{u}}jo}, title = {An experimental study with a Hybrid method for tuning neural network for time series prediction}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2008, June 1-6, 2008, Hong Kong, China}, pages = {3435--3442}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CEC.2008.4631262}, doi = {10.1109/CEC.2008.4631262}, timestamp = {Thu, 16 Dec 2021 14:01:33 +0100}, biburl = {https://dblp.org/rec/conf/cec/AranildoFA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/AraujoJF08, author = {Ricardo de A. Ara{\'{u}}jo and Aranildo Rodrigues Lima Junior and Tiago Alessandro Esp{\'{\i}}nola Ferreira}, title = {Morphological-Rank-Linear Time-lag Added Evolutionary Forecasting method for financial time series forecasting}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2008, June 1-6, 2008, Hong Kong, China}, pages = {1340--1347}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CEC.2008.4630969}, doi = {10.1109/CEC.2008.4630969}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/AraujoJF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/AraujoJF08a, author = {Ricardo de A. Ara{\'{u}}jo and Aranildo Rodrigues Lima Junior and Tiago Alessandro Esp{\'{\i}}nola Ferreira}, title = {A Quantum-Inspired Intelligent Hybrid method for stock market forecasting}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2008, June 1-6, 2008, Hong Kong, China}, pages = {1348--1355}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CEC.2008.4630970}, doi = {10.1109/CEC.2008.4630970}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/AraujoJF08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrisolaraORLCW08, author = {Lisane B. de Brisolara and Marcio Ferreira da Silva Oliveira and Ricardo Miotto Redin and Lu{\'{\i}}s C. Lamb and Luigi Carro and Fl{\'{a}}vio Rech Wagner}, editor = {Donatella Sciuto}, title = {Using {UML} as Front-end for Heterogeneous Software Code Generation Strategies}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {504--509}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484731}, doi = {10.1109/DATE.2008.4484731}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BrisolaraORLCW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/doceng/LaenderGCFSS08, author = {Alberto H. F. Laender and Marcos Andr{\'{e}} Gon{\c{c}}alves and Ricardo G. Cota and Anderson A. Ferreira and Rodrygo L. T. Santos and Allan J. C. Silva}, editor = {Maria da Gra{\c{c}}a Campos Pimentel and Dick C. A. Bulterman and Luiz Fernando Gomes Soares}, title = {Keeping a digital library clean: new solutions to old problems}, booktitle = {Proceedings of the 2008 {ACM} Symposium on Document Engineering, Sao Paulo, Brazil, September 16-19, 2008}, pages = {257--262}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1410140.1410195}, doi = {10.1145/1410140.1410195}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/doceng/LaenderGCFSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecsa/FilhoCNOLAM08, author = {Ednaldo Dilorenzo de Souza Filho and Ricardo de Oliveira Cavalcanti and Danuza Ferreira Santana Neiva and Thiago Henrique Burgos de Oliveira and Liana Barachisio Lisboa and Eduardo Santana de Almeida and Silvio Romero de Lemos Meira}, editor = {Ronald Morrison and Dharini Balasubramaniam and Katrina Falkner}, title = {Evaluating Domain Design Approaches Using Systematic Review}, booktitle = {Software Architecture, Second European Conference, {ECSA} 2008, Paphos, Cyprus, September 29 - October 1, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5292}, pages = {50--65}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-88030-1\_6}, doi = {10.1007/978-3-540-88030-1\_6}, timestamp = {Mon, 17 Jul 2023 13:04:30 +0200}, biburl = {https://dblp.org/rec/conf/ecsa/FilhoCNOLAM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/FerreiraLRBC08, author = {Ricardo S. Ferreira and Marcone Laure and Mateus B. Rutzig and Antonio Carlos Schneider Beck and Luigi Carro}, title = {Reducing interconnection cost in coarse-grained dynamic computing through multistage network}, booktitle = {{FPL} 2008, International Conference on Field Programmable Logic and Applications, Heidelberg, Germany, 8-10 September 2008}, pages = {47--52}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPL.2008.4629906}, doi = {10.1109/FPL.2008.4629906}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/FerreiraLRBC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/AgostinhoMMABFRKRC08, author = {S{\'{e}}rgio Agostinho and Ana Moreira and Andr{\'{e}} Marques and Jo{\~{a}}o Ara{\'{u}}jo and Isabel Sofia Brito and Ricardo Ferreira and Ricardo Raminhos and Jasna Kovacevic and Rita Almeida Ribeiro and Philippe Chevalley}, editor = {Jos{\'{e}} Cordeiro and Joaquim Filipe}, title = {A Metadata-Driven Approach for Aspect-Oriented Requirements Analysis}, booktitle = {{ICEIS} 2008 - Proceedings of the Tenth International Conference on Enterprise Information Systems, Volume ISAS-2, Barcelona, Spain, June 12-16, 2008}, pages = {129--136}, year = {2008}, timestamp = {Tue, 23 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iceis/AgostinhoMMABFRKRC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/PereiraV08, author = {Ricardo J. F. Lopes Pereira and Teresa Maria Vaz{\~{a}}o}, editor = {Yong{-}Jin Park and Yanghee Choi}, title = {On the Impact of {P2P} File Sharing Traffic Restrictions on User Perceived Performance}, booktitle = {2008 International Conference on Information Networking, {ICOIN} 2008, Busan, Korea, January 23-25, 2008}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICOIN.2008.4472802}, doi = {10.1109/ICOIN.2008.4472802}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icoin/PereiraV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icst/RebeloLCS08, author = {Henrique Reb{\^{e}}lo and Ricardo Massa Ferreira Lima and M{\'{a}}rcio Corn{\'{e}}lio and S{\'{e}}rgio Soares}, title = {A {JML} Compiler Based on AspectJ}, booktitle = {First International Conference on Software Testing, Verification, and Validation, {ICST} 2008, Lillehammer, Norway, April 9-11, 2008}, pages = {541--544}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICST.2008.14}, doi = {10.1109/ICST.2008.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icst/RebeloLCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-3/RedinOBMLWC08, author = {Ricardo Miotto Redin and Marcio Ferreira da Silva Oliveira and Lisane B. de Brisolara and J{\'{u}}lio C. B. de Mattos and Lu{\'{\i}}s C. Lamb and Fl{\'{a}}vio Rech Wagner and Luigi Carro}, editor = {Bernd Kleinjohann and Lisa Kleinjohann and Wayne H. Wolf}, title = {On the Use of Software Quality Metrics to Improve Physical Properties of Embedded Systems}, booktitle = {Distributed Embedded Systems: Design, Middleware and Resources, {IFIP} 20th World Computer Congress, {TC10} Working Conference on Distributed and Parallel Embedded Systems {(DIPES} 2008), September 7-10, 2008, Milano, Italy}, series = {{IFIP}}, volume = {271}, pages = {101--110}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-0-387-09661-2\_10}, doi = {10.1007/978-0-387-09661-2\_10}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-3/RedinOBMLWC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mompes/OliveiraRCLW08, author = {Marcio Ferreira da Silva Oliveira and Ricardo Miotto Redin and Luigi Carro and Lu{\'{\i}}s da Cunha Lamb and Fl{\'{a}}vio Rech Wagner}, editor = {Ricardo Jorge Machado and Jo{\~{a}}o M. Fernandes and Fl{\'{a}}vio Rech Wagner and Rick Kazman}, title = {Software Quality Metrics and their Impact on Embedded Software}, booktitle = {Model-based Methodologies for Pervasive and Embedded Software, 5th International Workshop on Model-based Methodologies for Pervasive and Embedded Software, {MOMPES} 2008, Budapest, Hungary, April 5, 2008, Proceedings}, pages = {68--77}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MOMPES.2008.11}, doi = {10.1109/MOMPES.2008.11}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mompes/OliveiraRCLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/OliveiraL08, author = {Ricardo Rabelo Oliveira and Antonio Alfredo Ferreira Loureiro}, editor = {Marcus Brunner and Carlos Becker Westphall and Lisandro Zambenedetti Granville}, title = {Improving user experience and resource management in wireless communications}, booktitle = {{IEEE/IFIP} Network Operations and Management Symposium: Pervasive Management for Ubioquitous Networks and Services, {NOMS} 2008, 7-11 April 2008, Salvador, Bahia, Brazil}, pages = {971--974}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/NOMS.2008.4575260}, doi = {10.1109/NOMS.2008.4575260}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/noms/OliveiraL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/otm/MenezesSSOMSZ08, author = {Victor Str{\"{o}}ele A. Menezes and Ricardo Tadeu da Silva and Mois{\'{e}}s Ferreira de Souza and Jonice Oliveira and Carlos Eduardo Ribeiro de Mello and Jano Moreira de Souza and Geraldo Zimbr{\~{a}}o}, editor = {Robert Meersman and Zahir Tari and Pilar Herrero}, title = {Mining and Analyzing Organizational Social Networks Using Minimum Spanning Tree}, booktitle = {On the Move to Meaningful Internet Systems: {OTM} 2008 Workshops, {OTM} Confederated International Workshops and Posters, ADI, AWeSoMe, COMBEK, EI2N, IWSSA, MONET, OnToContent + QSI, ORM, PerSys, RDDS, SEMELS, and {SWWS} 2008, Monterrey, Mexico, November 9-14, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5333}, pages = {18--19}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-88875-8\_9}, doi = {10.1007/978-3-540-88875-8\_9}, timestamp = {Fri, 28 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/otm/MenezesSSOMSZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/RebeloSLFC08, author = {Henrique Reb{\^{e}}lo and S{\'{e}}rgio Soares and Ricardo Massa Ferreira Lima and Leopoldo Ferreira and M{\'{a}}rcio Corn{\'{e}}lio}, editor = {Roger L. Wainwright and Hisham Haddad}, title = {Implementing Java modeling language contracts with AspectJ}, booktitle = {Proceedings of the 2008 {ACM} Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008}, pages = {228--233}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1363686.1363745}, doi = {10.1145/1363686.1363745}, timestamp = {Tue, 06 Nov 2018 11:06:48 +0100}, biburl = {https://dblp.org/rec/conf/sac/RebeloSLFC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbbd/FerreiraTGF08, author = {Cristiano D. Ferreira and Ricardo da Silva Torres and Marcos Andr{\'{e}} Gon{\c{c}}alves and Weiguo Fan}, editor = {Sandra de Amo}, title = {Image Retrieval with Relevance Feedback based on Genetic Programming}, booktitle = {{XXIII} Simp{\'{o}}sio Brasileiro de Banco de Dados, 13-15 de Outubro, Campinas, S{\~{a}}o Paulo, Brasil, Anais}, pages = {120--134}, publisher = {{SBC}}, year = {2008}, url = {http://www.lbd.dcc.ufmg.br:8080/colecoes/sbbd/2008/009.pdf}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbbd/FerreiraTGF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbbd/NardiNPSGF08, author = {Alexandre Ricardo Nardi and Diogo R. B. Nascimento and Murilo Rebelo Pontes and Thiago T. Seixas and Fabiano A. F. Gra{\c{c}}as and Jo{\~{a}}o Eduardo Ferreira}, editor = {Sandra de Amo}, title = {Um Padr{\~{a}}o Can{\^{o}}nico para Controle de Paraleliza{\c{c}}{\~{a}}o em Aplica{\c{c}}{\~{o}}es de e-Science}, booktitle = {{XXIII} Simp{\'{o}}sio Brasileiro de Banco de Dados, 13-15 de Outubro, Campinas, S{\~{a}}o Paulo, Brasil, Anais}, pages = {268--279}, publisher = {{SBC}}, year = {2008}, url = {http://www.lbd.dcc.ufmg.br:8080/colecoes/sbbd/2008/019.pdf}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbbd/NardiNPSGF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sibgrapi/SantosFT08, author = {Jefersson Alex dos Santos and Cristiano D. Ferreira and Ricardo da Silva Torres}, title = {A Genetic Programming Approach for Relevance Feedback in Region-Based Image Retrieval Systems}, booktitle = {{SIBGRAPI} 2008, Proceedings of the {XXI} Brazilian Symposium on Computer Graphics and Image Processing, Campo Grande, Brazil, 12-15 October 2008}, pages = {155--162}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/SIBGRAPI.2008.15}, doi = {10.1109/SIBGRAPI.2008.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sibgrapi/SantosFT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/AlbuquerqueMLZ08, author = {Gabriel Alves de Albuquerque and Paulo Romero Martins Maciel and Ricardo Massa Ferreira Lima and Armin Zimmermann}, title = {Performance evaluation of logistics with componentized stochastic models}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Singapore, 12-15 October 2008}, pages = {2087--2092}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICSMC.2008.4811599}, doi = {10.1109/ICSMC.2008.4811599}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/AlbuquerqueMLZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/springer/NaldiCCH08, author = {Murilo Coelho Naldi and Andr{\'{e}} Carlos Ponce de Leon Ferreira de Carvalho and Ricardo Jos{\'{e}} Gabrielli Barreto Campello and Eduardo R. Hruschka}, editor = {Oded Maimon and Lior Rokach}, title = {Genetic Clustering for Data Mining}, booktitle = {Soft Computing for Knowledge Discovery and Data Mining}, pages = {113--132}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-0-387-69935-6\_5}, doi = {10.1007/978-0-387-69935-6\_5}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/springer/NaldiCCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/FujitaSGMSF07, author = {Andr{\'{e}} Fujita and Jo{\~{a}}o Ricardo Sato and Humberto Miguel Garay{-}Malpartida and Pedro Alberto Morettin and Mari Cleide Sogayar and Carlos Eduardo Ferreira}, title = {Time-varying modeling of gene expression regulatory networks using the wavelet dynamic vector autoregressive method}, journal = {Bioinform.}, volume = {23}, number = {13}, pages = {1623--1630}, year = {2007}, url = {https://doi.org/10.1093/bioinformatics/btm151}, doi = {10.1093/BIOINFORMATICS/BTM151}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/FujitaSGMSF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/FujitaSFS07, author = {Andr{\'{e}} Fujita and Jo{\~{a}}o Ricardo Sato and Carlos Eduardo Ferreira and Mari Cleide Sogayar}, title = {{GEDI:} a user-friendly toolbox for analysis of large-scale gene expression data}, journal = {{BMC} Bioinform.}, volume = {8}, year = {2007}, url = {https://doi.org/10.1186/1471-2105-8-457}, doi = {10.1186/1471-2105-8-457}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/FujitaSFS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/FujitaSGYMSF07, author = {Andr{\'{e}} Fujita and Jo{\~{a}}o Ricardo Sato and Humberto Miguel Garay{-}Malpartida and Rui Yamaguchi and Satoru Miyano and Mari Cleide Sogayar and Carlos Eduardo Ferreira}, title = {Modeling gene expression regulatory networks with the sparse vector autoregressive model}, journal = {{BMC} Syst. Biol.}, volume = {1}, pages = {39}, year = {2007}, url = {https://doi.org/10.1186/1752-0509-1-39}, doi = {10.1186/1752-0509-1-39}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/FujitaSGYMSF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsn/OliveiraWLD07, author = {Leonardo B. Oliveira and Hao Chi Wong and Antonio Alfredo Ferreira Loureiro and Ricardo Dahab}, title = {On the design of secure protocols for hierarchical sensor networks}, journal = {Int. J. Secur. Networks}, volume = {2}, number = {3/4}, pages = {216--227}, year = {2007}, url = {https://doi.org/10.1504/IJSN.2007.013175}, doi = {10.1504/IJSN.2007.013175}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsn/OliveiraWLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwmc/MonteiroVGN07, author = {J{\^{a}}nio M. Monteiro and Ricardo N. Vaz and Ant{\'{o}}nio Grilo and M{\'{a}}rio Serafim Nunes}, title = {Rate adaptation for wireless video streaming based on error statistics}, journal = {Int. J. Wirel. Mob. Comput.}, volume = {2}, number = {2/3}, pages = {150--158}, year = {2007}, url = {https://doi.org/10.1504/IJWMC.2007.014454}, doi = {10.1504/IJWMC.2007.014454}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwmc/MonteiroVGN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ires/FerreiraSNABBSJFL07, author = {Fl{\'{a}}via Ferreira and Joanice Nascimento Santos and Lucyana Nascimento and Ricardo Sodr{\'{e}} Andrade and Susane Barros and Jussara Borges and Helena Pereira da Silva and Othon Jambeiro and F{\'{a}}bio Ferreira and Bethany Lynn Letalien}, title = {Information professionals in Brazil: core competencies and professional development}, journal = {Inf. Res.}, volume = {12}, number = {2}, year = {2007}, url = {http://www.informationr.net/ir/12-2/paper299.html}, timestamp = {Wed, 22 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ires/FerreiraSNABBSJFL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/CorreiaMFAWP07, author = {Ricardo Jo{\~{a}}o Cruz Correia and Pedro Manuel Vieira Marques and Ana Margarida Ferreira and Filipa C. Almeida and Jeremy C. Wyatt and Altamiro da Costa Pereira}, title = {Reviewing the integration of patient data: how systems are evolving in practice to meet patient needs}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {7}, pages = {14}, year = {2007}, url = {https://doi.org/10.1186/1472-6947-7-14}, doi = {10.1186/1472-6947-7-14}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/CorreiaMFAWP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/OliveiraFVWBDL07, author = {Leonardo B. Oliveira and Adrian Carlos Ferreira and Marcos Aur{\'{e}}lio Vila{\c{c}}a and Hao Chi Wong and Marshall W. Bern and Ricardo Dahab and Antonio Alfredo Ferreira Loureiro}, title = {SecLEACH - On the security of clustered sensor networks}, journal = {Signal Process.}, volume = {87}, number = {12}, pages = {2882--2895}, year = {2007}, url = {https://doi.org/10.1016/j.sigpro.2007.05.016}, doi = {10.1016/J.SIGPRO.2007.05.016}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/OliveiraFVWBDL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigsoft/TavaresBMOARL07, author = {Eduardo Tavares and Raimundo S. Barreto and Paulo Romero Martins Maciel and Meuse N. Oliveira Jr. and Leonardo Amorim and Fernando Rocha and Ricardo Massa Ferreira Lima}, title = {Software synthesis for hard real-time embedded systems with multiple processors}, journal = {{ACM} {SIGSOFT} Softw. Eng. Notes}, volume = {32}, number = {2}, pages = {1--10}, year = {2007}, url = {https://doi.org/10.1145/1234741.1234769}, doi = {10.1145/1234741.1234769}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigsoft/TavaresBMOARL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/AraujoVF07, author = {Ricardo de A. Ara{\'{u}}jo and Germano C. Vasconcelos and Tiago A. E. Ferreira}, title = {An evolutionary Morphological-Rank-Linear approach for time series prediction}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2007, 25-28 September 2007, Singapore}, pages = {4321--4328}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CEC.2007.4425035}, doi = {10.1109/CEC.2007.4425035}, timestamp = {Thu, 16 Dec 2021 14:01:04 +0100}, biburl = {https://dblp.org/rec/conf/cec/AraujoVF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/AraujoVF07a, author = {Ricardo de A. Ara{\'{u}}jo and Germano C. Vasconcelos and Tiago A. E. Ferreira}, title = {Hybrid differential evolutionary system for financial time series forecasting}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2007, 25-28 September 2007, Singapore}, pages = {4329--4336}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CEC.2007.4425036}, doi = {10.1109/CEC.2007.4425036}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/AraujoVF07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/SoaresBL07, author = {S{\'{e}}rgio Soares and Paulo Borba and Ricardo Massa Ferreira Lima}, title = {Concurrency Control Modularization with Aspect-Oriented Programming}, booktitle = {31st Annual International Computer Software and Applications Conference, {COMPSAC} 2007, Beijing, China, July 24-27, 2007. Volume 1}, pages = {295--300}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/COMPSAC.2007.93}, doi = {10.1109/COMPSAC.2007.93}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/SoaresBL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/BoukercheCMJR07, author = {Azzedine Boukerche and Jan Mendonca Correa and Alba Cristina Magalhaes Alves de Melo and Ricardo P. Jacobi and Adson Ferreira da Rocha}, editor = {Srinivas Aluru and Manish Parashar and Ramamurthy Badrinath and Viktor K. Prasanna}, title = {An FPGA-Based Accelerator for Multiple Biological Sequence Alignment with {DIALIGN}}, booktitle = {High Performance Computing - HiPC 2007, 14th International Conference, Goa, India, December 18-21, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4873}, pages = {71--82}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77220-0\_11}, doi = {10.1007/978-3-540-77220-0\_11}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hipc/BoukercheCMJR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icat/SanchesSRB07, author = {Silvio Ricardo Rodrigues Sanches and Antonio Carlos Sementille and Ildeberto Aparecido Rodello and Jos{\'{e}} Remo Ferreira Brega}, title = {The Generation of Scenes in Mixed Reality Environments using the Chromakey Technique}, booktitle = {Advances in Artificial Reality and Tele-Existence, 17th International Conference on Artificial Reality and Telexistence, {ICAT} 2007, Esbjerg, Denmark, November 28-30, 2007, Proceedings}, pages = {296--297}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICAT.2007.30}, doi = {10.1109/ICAT.2007.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icat/SanchesSRB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/PereiraV07, author = {Ricardo J. F. Lopes Pereira and Teresa Maria Vaz{\~{a}}o}, title = {On the Cohabitation of Adaptive Search Radius Enabled Peers with Regular eMule Peers}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2007, Glasgow, Scotland, UK, 24-28 June 2007}, pages = {6356--6361}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICC.2007.1052}, doi = {10.1109/ICC.2007.1052}, timestamp = {Tue, 19 Jun 2018 07:53:33 +0200}, biburl = {https://dblp.org/rec/conf/icc/PereiraV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/FerreiraP07, author = {Ricardo Ferreira and Jo{\~{a}}o Moura Pires}, editor = {Jorge Cardoso and Jos{\'{e}} Cordeiro and Joaquim Filipe}, title = {Extensible metadata repository for information systems and enterprise applications}, booktitle = {{ICEIS} 2007 - Proceedings of the Ninth International Conference on Enterprise Information Systems, Volume DISI, Funchal, Madeira, Portugal, June 12-16, 2007}, pages = {344--350}, year = {2007}, timestamp = {Thu, 03 Feb 2022 09:27:49 +0100}, biburl = {https://dblp.org/rec/conf/iceis/FerreiraP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/MarquesRFRAAM07, author = {Andr{\'{e}} Marques and Ricardo Raminhos and Ricardo Ferreira and Rita Almeida Ribeiro and S{\'{e}}rgio Agostinho and Jo{\~{a}}o Ara{\'{u}}jo and Ana Moreira}, editor = {Jorge Cardoso and Jos{\'{e}} Cordeiro and Joaquim Filipe}, title = {Aspect-Oriented Analysis Applied to the Space Domain}, booktitle = {{ICEIS} 2007 - Proceedings of the Ninth International Conference on Enterprise Information Systems, Volume EIS, Funchal, Madeira, Portugal, June 12-16, 2007}, pages = {71--79}, year = {2007}, timestamp = {Thu, 03 Feb 2022 09:27:49 +0100}, biburl = {https://dblp.org/rec/conf/iceis/MarquesRFRAAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclp/VazFL07, author = {David Vaz and Michel Ferreira and Ricardo Lopes}, editor = {Ver{\'{o}}nica Dahl and Ilkka Niemel{\"{a}}}, title = {Spatial-Yap: {A} Logic-Based Geographic Information System}, booktitle = {Logic Programming, 23rd International Conference, {ICLP} 2007, Porto, Portugal, September 8-13, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4670}, pages = {195--208}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74610-2\_14}, doi = {10.1007/978-3-540-74610-2\_14}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/iclp/VazFL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/CostaO07, author = {Jos{\'{e}} Alfredo F. Costa and Ricardo S. Oliveira}, title = {Cluster Analysis using Growing Neural Gas and Graph Partitioning}, booktitle = {Proceedings of the International Joint Conference on Neural Networks, {IJCNN} 2007, Celebrating 20 years of neural networks, Orlando, Florida, USA, August 12-17, 2007}, pages = {3051--3056}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IJCNN.2007.4371447}, doi = {10.1109/IJCNN.2007.4371447}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/CostaO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BoukercheCMJR07, author = {Azzedine Boukerche and Jan Mendonca Correa and Alba Cristina Magalhaes Alves de Melo and Ricardo P. Jacobi and Adson Ferreira da Rocha}, title = {Reconfigurable Architecture for Biological Sequence Comparison in Reduced Memory Space}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--8}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370438}, doi = {10.1109/IPDPS.2007.370438}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BoukercheCMJR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/PereiraV07, author = {Ricardo J. F. Lopes Pereira and Teresa Maria Vaz{\~{a}}o}, title = {Network Layer Implemented Anycast Load Balancing}, booktitle = {Proceedings of the 12th {IEEE} Symposium on Computers and Communications {(ISCC} 2007), July 1-4, Aveiro, Portugal}, pages = {387--393}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISCC.2007.4381603}, doi = {10.1109/ISCC.2007.4381603}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/PereiraV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/AraujoSF07, author = {Ricardo de A. Ara{\'{u}}jo and Robson P. de Sousa and Tiago A. E. Ferreira}, editor = {Derong Liu and Shumin Fei and Zeng{-}Guang Hou and Huaguang Zhang and Changyin Sun}, title = {An Intelligent Hybrid Approach for Designing Increasing Translation Invariant Morphological Operators for Time Series Forecasting}, booktitle = {Advances in Neural Networks - {ISNN} 2007, 4th International Symposium on Neural Networks, {ISNN} 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4492}, pages = {602--611}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72393-6\_72}, doi = {10.1007/978-3-540-72393-6\_72}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isnn/AraujoSF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/FerreiraGTC07, author = {Ricardo S. Ferreira and Alisson Garcia and Tiago Teixeira and Jo{\~{a}}o M. P. Cardoso}, title = {A Polynomial Placement Algorithm for Data Driven Coarse-Grained Reconfigurable Architectures}, booktitle = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2007), May 9-11, 2007, Porto Alegre, Brazil}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISVLSI.2007.14}, doi = {10.1109/ISVLSI.2007.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/FerreiraGTC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/Costa-SantosCCFP07, author = {Cristina Costa{-}Santos and Ana Coutinho and Ricardo Jo{\~{a}}o Cruz Correia and Ana Margarida Ferreira and Altamiro da Costa Pereira}, editor = {Klaus A. Kuhn and James R. Warren and Tze{-}Yun Leong}, title = {E-learning at Porto Faculty of Medicine. {A} Case Study for the Subject 'Introduction to Medicine'}, booktitle = {{MEDINFO} 2007 - Proceedings of the 12th World Congress on Health (Medical) Informatics - Building Sustainable Health Systems, 20-24 August, 2007, Brisbane, Australia}, series = {Studies in Health Technology and Informatics}, volume = {129}, pages = {1366--1371}, publisher = {{IOS} Press}, year = {2007}, url = {https://doi.org/10.3233/978-1-58603-774-1-1366}, doi = {10.3233/978-1-58603-774-1-1366}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/Costa-SantosCCFP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/FerreiraCP07, author = {Ana Margarida Ferreira and Ricardo Jo{\~{a}}o Cruz Correia and Altamiro da Costa Pereira}, editor = {Klaus A. Kuhn and James R. Warren and Tze{-}Yun Leong}, title = {Why Teach Computer Security to Medical Students?}, booktitle = {{MEDINFO} 2007 - Proceedings of the 12th World Congress on Health (Medical) Informatics - Building Sustainable Health Systems, 20-24 August, 2007, Brisbane, Australia}, series = {Studies in Health Technology and Informatics}, volume = {129}, pages = {1469--1470}, publisher = {{IOS} Press}, year = {2007}, url = {https://doi.org/10.3233/978-1-58603-774-1-1469}, doi = {10.3233/978-1-58603-774-1-1469}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/FerreiraCP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/OliveiraPL07, author = {Ricardo Rabelo Oliveira and Rainer Ronie Pereira and Antonio Alfredo Ferreira Loureiro}, editor = {Carla{-}Fabiana Chiasserini and Nael B. Abu{-}Ghazaleh and Sotiris E. Nikoletseas}, title = {Adaptive configuration of wpans and wlans communications using multi-scale statistical process control}, booktitle = {Proceedings of the 10th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2007, Chania, Crete Island, Greece, October 22-26, 2007}, pages = {138--142}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1298126.1298151}, doi = {10.1145/1298126.1298151}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mswim/OliveiraPL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/PereiraVR07, author = {Ricardo J. F. Lopes Pereira and Teresa Maria Vaz{\~{a}}o and Rodrigo Rodrigues}, title = {Adaptive Search Radius - Lowering Internet {P2P} File-Sharing Traffic through Self-Restraint}, booktitle = {Sixth {IEEE} International Symposium on Network Computing and Applications {(NCA} 2007), 12 - 14 July 2007, Cambridge, MA, {USA}}, pages = {253--256}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/NCA.2007.6}, doi = {10.1109/NCA.2007.6}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/PereiraVR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/OliveiraDLDL07, author = {Leonardo B. Oliveira and Ricardo Dahab and Julio C{\'{e}}sar L{\'{o}}pez{-}Hern{\'{a}}ndez and Felipe Daguano and Antonio Alfredo Ferreira Loureiro}, title = {Identity-Based Encryption for Sensor Networks}, booktitle = {Fifth Annual {IEEE} International Conference on Pervasive Computing and Communications - Workshops (PerCom Workshops 2007), 19-23 March 2007, White Plains, New York, {USA}}, pages = {290--294}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/PERCOMW.2007.56}, doi = {10.1109/PERCOMW.2007.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/OliveiraDLDL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/OliveiraLD07, author = {Leonardo B. Oliveira and Antonio Alfredo Ferreira Loureiro and Ricardo Dahab}, title = {{SOS:} Secure Overlay Sensornets}, booktitle = {Fifth Annual {IEEE} International Conference on Pervasive Computing and Communications - Workshops (PerCom Workshops 2007), 19-23 March 2007, White Plains, New York, {USA}}, pages = {548--553}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/PERCOMW.2007.107}, doi = {10.1109/PERCOMW.2007.107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/OliveiraLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/Silva-FilhoFLFCL07, author = {Abel G. Silva{-}Filho and Carmelo J. A. Bastos Filho and Ricardo Massa Ferreira Lima and Davi M. A. Falc{\~{a}}o and Filipe R. Cordeiro and Mar{\'{\i}}lia P. Lima}, title = {An Intelligent Mechanism to Explore a Two-Level Cache Hierarchy Considering Energy Consumption and Time Performance}, booktitle = {19th Symposium on Computer Architecture and High Performance Computing {(SBAC-PAD} 2007), 24-27 October 2007, Gramado, RS, Brazil}, pages = {177--184}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SBAC-PAD.2007.14}, doi = {10.1109/SBAC-PAD.2007.14}, timestamp = {Fri, 13 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbac-pad/Silva-FilhoFLFCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/AlvesML07, author = {Gabriel Alves and Paulo Romero Martins Maciel and Ricardo Massa Ferreira Lima}, title = {A {GSPN} based approach to evaluate outbound logistics}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Montr{\'{e}}al, Canada, 7-10 October 2007}, pages = {708--713}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICSMC.2007.4413970}, doi = {10.1109/ICSMC.2007.4413970}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/AlvesML07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ArcoverdeML07, author = {Adilson Arcoverde and Paulo Romero Martins Maciel and Ricardo Massa Ferreira Lima}, title = {Performance evaluation of manufacturing systems using {GSPN} with energy consumption and human resource allocation annotations}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Montr{\'{e}}al, Canada, 7-10 October 2007}, pages = {2894--2900}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICSMC.2007.4414008}, doi = {10.1109/ICSMC.2007.4414008}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ArcoverdeML07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.