Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "stream:conf/socc:"
more than 1000 matches, exporting first 1000 hits only!
@inproceedings{DBLP:conf/socc/AbdurrobSL23, author = {Abrar Abdurrob and Emre Salman and Jack Lombardi}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Thermal Integrity of ReRAM-based Near-Memory Computing in 3D Integrated {DNN} Accelerators}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256927}, doi = {10.1109/SOCC58585.2023.10256927}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AbdurrobSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AgbalessiI23, author = {Christie Agbalessi and Mark A. Indovina}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{CNNET:} {A} Configurable Hardware Accelerator for Efficient Inference of 8-bit Fixed-Point CNNs}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257082}, doi = {10.1109/SOCC58585.2023.10257082}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AgbalessiI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AkterKB23, author = {Sonia Akter and Kasem Khalil and Magdy A. Bayoumi}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Hardware Security in the Internet of Things: {A} Survey}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256974}, doi = {10.1109/SOCC58585.2023.10256974}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AkterKB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AyesF23, author = {Andres Ayes and Eby G. Friedman}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Dual Sawtooth-Based Delay Locked Loops for Heterogeneous 3-D Clock Networks}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257164}, doi = {10.1109/SOCC58585.2023.10257164}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AyesF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BolatSSTK23, author = {Alperen Bolat and Fahad Siddiqui and Sakir Sezer and Kasim Tasdemir and Rafiullah Khan}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Investigation of Communication Overhead of SoC Lookaside Accelerators}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257113}, doi = {10.1109/SOCC58585.2023.10257113}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/BolatSSTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChakrabortySB23, author = {Prabuddha Chakraborty and Tasneem Suha and Swarup Bhunia}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Hardware Specification Aware Timing Side Channel Security Analysis}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256749}, doi = {10.1109/SOCC58585.2023.10256749}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChakrabortySB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenMI23, author = {Tai{-}Feng Chen and Yutaka Masuda and Tohru Ishihara}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {A Standard Cell Memory Based on 2T Gain Cell {DRAM} for Memory-Centric Accelerator Design}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257009}, doi = {10.1109/SOCC58585.2023.10257009}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenMI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DuanZGNK23, author = {Renrui Duan and Mingtao Zhang and Yi Guo and Shinichi Nishizawa and Shinji Kimura}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {A Hardware-Efficient Approximate Multiplier Combining Inexact Same-weight {N:2} Compressors and Remapping Logic with Error Recovery}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257018}, doi = {10.1109/SOCC58585.2023.10257018}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DuanZGNK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DuongPLTN23, author = {Thi Sang Duong and Hoai Luan Pham and Vu Trung Duong Le and Thi Hong Tran and Yasuhiko Nakashima}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Power-Efficient and Programmable Hashing Accelerator for Massive Message Processing}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256890}, doi = {10.1109/SOCC58585.2023.10256890}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/DuongPLTN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ElHadedyHSYHM23, author = {Mohamed El{-}Hadedy and Russell Hua and Shahzman Saqib and Kazutomo Yoshii and Wen{-}Mei Hwu and Martin Margala}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{BLTESTI:} Benchmarking Lightweight TinyJAMBU on Embedded Systems for Trusted IoT}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256731}, doi = {10.1109/SOCC58585.2023.10256731}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ElHadedyHSYHM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ElMasryAW23, author = {Moamen El{-}Masry and Sohaib Anees and Robert Weigel}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Spiking Neural Networks Design-Space Exploration Platform Supporting Online and Offline Learning}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257044}, doi = {10.1109/SOCC58585.2023.10257044}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ElMasryAW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GaoMSVGS23, author = {Yimin Gao and Sergiu Mosanu and Mohammad Nazmus Sakib and Vaibhav Verma and Xinfei Guo and Mircea Stan}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {LiteAIR5: {A} System-Level Framework for the Design and Modeling of AI-extended {RISC-V} Cores}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257058}, doi = {10.1109/SOCC58585.2023.10257058}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GaoMSVGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GolbabaeiZKZN23, author = {Babak Golbabaei and Guangxian Zhu and Yirong Kan and Renyuan Zhang and Yasuhiko Nakashima}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {A Non-deterministic Training Approach for Memory-Efficient Stochastic Neural Networks}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256838}, doi = {10.1109/SOCC58585.2023.10256838}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GolbabaeiZKZN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GraberH23, author = {Markus Graber and Klaus Hofmann}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {An Enhanced 1440 Coupled {CMOS} Oscillator Network to Solve Combinatorial Optimization Problems}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256945}, doi = {10.1109/SOCC58585.2023.10256945}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GraberH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuoMNK23, author = {Xinyi Guo and Geguang Miao and Shinichi Nishizawa and Shinji Kimura}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Prime Factorization Based on Multiple Quantum Annealings on Partial Constraints with Analytical Variable Reduction}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256742}, doi = {10.1109/SOCC58585.2023.10256742}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuoMNK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HaiderHC23, author = {Shahzad Haider and Ke Hu and Song Chen}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Fine-Grained Transistor-Level {QDI} Asynchronous Crossbar Switch}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257143}, doi = {10.1109/SOCC58585.2023.10257143}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HaiderHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HofmanBKHBRGSV23, author = {Daniel Hofman and Mario Brcic and Mihael Kovac and Tim Hotfilter and J{\"{u}}rgen Becker and Dominik Reinhardt and S. M. Grigorescu and R. Stevens and T. T. Vo}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {European Processor Initiative Demonstration of Integrated Semi-Autonomous Driving System}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257105}, doi = {10.1109/SOCC58585.2023.10257105}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HofmanBKHBRGSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HotfilterHMKKHB23, author = {Tim Hotfilter and Julian H{\"{o}}fer and Philipp Merz and Fabian Kre{\ss} and Fabian Kempf and Tanja Harbaum and J{\"{u}}rgen Becker}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Leveraging Mixed-Precision {CNN} Inference for Increased Robustness and Energy Efficiency}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256738}, doi = {10.1109/SOCC58585.2023.10256738}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HotfilterHMKKHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangCLHL23, author = {Jyun{-}Siou Huang and Ting{-}Han Chou and Juin{-}Ming Lu and Chih{-}Tsun Huang and Jing{-}Jia Liou}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {HierArch: {A} Cluster-Based {DNN} Accelerator with Hierarchical Buses for Design Space Exploration}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257135}, doi = {10.1109/SOCC58585.2023.10257135}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangCLHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuiMSSTS23, author = {Henry Hui and Kieran McLaughlin and Fahad Siddiqui and Sakir Sezer and Sena Yengec Tasdemir and Balmukund Sonigara}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {A Runtime Security Monitoring Architecture for Embedded Hypervisors}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256735}, doi = {10.1109/SOCC58585.2023.10256735}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HuiMSSTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JinV23, author = {Zheming Jin and Jeffrey S. Vetter}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Experience Migrating OpenCL to {SYCL:} {A} Case Study on Searches for Potential Off-Target Sites of Cas9 RNA-Guided Endonucleases on {AMD} GPUs}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256881}, doi = {10.1109/SOCC58585.2023.10256881}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JinV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimPKK23, author = {Min{-}Su Kim and Jin{-}Soo Park and Chung{-}Hee Kim and Bai{-}Sun Kong}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{DCVS} Level Shifter for Clock Path}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256860}, doi = {10.1109/SOCC58585.2023.10256860}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimPKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimuraSKIYIMK23, author = {Mutsumi Kimura and Shu Shiomi and Norito Komai and Etsuko Iwagi and Tomoharu Yokoyama and Yuma Ishisaki and Tokiyoshi Matsuda and Hidenori Kawanishi}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Thin-Film Memristors and Memcapacitors for 3D Integration of Neuromorphic Systems}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257032}, doi = {10.1109/SOCC58585.2023.10257032}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimuraSKIYIMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KrishnakumarP23, author = {Sriharini Krishnakumar and Inna Partin{-}Vaisband}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Vertical Power Delivery for Emerging Packaging and Integration Platforms - Power Conversion and Distribution}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256973}, doi = {10.1109/SOCC58585.2023.10256973}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KrishnakumarP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KutukcuBRD23, author = {Basar K{\"{u}}t{\"{u}}k{\c{c}}{\"{u}} and Sabur Baidya and Anand Raghunathan and Sujit Dey}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {EvoSh: Evolutionary Search with Shaving to Enable Power-Latency Tradeoff in Deep Learning Computing on Embedded Systems}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257061}, doi = {10.1109/SOCC58585.2023.10257061}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KutukcuBRD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Laad23, author = {Pragya Laad}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {18FD-SOI: Case Study at Arm}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257011}, doi = {10.1109/SOCC58585.2023.10257011}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Laad23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeeLKYPY23, author = {Jaeha Lee and Seungmin Lee and Hyeongkyu Kim and Taejun Yoo and Minjung Park and Seiseung Yoon}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Pin Accessibility Improvement with Hit-Point Distribution Metrics for Sub-4nm Standard Cell}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256816}, doi = {10.1109/SOCC58585.2023.10256816}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeeLKYPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LesniakHB23, author = {Fabian Lesniak and Tanja Harbaum and J{\"{u}}rgen Becker}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Approximate Accelerators: {A} Case Study using Runtime Reconfigurable Processors}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257090}, doi = {10.1109/SOCC58585.2023.10257090}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LesniakHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiSTWK23, author = {Chen Li and Suwen Song and Jing Tian and Zhongfeng Wang and {\c{C}}etin Kaya Ko{\c{c}}}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {An Efficient Hardware Design for Fast Implementation of {HQC}}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257054}, doi = {10.1109/SOCC58585.2023.10257054}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiSTWK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiZ23, author = {Zhuoran Li and Dan Zhao}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{\(\mu\)}ThingNet: Leveraging Fine-Grained Power Analysis towards {A} Robust Zero-Day Defender}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256758}, doi = {10.1109/SOCC58585.2023.10256758}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinSH23, author = {Yuan{-}Tai Lin and Chin{-}Yu Sun and TingTing Hwang}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {M-Party: {A} Secure Dynamic Cache Partitioning by More Than Two Parties}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256845}, doi = {10.1109/SOCC58585.2023.10256845}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MahantaW23, author = {Ashish Mahanta and Haibo Wang}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{PRIDES:} {A} Power Rising Descending Signature for Improving IoT Security}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256775}, doi = {10.1109/SOCC58585.2023.10256775}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MahantaW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MaheshwariS23, author = {Yugal Maheshwari and Manoj Sachdev}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{VLFF} - {A} Very Low-power Flip-flop with only Two Clock Transistors}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257122}, doi = {10.1109/SOCC58585.2023.10257122}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MaheshwariS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MakimotoIO23, author = {Renya Makimoto and Takashi Imagawa and Hiroyuki Ochi}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Approximate Logarithmic Multipliers Using Half Compensation with Two Line Segments}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256796}, doi = {10.1109/SOCC58585.2023.10256796}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MakimotoIO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MikaPKGH23, author = {Kevin Mika and Florian Porrmann and Nils Kucza and Ren{\'{e}} Griessl and Jens Hagemeyer}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{RECS:} {A} Scalable Platform for Heterogeneous Computing}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256982}, doi = {10.1109/SOCC58585.2023.10256982}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MikaPKGH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MustafaK23, author = {Yerzhan Mustafa and Sel{\c{c}}uk K{\"{o}}se}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Covert Communication Attacks in Chiplet-based 2.5-D Integration Systems}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257008}, doi = {10.1109/SOCC58585.2023.10257008}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MustafaK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/OshioKKN23, author = {Reon Oshio and Takumi Kuwahara and Mutsumi Kimura and Yasuhiko Nakashima}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Time-domain Subtractive Readout Scheme for Scalable Capacitive Analog In-Memory Computing}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256918}, doi = {10.1109/SOCC58585.2023.10256918}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/OshioKKN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PachidehZNB23, author = {Brian Pachideh and Christian Zielke and Sven Nitzsche and J{\"{u}}rgen Becker}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Towards Hardware-Software Self-Adaptive Acceleration of Spiking Neural Networks on Reconfigurable Digital Hardware}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257066}, doi = {10.1109/SOCC58585.2023.10257066}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PachidehZNB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PaudelWTR23, author = {Bijay Raj Paudel and Haibo Wang and Spyros Tragoudas and Omkar Rijal}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {High Precision Winner-Take-All Circuit for Neural Networks}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256761}, doi = {10.1109/SOCC58585.2023.10256761}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PaudelWTR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PerachRK23, author = {Ben Perach and Ronny Ronen and Shahar Kvatinsky}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Enabling Relational Database Analytical Processing in Bulk-Bitwise Processing-In-Memory}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256706}, doi = {10.1109/SOCC58585.2023.10256706}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PerachRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RamzanBJSJ23, author = {Rashad Ramzan and Azam Beg and Syed Arsalan Jawed and Muhammad Aaquib Shahbaz and Muhammad Junaid}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Quadrature RF-Only Logic Family for Single-Chip Self-Powered Transceivers}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256996}, doi = {10.1109/SOCC58585.2023.10256996}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RamzanBJSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SaberiGS23, author = {Mehdi Saberi and Zahra Ghasemzadeh and Alexandre Schmid}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {A Delay and Power Efficient Voltage Level Shifter with Low Leakage Power}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256828}, doi = {10.1109/SOCC58585.2023.10256828}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SaberiGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SaleemSRSR23, author = {Hamza Saleem and Lubna Shah and Abdur Rehman and Hassan Saif and Rashad Ramzan}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {AC-Logic Family for Smart Dust and IoT Applications}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256939}, doi = {10.1109/SOCC58585.2023.10256939}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SaleemSRSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SalehSAV23, author = {Dima Al Saleh and Yousef Safari and Fahad Rahman Amik and Boris Vaisband}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {P* Admissible Thermal-Aware Matrix Floorplanner for 3D ICs}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256905}, doi = {10.1109/SOCC58585.2023.10256905}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SalehSAV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SenarathnaT23, author = {Danushka Senarathna and Spyros Tragoudas}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Deep Neural Network-Based Accelerators for Repetitive Boolean Logic Evaluation}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256911}, doi = {10.1109/SOCC58585.2023.10256911}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SenarathnaT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SonigaraSSWAPAKVTHM23, author = {Balmukund Sonigara and Sakir Sezer and Fahad Siddiqui and Raphael Weber and Konstantinos Antonopoulos and Christos Panagiotou and Christos P. Antonopoulos and Georgios Keramidas and Nikolaos S. Voros and Sena Yengec Tasdemir and Henry Hui and Kieran McLaughlin}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{XANDAR:} Verification {\&} Validation Approach for Safety-critical Systems}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257177}, doi = {10.1109/SOCC58585.2023.10257177}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SonigaraSSWAPAKVTHM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TasdemirKSSKB23, author = {Kasim Tasdemir and Rafiullah Khan and Fahad Siddiqui and Sakir Sezer and Fatih Kurugollu and Alperen Bolat}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {An Investigation of Machine Learning Algorithms for High-bandwidth {SQL} Injection Detection Utilising BlueField-3 {DPU} Technology}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256777}, doi = {10.1109/SOCC58585.2023.10256777}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/TasdemirKSSKB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TsujinoWW23, author = {Masashi Tsujino and Minoru Watanabe and Nobuya Watanabe}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {An optically reconfigurable gate array {VLSI} driven by an unstabilized power supply unit}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257130}, doi = {10.1109/SOCC58585.2023.10257130}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TsujinoWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/VemuriT23, author = {Madhava Sarma Vemuri and Umamaheswara Rao Tida}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{FDSOI} Process Based MIV-transistor Utilization for Standard Cell Designs in Monolithic 3D Integration}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257022}, doi = {10.1109/SOCC58585.2023.10257022}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/VemuriT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangNK23, author = {Zekun Wang and Shinichi Nishizawa and Shinji Kimura}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {An 8-point Approximate {DCT} Design with Optimized Signed Digit Encoding}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257086}, doi = {10.1109/SOCC58585.2023.10257086}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangNK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WohnradeGZB23, author = {Kevin Wohnrade and Darius Grantz and Martin Zeller and Jens Benndorf}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {An Automotive Vision SoC Platform from {IVI} to {AD} Level 4}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256910}, doi = {10.1109/SOCC58585.2023.10256910}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WohnradeGZB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuCW23, author = {Guan{-}Wei Wu and Cheng{-}Yang Chang and An{-}Yeu Andy Wu}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{DE-C3:} Dynamic Energy-Aware Compression for Computing-In-Memory-Based Convolutional Neural Network Acceleration}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256932}, doi = {10.1109/SOCC58585.2023.10256932}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuHW23, author = {Yu{-}Cheng Wu and Chi{-}Tse Huang and An{-}Yeu Andy Wu}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {{DEA-NIMC:} Dynamic Energy-Aware Policy for Near/In-Memory Computing Hybrid Architecture}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256898}, doi = {10.1109/SOCC58585.2023.10256898}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XuQ23, author = {Yiyang Xu and Dahong Qian}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {ZodiacMSM: {A} Heterogeneous, Multi-node and Scalable Multi-Scalar Multiplication System for Zero Knowledge Proof Acceleration}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257155}, doi = {10.1109/SOCC58585.2023.10257155}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XuQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YachiTT23, author = {Yuta Yachi and Masashi Tawada and Nozomu Togawa}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {A Bit-Width Reducing Method for Ising Models Guaranteeing the Ground-State Output}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256935}, doi = {10.1109/SOCC58585.2023.10256935}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YachiTT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YanQHS23, author = {Zheyu Yan and Yifan Qin and Xiaobo Sharon Hu and Yiyu Shi}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {On the Viability of Using LLMs for {SW/HW} Co-Design: An Example in Designing CiM {DNN} Accelerators}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256783}, doi = {10.1109/SOCC58585.2023.10256783}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YanQHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangK23, author = {Jaewan Yang and Taewhan Kim}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Debanking Techniques on Multi-bit Flip-flops for Reinforcing Useful Clock Skew Scheduling}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256966}, doi = {10.1109/SOCC58585.2023.10256966}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YaoKZZ23, author = {Yunpeng Yao and Yirong Kan and Guangxian Zhu and Renyuan Zhang}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {A Low Latency Spiking Neural Network with Improved Temporal Dynamics}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257076}, doi = {10.1109/SOCC58585.2023.10257076}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YaoKZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YengecTasdemirSSHMS23, author = {Sena Busra Yengec{-}Tasdemir and Fahad Siddiqui and Sakir Sezer and Henry Hui and Kieran McLaughlin and Balmukund Sonigara}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {A Comparative Analysis of Security Patterns for Enhancing Security in Safety-Critical Systems}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256708}, doi = {10.1109/SOCC58585.2023.10256708}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YengecTasdemirSSHMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhangMDNK23, author = {Mingtao Zhang and Ke Ma and Renrui Duan and Shinichi Nishizawa and Shinji Kimura}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {Evaluation of Application-Independent Unbiased Approximate Multipliers on Quantized Convolutional Neural Networks}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10256728}, doi = {10.1109/SOCC58585.2023.10256728}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhangMDNK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2023, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023}, doi = {10.1109/SOCC58585.2023}, isbn = {979-8-3503-0011-6}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Al-ZoubiMBZSF22, author = {Ahmad Al{-}Zoubi and Gianluca Martino and Fin Hendrik Bahnsen and Jun Zhu and Holger Schlarb and G{\"{o}}rschwin Fey}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {{CNN} Implementation and Analysis on Xilinx Versal {ACAP} at European {XFEL}}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908101}, doi = {10.1109/SOCC56010.2022.9908101}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Al-ZoubiMBZSF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BartoliniFPBBGM22, author = {Andrea Bartolini and Federico Ficarelli and Emanuele Parisi and Francesco Beneventi and Francesco Barchi and Daniele Gregori and Fabrizio Magugliani and Marco Cicala and Cosimo Gianfreda and Daniele Cesarini and Andrea Acquaviva and Luca Benini}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Monte Cimone: Paving the Road for the First Generation of {RISC-V} High-Performance Computers}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908096}, doi = {10.1109/SOCC56010.2022.9908096}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/BartoliniFPBBGM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/CKSGYB22, author = {Lekshmi C and Anmol Khatri and Sourav Saha and Shivangi Gupta and Raj Yadav and Rakshit Bazaz}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {{I/O} Constraints Optimization using Machine Learning}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908119}, doi = {10.1109/SOCC56010.2022.9908119}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/CKSGYB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenWZY22, author = {Olivia Chen and Yanzhi Wang and Renyuan Zhang and Nobuyuki Yoshikawa}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Design and Implementation of Stochastic Neural Networks Using Superconductor Quantum-Flux-Parametron Devices}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908075}, doi = {10.1109/SOCC56010.2022.9908075}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChenWZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DaoudR22, author = {Luka Daoud and Nader Rafla}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Energy-Efficient Black Hole Router Detection in Network-on-Chip}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908078}, doi = {10.1109/SOCC56010.2022.9908078}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DaoudR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DasSIDG22, author = {Prangon Das and Purab Ranjan Sutradhar and Mark A. Indovina and Sai Manoj Pudukotai Dinakarrao and Amlan Ganguly}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Implementation and Evaluation of Deep Neural Networks in Commercially Available Processing in Memory Hardware}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908126}, doi = {10.1109/SOCC56010.2022.9908126}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/DasSIDG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DheerajDAKA22, author = {Agshare Dheeraj and Pabitra Das and Kiran Kumar A and Srisubha Kalanadhabhatta and Amit Acharyya}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Modeling Attacks Resilient Multiple {PUF-CPRNG} Architecture Design Methodology}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908089}, doi = {10.1109/SOCC56010.2022.9908089}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DheerajDAKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Dofe22, author = {Jaya Dofe}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Thermal Side-channel Leakage Protection in Monolithic Three Dimensional Integrated Circuits}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908080}, doi = {10.1109/SOCC56010.2022.9908080}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Dofe22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DuttaJRJFC22, author = {Rahul Dutta and Ashish James and Salahuddin Raju and Yong{-}Joon Jeon and Chuan Sheng Foo and Kevin Tshun Chuan Chai}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Automated Deep Learning Platform for Accelerated Analog Circuit Design}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908139}, doi = {10.1109/SOCC56010.2022.9908139}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DuttaJRJFC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Gaggatur22, author = {Javed S. Gaggatur}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {A Duty Cycle Error Reduction with 1-point Calibration achieving 0.017UI in 7.2Gbps {HBM3} {DRAM} Data Read}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908129}, doi = {10.1109/SOCC56010.2022.9908129}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Gaggatur22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Gaggatur22a, author = {Javed S. Gaggatur}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Noise Analysis of {CMOS} Ring Oscillator-based Capacitance Measurement for Lab-on-Chip Application}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908136}, doi = {10.1109/SOCC56010.2022.9908136}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Gaggatur22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GarbayHDDLKPG22, author = {Thomas Garbay and Khalil Hachicha and Petr Dobi{\'{a}}s and Wilfried Dron and Pedro Lusich and Imane Khalis and Andrea Pinna and Bertrand Granado}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Accurate Estimation of the {CNN} Inference Cost for TinyML Devices}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908108}, doi = {10.1109/SOCC56010.2022.9908108}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GarbayHDDLKPG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GiahiRF22, author = {Farehe Giahi and Sebastian Rachuj and Dietmar Fey}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Investigating {SAMV} Regarding its Suitability For FPGAs}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908124}, doi = {10.1109/SOCC56010.2022.9908124}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GiahiRF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GorginGJL22, author = {Saeid Gorgin and MohammadHosein Gholamrezaei and Danial Javaheri and Jeong{-}A Lee}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {kNN-MSDF: {A} Hardware Accelerator for k-Nearest Neighbors Using Most Significant Digit First Computation}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908102}, doi = {10.1109/SOCC56010.2022.9908102}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GorginGJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GraberH22, author = {Markus Graber and Klaus Hofmann}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {A Versatile {\&} Adjustable 400 Node {CMOS} Oscillator Based Ising Machine to Investigate and Optimize the Internal Computing Principle}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908118}, doi = {10.1109/SOCC56010.2022.9908118}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GraberH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HabibD22, author = {Abdelrahman G. Habib and Mohamed Dessouky}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {A General Algorithm for Loop-gain and TDC-resolution Optimization in an {ADPLL} with a 2-bit {TDC} Phase detector}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908092}, doi = {10.1109/SOCC56010.2022.9908092}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HabibD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuZQWL22, author = {Weiming Hu and Yi Zhou and Ying Quan and Yuanfeng Wang and Xin Lou}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Cache-locality Based Adaptive Warp Scheduling for Neural Network Acceleration on GPGPUs}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908120}, doi = {10.1109/SOCC56010.2022.9908120}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuZQWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiaCZO22, author = {Haohui Jia and Na Chen and Renyuan Zhang and Minoru Okada}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Non-deterministic Quantization for mmWave Beam Prediction}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908091}, doi = {10.1109/SOCC56010.2022.9908091}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JiaCZO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiaoLFQL22, author = {Jinteng Jiao and He Li and Yanzhao Feng and Chengdong Qian and Qiang Liu}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {In-depth Analysis of the Effects of Electromagnetic Fault Injection Attack on a 32-bit {MCU}}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908097}, doi = {10.1109/SOCC56010.2022.9908097}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/JiaoLFQL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JungerSBL22, author = {Lukas J{\"{u}}nger and Antonios Salios and Peter Bl{\"{o}}cher and Rainer Leupers}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Virtual Platform Acceleration through Userspace Host Execution}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908079}, doi = {10.1109/SOCC56010.2022.9908079}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JungerSBL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KabatPG22, author = {Amit Kumar Kabat and Shubhang Pandey and Venkatesh Tiruchirai Gopalakrishnan}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Performance evaluation of High Bandwidth Memory for {HPC} Workloads}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908071}, doi = {10.1109/SOCC56010.2022.9908071}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KabatPG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadiyalaLLC22, author = {Sai Praveen Kadiyala and Xiaolan Li and Wonjun Lee and Andrew Catlin}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Securing Microservices Against Password Guess Attacks using Hardware Performance Counters}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908109}, doi = {10.1109/SOCC56010.2022.9908109}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KadiyalaLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KempfHKHHB22, author = {Fabian Kempf and Julian H{\"{o}}fer and Fabian Kre{\ss} and Tim Hotfilter and Tanja Harbaum and J{\"{u}}rgen Becker}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Runtime Adaptive Cache Checkpointing for {RISC} Multi-Core Processors}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908110}, doi = {10.1109/SOCC56010.2022.9908110}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KempfHKHHB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KestelFPW22, author = {Claus Kestel and Christoph Frisch and Michael Pehl and Norbert Wehn}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Towards More Secure {PUF} Applications: {A} Low-Area Polar Decoder Implementation}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908130}, doi = {10.1109/SOCC56010.2022.9908130}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KestelFPW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KhanFC22, author = {Amir Khan and Jorge Fern{\'{a}}ndez{-}Berni and Ricardo Carmona{-}Gal{\'{a}}n}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {An Architecture for On-Chip Face Recognition in a Compressive Image Sensor}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908140}, doi = {10.1109/SOCC56010.2022.9908140}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KhanFC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Laad22, author = {Pragya Laad}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {"High Five": Arm's first 5nm Silicon in flip-chip!}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908138}, doi = {10.1109/SOCC56010.2022.9908138}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Laad22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LaadR22, author = {Pragya Laad and Olivier Rizzo}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Divided by Designs, United by Flow-Uniquified, modular and automated approach to improve design efficiency}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908117}, doi = {10.1109/SOCC56010.2022.9908117}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LaadR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LaloSMS22, author = {Erjola Lalo and Andreas Sailer and J{\"{u}}rgen Mottok and Christian Siemers}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Overhead-Aware Schedule Synthesis for Logical Execution Time {(LET)} in Automotive Systems}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908103}, doi = {10.1109/SOCC56010.2022.9908103}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LaloSMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiWWAGP22, author = {He Li and Adrian Wonfor and Amanda Weerasinghe and Muataz Alhussein and Yupeng Gong and Richard V. Penty}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Quantum Key Distribution Post-processing: {A} Heterogeneous Computing Perspective}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908122}, doi = {10.1109/SOCC56010.2022.9908122}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiWWAGP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiuA22, author = {Xingye Liu and Paul Ampadu}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {A Scalable {DC/DC} Converter with Fast Load Transient Response and Security Improvement}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908087}, doi = {10.1109/SOCC56010.2022.9908087}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiuA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiuHHC22, author = {Wenye Liu and Weiyang He and Bowen Hu and Chip{-}Hong Chang}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {A Practical Man-in-the-Middle Attack on Deep Learning Edge Device by Sparse Light Strip Injection into Camera Data Lane}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908112}, doi = {10.1109/SOCC56010.2022.9908112}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiuHHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LuCKGWL22, author = {Chuanchao Lu and Yijun Cui and Ayesha Khalid and Chongyan Gu and Chenghua Wang and Weiqiang Liu}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {A Novel Combined Correlation Power Analysis {(CPA)} Attack on Schoolbook Polynomial Multiplication in Lattice-based Cryptosystems}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908076}, doi = {10.1109/SOCC56010.2022.9908076}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LuCKGWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MaA22, author = {Shenghou Ma and Paul Ampadu}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Efficient Low-bit-width Activation Function Implementations for Ultra Low Power SoCs}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908141}, doi = {10.1109/SOCC56010.2022.9908141}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MaA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MaFDGLCA22, author = {Yunhao Ma and Xiwei Fang and Pingcheng Dong and Xinyu Guan and Ke Li and Lei Chen and Fengwei An}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Post-Processing Refinement for Semi-Global Matching Algorithm Based on Real-Time {FPGA}}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908134}, doi = {10.1109/SOCC56010.2022.9908134}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MaFDGLCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MaHYDB22, author = {Xiaotian Ma and Kevin Han and Yucheng Yang and Ronald F. DeMara and Yu Bai}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Hardware Oriented Strip-wise Optimization {(HOSO)} Framework for Efficient Deep Neural Network}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908125}, doi = {10.1109/SOCC56010.2022.9908125}, timestamp = {Wed, 01 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MaHYDB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Merkel22, author = {Cory E. Merkel}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Enhancing Adversarial Attacks on Single-Layer {NVM} Crossbar-Based Neural Networks with Power Consumption Information}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908114}, doi = {10.1109/SOCC56010.2022.9908114}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Merkel22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NimbekarVDSGCA22, author = {Anagha Nimbekar and Chandrasekhara Srinivas Vatti and Y. V. Sai Dinesh and Sunidhi Singh and Tarun Gupta and Ramesh Reddy Chandrapu and Amit Acharyya}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Low Complexity Reconfigurable-Scalable Architecture Design Methodology for Deep Neural Network Inference Accelerator}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908073}, doi = {10.1109/SOCC56010.2022.9908073}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NimbekarVDSGCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NishimotoZN22, author = {Hiroki Nishimoto and Renyuan Zhang and Yasuhiko Nakashima}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Application and Evaluation of Quantization for Narrow Bit-width Resampling of Sequential Monte Carlo}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908085}, doi = {10.1109/SOCC56010.2022.9908085}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NishimotoZN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/OjedaDW22, author = {Omar A. Yeste Ojeda and Nolan Denman and Stephen Wunduke}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {The Case for SoC in Future Radio Astronomy}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908088}, doi = {10.1109/SOCC56010.2022.9908088}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/OjedaDW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ParizyST22, author = {Matthieu Parizy and Przemyslaw Sadowski and Nozomu Togawa}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Cardinality Constrained Portfolio Optimization on an Ising Machine}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908082}, doi = {10.1109/SOCC56010.2022.9908082}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ParizyST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PatilSBM22, author = {Sharath Patil and Bhanu Singh and Raunak Borwankar and Martin Margala}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Novel Pulse Detection System Using Differentiation: Optical Experimental Results}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908111}, doi = {10.1109/SOCC56010.2022.9908111}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PatilSBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PechmannH22, author = {Stefan Pechmann and Amelie Hagelauer}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {A Mixed-Signal Interface Circuit for Integration of Embedded 1T1R {RRAM} Arrays}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908137}, doi = {10.1109/SOCC56010.2022.9908137}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PechmannH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PrajapratiMS22, author = {Santlal Prajaprati and Manobendra Nath Mondal and Susmita Sur{-}Kolay}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Memristive Neural Network with Efficient In-Situ Supervised Training}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908131}, doi = {10.1109/SOCC56010.2022.9908131}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PrajapratiMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RayPR22, author = {Prasenjit Ray and V. Sai Prashant and Bindu P. Rao}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Machine Learning Based Parameter Tuning for Performance and Power optimization of Multisource Clock Tree Synthesis}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908123}, doi = {10.1109/SOCC56010.2022.9908123}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RayPR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SchadeDB22, author = {Florian Schade and Tobias D{\"{o}}rr and J{\"{u}}rgen Becker}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Hypervisor-Based Target Deployment Strategies for Time Predictability in Model-Based Development}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908090}, doi = {10.1109/SOCC56010.2022.9908090}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SchadeDB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Shin22, author = {Hongsup Shin}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Data-Centric Machine Learning Pipeline for Hardware Verification}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908095}, doi = {10.1109/SOCC56010.2022.9908095}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Shin22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShiomiTIO22, author = {Jun Shiomi and Shogo Terada and Tohru Ishihara and Hidetoshi Onodera}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Zero-Aware Fine-Grained Power Gating for Standard-Cell Memories in Voltage-Scaled Circuits}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908116}, doi = {10.1109/SOCC56010.2022.9908116}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShiomiTIO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SinhaML22, author = {Aman Sinha and Jhih{-}Yong Mai and Bo{-}Cheng Lai}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {{MSIM:} {A} Highly Parallel Near-Memory Accelerator for MinHash Sketch}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908115}, doi = {10.1109/SOCC56010.2022.9908115}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SinhaML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SolimanEPGW22, author = {Taha Soliman and Amro Eldebiky and Cecilia De la Parra and Andre Guntoro and Norbert Wehn}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Efficient Hardware Approximation for Bit-Decomposition Based Deep Neural Network Accelerators}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908072}, doi = {10.1109/SOCC56010.2022.9908072}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SolimanEPGW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SunHK22, author = {Peiyao Sun and Basel Halak and Tomasz Kazmierski}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Towards Hardware Trojan Resilient Design of Convolutional Neural Networks}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908104}, doi = {10.1109/SOCC56010.2022.9908104}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SunHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SunkavilliCY22, author = {Sandeep Sunkavilli and Nishanth Goud Chennagouni and Qiaoyan Yu}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {DPReDO: Dynamic Partial Reconfiguration enabled Design Obfuscation for {FPGA} Security}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908070}, doi = {10.1109/SOCC56010.2022.9908070}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SunkavilliCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TiwariYWJ22, author = {Binayak Tiwari and Mei Yang and Xiaohang Wang and Yingtao Jiang}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {In-Network Accumulation: Extending the Role of NoC for {DNN} Acceleration}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908106}, doi = {10.1109/SOCC56010.2022.9908106}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TiwariYWJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/UdejiM22, author = {Uchechukwu Leo Udeji and Martin Margala}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {{FPGA} Implementation of Addition-based {CORDIC-SNN} With Izhikevich Neurons}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908081}, doi = {10.1109/SOCC56010.2022.9908081}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/UdejiM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangMYWL22, author = {Zilun Wang and Wendong Mao and Peixiang Yang and Zhongfeng Wang and Jun Lin}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {An Efficient {FPGA} Accelerator for Point Cloud}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908099}, doi = {10.1109/SOCC56010.2022.9908099}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangMYWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WatfaGS22, author = {Mohamed Watfa and Alberto Garc{\'{\i}}a Ortiz and Gilles Sassatelli}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Energy-Based Analog Neural Network Framework}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908086}, doi = {10.1109/SOCC56010.2022.9908086}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WatfaGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WeberAWSR22, author = {Raphael Weber and Nico Adler and Thomas Wilhelm and Andreas Sailer and Clemens Reichmann}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Towards Automating a Software-Centered Development Process that considers Timing Properties}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908127}, doi = {10.1109/SOCC56010.2022.9908127}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WeberAWSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WeiEMZHG22, author = {Xiangdong Wei and Mohamed El{-}Hadedy and Sergiu Mosanu and Zhengping Zhu and Wen{-}Mei Hwu and Xinfei Guo}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {{RECO-HCON:} {A} High-Throughput Reconfigurable Compact {ASCON} Processor for Trusted IoT}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908100}, doi = {10.1109/SOCC56010.2022.9908100}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WeiEMZHG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WeiX22, author = {Xiangye Wei and Liming Xiu}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {A New Perspective of Inscribing Temporal Encryption on Spatial {MPV} Imprints for {PUF} Design}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908094}, doi = {10.1109/SOCC56010.2022.9908094}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WeiX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuKZN22, author = {Man Wu and Yirong Kan and Renyuan Zhang and Yasuhiko Nakashima}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {GAND-Nets: Training Deep Spiking Neural Networks with Ternary Weights}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908132}, doi = {10.1109/SOCC56010.2022.9908132}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WuKZN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XuLZWC22, author = {Chaohui Xu and Wenye Liu and Yue Zheng and Si Wang and Chip{-}Hong Chang}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Inconspicuous Data Augmentation Based Backdoor Attack on Deep Neural Networks}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908113}, doi = {10.1109/SOCC56010.2022.9908113}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XuLZWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhengRWZZYL22, author = {Yueyang Zheng and Chaolin Rao and Haochuan Wan and Yuliang Zhou and Pingqiang Zhou and Jingyi Yu and Xin Lou}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {An RRAM-based Neural Radiance Field Processor}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908135}, doi = {10.1109/SOCC56010.2022.9908135}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhengRWZZYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhuWKCHAOKZN22, author = {Guangxian Zhu and Huijia Wang and Yirong Kan and Zheng Chen and Ming Huang and Md. Altaf{-}Ul{-}Amin and Naoaki Ono and Shigehiko Kanaya and Renyuan Zhang and Yasuhiko Nakashima}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {A Stochastic Coding Method of {EEG} Signals for Sleep Stage Classification}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908121}, doi = {10.1109/SOCC56010.2022.9908121}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhuWKCHAOKZN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2022, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022}, doi = {10.1109/SOCC56010.2022}, isbn = {978-1-6654-5985-3}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/0001BL21, author = {Lukas J{\"{u}}nger and Alexander Belke and Rainer Leupers}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Software-defined Temporal Decoupling in Virtual Platforms}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {40--45}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739242}, doi = {10.1109/SOCC52499.2021.9739242}, timestamp = {Wed, 30 Mar 2022 11:02:31 +0200}, biburl = {https://dblp.org/rec/conf/socc/0001BL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/0003LMJF21, author = {Antoine Gautier and Beno{\^{\i}}t Larras and Olev M{\"{a}}rtens and Deepu John and Antoine Frapp{\'{e}}}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Embedded ICG-based Stroke Volume Measurement System: Comparison of Discrete-Time and Continuous-Time Architectures}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {46--51}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739386}, doi = {10.1109/SOCC52499.2021.9739386}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/0003LMJF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/0017Z0F21, author = {Kang Liu and Jeff Jun Zhang and Benjamin Tan and Dan Feng}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Can We Trust Machine Learning for Electronic Design Automation?}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {135--140}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739485}, doi = {10.1109/SOCC52499.2021.9739485}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/0017Z0F21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AcharyaLF21, author = {Rabin Yu Acharya and Michael Valentin Levin and Domenic Forte}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {LDO-based Odometer to Combat {IC} Recycling}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {206--211}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739311}, doi = {10.1109/SOCC52499.2021.9739311}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/AcharyaLF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AkkiliS21, author = {Viswanath G. Akkili and Viranjay M. Srivastava}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Performance Optimization of p-Channel SnO Cylindrical Thin Film Transistors {(CTFT)} Using 3D Modelling}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {112--116}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739229}, doi = {10.1109/SOCC52499.2021.9739229}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AkkiliS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Akselrod21, author = {David Akselrod}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Reinforcement Learning-based Power Management Architecture for Optimal {DVFS} in SoCs}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {71--74}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739607}, doi = {10.1109/SOCC52499.2021.9739607}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Akselrod21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AnantharajaiahK21, author = {Nidhi Anantharajaiah and Felix Knopf and J{\"{u}}rgen Becker}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Ant Colony Optimization Based NoCs for Flexible Spatial Isolation in Mixed Criticality Systems}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {248--253}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739596}, doi = {10.1109/SOCC52499.2021.9739596}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AnantharajaiahK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AndersonAE21, author = {Jeff Anderson and Yousra Alkabani and Tarek A. El{-}Ghazawi}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {ReCPE: {A} {PE} for Reconfigurable Lightweight Cryptography}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {176--181}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739359}, doi = {10.1109/SOCC52499.2021.9739359}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AndersonAE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BaharaniBMT21, author = {Mohammadreza Baharani and Ushma Sunil Bharucha and Kaustubh Manohar Mhatre and Hamed Tabkhi}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Tufan: Low-Power Throughput Architecture for Acceleration of EfficientNet on Cloud FPGAs}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {13--18}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739550}, doi = {10.1109/SOCC52499.2021.9739550}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/BaharaniBMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BaoA21, author = {Bo Bao and Jason Anderson}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Dynamic Power Analysis of Standard-Cell {FPGA} Fabrics}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {182--187}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739496}, doi = {10.1109/SOCC52499.2021.9739496}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BaoA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChangH21, author = {Che{-}Hao Chang and Chih{-}Tsun Huang}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Design and Optimization of a Pruning-Efficient {DCNN} Inference Accelerator}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {152--157}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739584}, doi = {10.1109/SOCC52499.2021.9739584}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChangH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenDLYMFDZCA21, author = {Zhuoyu Chen and Pingcheng Dong and Zhuoao Li and Ruoheng Yao and Yunhao Ma and Xiwei Fang and Huanshihong Deng and Wenyue Zhang and Lei Chen and Fengwei An}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Real-Time FPGA-Based Binocular Stereo Vision System with Semi-Global Matching Algorithm}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {158--163}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739626}, doi = {10.1109/SOCC52499.2021.9739626}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChenDLYMFDZCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenHCHJ21, author = {Yu{-}Guang Chen and Chi{-}Wei Hsu and Hung{-}Yi Chiang and Tsung{-}Han Hsieh and Jing{-}Yang Jou}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {A Hierarchical and Reconfigurable Process Element Design for Quantized Neural Networks}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {278--283}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739487}, doi = {10.1109/SOCC52499.2021.9739487}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenHCHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenKWK21, author = {Gongbo Chen and Giray Atabey Kirtiz and Christian Wiede and Rainer Kokozinski}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Implementation and Evaluation of a Neural Network-Based LiDAR Histogram Processing Method on {FPGA}}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739527}, doi = {10.1109/SOCC52499.2021.9739527}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenKWK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenLT21, author = {Kun{-}Chih Jimmy Chen and Yi{-}Sheng Liao and Cheng{-}Kang Tsai}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {A Convolutional Neural Network on Chip Design Methodology for {CNN} Hardware Implementation}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {266--271}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739237}, doi = {10.1109/SOCC52499.2021.9739237}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChhabraL21, author = {Surbhi Chhabra and Kusum Lata}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Key-based Obfuscation using HT-like Trigger Circuit for 128-bit {AES} Hardware {IP} Core}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {164--169}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739619}, doi = {10.1109/SOCC52499.2021.9739619}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChhabraL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DhavlleRHD21, author = {Abhijitt Dhavlle and Setareh Rafatirad and Houman Homayoun and Sai Manoj Pudukotai Dinakarrao}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Power Swapper: Approximate Functional Block Assisted Cryptosystem Security}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {101--105}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739304}, doi = {10.1109/SOCC52499.2021.9739304}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DhavlleRHD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DofeD21, author = {Jaya Dofe and Wafi Danesh}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {LC-Physical Unclonable Function in Wireless 3D {IC} for Securing Internet of Things Devices}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {67--70}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739553}, doi = {10.1109/SOCC52499.2021.9739553}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DofeD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/EllicottKKQKL21, author = {Samuel Ellicott and Michael Kines and Waleed Khalil and Yu Qi and Abdullah Kurtoglu and Hossein Miri Lavasani}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Analog-Inspired Hardware Security: {A} Low-Energy Solution for IoT Trusted Communications}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {200--205}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739611}, doi = {10.1109/SOCC52499.2021.9739611}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/EllicottKKQKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GadfortA21, author = {Peter Gadfort and Oluseyi A. Ayorinde}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {{FPNA:} {A} Reconfigurable Accelerator for {AI} Inference at the Edge}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {242--247}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739354}, doi = {10.1109/SOCC52499.2021.9739354}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GadfortA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GaliciaBSSSCL21, author = {Melvin Galicia and Ali BanaGozar and Karl J. X. Sturm and Felix Staudigl and Sander Stuijk and Henk Corporaal and Rainer Leupers}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {NeuroVP: {A} System-Level Virtual Platform for Integration of Neuromorphic Accelerators}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {236--241}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739585}, doi = {10.1109/SOCC52499.2021.9739585}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GaliciaBSSSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GebauerKHWS21, author = {Richard Gebauer and Nick Karcher and Jonas Hurst and Marc Weber and Oliver Sander}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Taskrunner: {A} Flexible Framework Optimized for Low Latency Quantum Computing Experiments}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {123--128}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739306}, doi = {10.1109/SOCC52499.2021.9739306}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GebauerKHWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GhoshDV21, author = {Prokash Ghosh and Dieu Van Dinh and Misal Varma}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {A Design Approach to Reduce Test Time on {SOC} Memories}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {63--66}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739302}, doi = {10.1109/SOCC52499.2021.9739302}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GhoshDV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GhoshS21, author = {Prokash Ghosh and Khwahish Sinha}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {A Framework for Evaluation of Debug Path Performance in SoC}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {188--193}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739317}, doi = {10.1109/SOCC52499.2021.9739317}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GhoshS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GunduK21, author = {Anil Kumar Gundu and Volkan Kursun}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Optimization of 3D Stacked Nanosheets in 5nm Gate-all-around Transistor Technology}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {25--28}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739517}, doi = {10.1109/SOCC52499.2021.9739517}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GunduK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HernandezPSCN21, author = {Hugo D. Hernandez and Diego Augusto Pontes and Bruno Soares and Dionisio de Carvalho and Wilhelmus A. M. Van Noije}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Dual-Band {GSM} Energy Harvester for a Duty-Cycle Approach in 180nm {CMOS} Technology}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {29--33}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739239}, doi = {10.1109/SOCC52499.2021.9739239}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HernandezPSCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HesariM21, author = {Shahram Hatefi Hesari and Nicole McFarlane}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {A SiPM Based Sensor For Nuclear Detection Applications}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {57--62}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739509}, doi = {10.1109/SOCC52499.2021.9739509}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HesariM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HotfilterHKK021, author = {Tim Hotfilter and Julian H{\"{o}}fer and Fabian Kre{\ss} and Fabian Kempf and J{\"{u}}rgen Becker}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {FLECSim-SoC: {A} Flexible End-to-End Co-Design Simulation Framework for System on Chips}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {83--88}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739212}, doi = {10.1109/SOCC52499.2021.9739212}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HotfilterHKK021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HsuLC21, author = {Yu{-}En Hsu and Yen{-}Chin Liao and Hsie{-}Chia Chang}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {A Two-Stage Path Planning Engine for Robot Navigation System}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {218--223}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739379}, doi = {10.1109/SOCC52499.2021.9739379}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HsuLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HsuLLCW21, author = {Wen{-}Chih Hsu and Chia{-}Chun Lin and Yi{-}Ting Li and Yung{-}Chih Chen and Chun{-}Yao Wang}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {On Reduction of Computations for Threshold Function Identification}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {146--151}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739224}, doi = {10.1109/SOCC52499.2021.9739224}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HsuLLCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangYLTKLLCW21, author = {Shiuan{-}Hau Huang and Hsin{-}Ping Yen and Yan{-}Hsiu Liu and Kuang{-}Hsien Tseng and Ji{-}Fu Kung and Chia{-}Chun Lin and Yi{-}Ting Li and Yung{-}Chih Chen and Chun{-}Yao Wang}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Cluster Tool Performance Analysis using Graph Database}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {230--235}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739223}, doi = {10.1109/SOCC52499.2021.9739223}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangYLTKLLCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KulkarniSWKC21, author = {Aditya Kulkarni and Ayush Singh and Sachin Arun Waje and Sunil Shrirangrao Kashide and Seonil Brian Choi}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {TestQuBE: {A} Testbench Enhancement Methodology for Universal Serial Interfaces in Complex SoCs}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {106--111}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739431}, doi = {10.1109/SOCC52499.2021.9739431}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KulkarniSWKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiL021, author = {Dawei Li and Cong Liu and Xiaowei Xu}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Efficient Localization of Origins of {PVC} based on Random Signal Segmentation}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {141--145}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739421}, doi = {10.1109/SOCC52499.2021.9739421}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiL021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinCKLLLLCW21, author = {Yi{-}Ting Lin and Chun{-}Jui Chen and Pei{-}Yi Kuo and Si{-}Huei Lee and Chia{-}Chun Lin and Yun{-}Ju Lee and Yi{-}Ting Li and Yung{-}Chih Chen and Chun{-}Yao Wang}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {An IMU-aided Fitness System}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {224--229}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739294}, doi = {10.1109/SOCC52499.2021.9739294}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinCKLLLLCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiuA21, author = {Xingye Liu and Paul Ampadu}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Distributed On-Chip Power Supply for Security Enhancement in Multicore NoC}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {212--217}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739219}, doi = {10.1109/SOCC52499.2021.9739219}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiuA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MSS21, author = {Mahesh M and Nalesh S and S. Kala}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Bandwidth-Efficient Sparse Matrix Multiplier Architecture for Deep Neural Networks on {FPGA}}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {7--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739346}, doi = {10.1109/SOCC52499.2021.9739346}, timestamp = {Wed, 08 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MahmudMCE21, author = {Naveed Mahmud and Andrew MacGillivray and Manu Chaudhary and Esam El{-}Araby}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Optimizing Quantum Circuits for Arbitrary State Synthesis and Initialization}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {19--24}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739614}, doi = {10.1109/SOCC52499.2021.9739614}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MahmudMCE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MarkovitsAR21, author = {Tibor Gergely Markovits and P{\'{e}}ter Arat{\'{o}} and Gy{\"{o}}rgy R{\'{a}}cz}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Implementation of an SoC architecture with built-in safety features}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {95--100}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739573}, doi = {10.1109/SOCC52499.2021.9739573}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MarkovitsAR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NguyenGDBVLCA21, author = {Xuan Viet Linh Nguyen and Tony Gerges and Jean{-}Marc Duchamp and Philippe Benech and Jacques Verdier and Philippe Lombard and Michel Cabrera and Bruno Allard}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Stereolithography-Based Rectenna for Wireless Energy Harvesting}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {34--39}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739329}, doi = {10.1109/SOCC52499.2021.9739329}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NguyenGDBVLCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PurkayasthaT21, author = {Arnab A. Purkayastha and Hamed Tabkhi}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Design Study on Impact of Memory Access Parallelism for Cloud FPGAs}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {254--259}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739477}, doi = {10.1109/SOCC52499.2021.9739477}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PurkayasthaT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RizkRR0B21, author = {Rodrigue Rizk and Dominick Rizk and Frederic Rizk and Ashok Kumar and Magdy A. Bayoumi}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {An Efficient Capsule Network Reconfigurable Hardware Accelerator for Deciphering Ancient Scripts with Scarce Annotations}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {75--78}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739347}, doi = {10.1109/SOCC52499.2021.9739347}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RizkRR0B21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RizkRR0B21a, author = {Dominick Rizk and Rodrigue Rizk and Frederic Rizk and Ashok Kumar and Magdy A. Bayoumi}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {A Cost-Efficient Reversible-Based Configurable Ring Oscillator Physical Unclonable Function}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {79--82}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739636}, doi = {10.1109/SOCC52499.2021.9739636}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RizkRR0B21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RoyBA21, author = {Aniruddha Roy and Khyati Bansal and Nitin Agarwal}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {On the stability, transient and quiescent current control of one low-voltage class-AB op-amp architecture}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {194--199}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739631}, doi = {10.1109/SOCC52499.2021.9739631}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RoyBA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SavasBJ21, author = {S{\"{u}}leyman Savas and Endri Bezati and J{\"{o}}rn W. Janneck}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Generating hardware and software for {RISC-V} cores generated with Rocket Chip generator}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {89--94}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739411}, doi = {10.1109/SOCC52499.2021.9739411}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SavasBJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SeckinerK21, author = {Soner Se{\c{c}}kiner and Sel{\c{c}}uk K{\"{o}}se}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Combined Side-Channel Attacks on a Lightweight Prince Cipher Implementation}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {260--265}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739534}, doi = {10.1109/SOCC52499.2021.9739534}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SeckinerK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShaZS21, author = {Xiao Sha and PuYang Zheng and Milutin Stanacevic}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {1.81 kHz Relaxation Oscillator With Forward Bias Comparator and Leakage Current Compensation Based Techniques}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {117--122}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739396}, doi = {10.1109/SOCC52499.2021.9739396}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShaZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TsaiL21, author = {Yung{-}Yu Tsai and Jin{-}Fu Li}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Evaluating the Impact of Fault-Tolerance Capability of Deep Neural Networks Caused by Faults}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {272--277}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739383}, doi = {10.1109/SOCC52499.2021.9739383}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TsaiL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangLLLL21, author = {Junqi Wang and Yida Li and Qing Liu and Huizhang Luo and Kenli Li}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Total Variation Reduction for Lossless Compression of {HPC} Applications}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {129--134}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739467}, doi = {10.1109/SOCC52499.2021.9739467}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangLLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuLZZ021, author = {Lingjuan Wu and Xuefei Li and Jiacheng Zhu and Jian Zheng and Wei Hu}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Identifying Specious LUTs for Satisfiability Don't Care Trojan Detection}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {170--175}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739555}, doi = {10.1109/SOCC52499.2021.9739555}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuLZZ021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhengSS21, author = {PuYang Zheng and Xiao Sha and Milutin Stanacevic}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {Analysis of the Sub-{\(\mathrm{\mu}\)}A Fully Integrated {NMOS} {LDO} for Backscattering System}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {52--56}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739384}, doi = {10.1109/SOCC52499.2021.9739384}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhengSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2021, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021}, doi = {10.1109/SOCC52499.2021}, isbn = {978-1-6654-2931-3}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AhnKL20, author = {Yongjoon Ahn and Suhwan Kim and Hyunjoong Lee}, title = {A Sub-1 ppm/{\textdegree}C {CMOS} Bandgap Voltage Reference With Process Tolerant Piecewise Second-Order Curvature Compensation}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {231--235}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524787}, doi = {10.1109/SOCC49529.2020.9524787}, timestamp = {Tue, 14 Sep 2021 10:14:37 +0200}, biburl = {https://dblp.org/rec/conf/socc/AhnKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BhasinCCKMPT20, author = {Shivam Bhasin and Trevor E. Carlson and Anupam Chattopadhyay and Vinay B. Y. Kumar and Avi Mendelson and Romain Poussier and Yaswanth Tavva}, title = {Secure Your SoC: Building System-an-Chip Designs for Security}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {248--253}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524760}, doi = {10.1109/SOCC49529.2020.9524760}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BhasinCCKMPT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BooraTY20, author = {Aasish Boora and Bharatha Kumar Thangarasu and Kiat Seng Yeo}, title = {An Ultra-Low Power 900 MHz Intermediate Frequency Low Noise Amplifier For Low-Power {RF} Receivers}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {163--167}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524753}, doi = {10.1109/SOCC49529.2020.9524753}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BooraTY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenHLZZY20, author = {Chuangtao Chen and Qingrong Huang and Chao Li and Li Zhang and Cheng Zhuo and Xunzhao Yin}, title = {Analog Content Addressable Memory using Ferroelectric: {A} Case Study of Search-in-Memory}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {108--112}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524766}, doi = {10.1109/SOCC49529.2020.9524766}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenHLZZY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ColangeloSSM20, author = {Philip Colangelo and Oren Segal and Alexander Speicher and Martin Margala}, title = {AutoML for Multilayer Perceptron and {FPGA} Co-design}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {265--266}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524785}, doi = {10.1109/SOCC49529.2020.9524785}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ColangeloSSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DingHZZ20, author = {Chen Ding and Yuxiang Huan and Lirong Zheng and Zhuo Zou}, title = {Dynamic Precision Multiplier For Deep Neural Network Accelerators}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {180--184}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524752}, doi = {10.1109/SOCC49529.2020.9524752}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DingHZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FangZW20, author = {Shaoxia Fang and Shulin Zeng and Yu Wang}, title = {Optimizing {CNN} Accelerator With Improved Roofline Model}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {90--95}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524754}, doi = {10.1109/SOCC49529.2020.9524754}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FangZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FuLW20, author = {Jingyan Fu and Zhiheng Liao and Jinhui Wang}, title = {Cycle-to-cycle Variation Enabled Energy Efficient Privacy Preserving Technology in {ANN}}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {66--71}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524794}, doi = {10.1109/SOCC49529.2020.9524794}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FuLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GaoWAL20, author = {Jia{-}Bao Gao and Jian Wang and Md Tanvir Arafin and Jin{-}Mei Lai}, title = {{FABLE-DTS:} Hardware-Software Co-Design of a Fast and Stable Data Transmission System for FPGAs}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {207--212}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524764}, doi = {10.1109/SOCC49529.2020.9524764}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GaoWAL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GillelaGPI20, author = {Rohini J. Gillela and Amlan Ganguly and Dorin Patru and Mark A. Indovina}, title = {The {IANET} Hardware Accelerator for Audio and Visual Data Classification}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {48--53}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524782}, doi = {10.1109/SOCC49529.2020.9524782}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GillelaGPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GotraR20, author = {Vishant Gotra and Srinivasa Kodanda Rama Reddy}, title = {Simultaneous Multi Voltage Aware Timing Analysis Methodology for {SOC} using Machine Learning}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {254--257}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524780}, doi = {10.1109/SOCC49529.2020.9524780}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GotraR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GotraRRP20, author = {Vishant Gotra and Srinivasa Kodanda Rama Reddy and Tanniru Srinivasa Rao and Pavithra P}, title = {Optimized Power Grid Planning for Enabling Low Power Features for Leakage Power Reduction in {SOC}}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {258--261}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524781}, doi = {10.1109/SOCC49529.2020.9524781}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GotraRRP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuoFLW20, author = {Shouliang Guo and Chao Fang and Jun Lin and Zhongfeng Wang}, title = {A Configurable {FPGA} Accelerator of Bi-LSTM Inference with Structured Sparsity}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {174--179}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524784}, doi = {10.1109/SOCC49529.2020.9524784}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuoFLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HalakD20, author = {Basel Halak and Jorge Duarte{-}Sanchez}, title = {Cube Attack on a Trojan-Compromised Hardware Implementation of Ascon}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {43--47}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524771}, doi = {10.1109/SOCC49529.2020.9524771}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HalakD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HoppeBK20, author = {Augusto W. Hoppe and J{\"{u}}rgen Becker and Fernanda Lima Kastensmidt}, title = {Fine Grained Control Flow Checking with Dedicated {FPGA} Monitors}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {219--224}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524751}, doi = {10.1109/SOCC49529.2020.9524751}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HoppeBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangTYHC20, author = {Po{-}Tsang Huang and Tzung{-}Han Tsai and Po{-}Jen Yang and Wei Hwang and Hung{-}Ming Chen}, title = {Hierarchical Active Voltage Regulation for Heterogeneous {TSV} 3D-ICs}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {242--247}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524797}, doi = {10.1109/SOCC49529.2020.9524797}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangTYHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ImaniGKR20, author = {Mohsen Imani and Saransh Gupta and Yeseong Kim and Tajana Rosing}, title = {Deep Learning Acceleration using Digital-Based Processing In-Memory}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {123--128}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524776}, doi = {10.1109/SOCC49529.2020.9524776}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ImaniGKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KabirP20, author = {M. D. Arafat Kabir and Yarui Peng}, title = {Holistic 2.5D Chiplet Design Flow: {A} 65nm Shared-Block Microcontroller Case Study}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {277--282}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524798}, doi = {10.1109/SOCC49529.2020.9524798}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KabirP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadiyalaGANMS20, author = {Sai Praveen Kadiyala and Mohit Garg and Manaar Alam and Hau T. Ngo and Debdeep Mukhopadhyay and Thambipillai Srikanthan}, title = {{HARDY:} Hardware based Analysis for malwaRe Detection in embedded sYstems}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524727}, doi = {10.1109/SOCC49529.2020.9524727}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KadiyalaGANMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinC20, author = {Tung{-}Liang Lin and Sao{-}Jie Chen}, title = {{DVFS} Considering Spatial Correlation Timing and Process-Voltage-Temperature Variations}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {141--146}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524768}, doi = {10.1109/SOCC49529.2020.9524768}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinTKYWCW20, author = {Chia{-}Chun Lin and Kit Seng Tam and Chana{-}Cheng Ko and Hsin{-}Ping Yen and Shenz{-}Hsiu Wei and Yung{-}Chih Chen and Chun{-}Yao Wang}, title = {A Dynamic Expansion Order Algorithm for the SAT-based Minimization}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {271--276}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524758}, doi = {10.1109/SOCC49529.2020.9524758}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinTKYWCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LuWLLW20, author = {Siyuan Lu and Meiqi Wang and Shuang Liang and Jun Lin and Zhongfeng Wang}, title = {Hardware Accelerator for Multi-Head Attention and Position-Wise Feed-Forward in the Transformer}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {84--89}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524802}, doi = {10.1109/SOCC49529.2020.9524802}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LuWLLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MazumderHM20, author = {Arnab Neelim Mazumder and Hasib{-}Al Rashid and Tinoosh Mohsenin}, title = {An Energy-Efficient Low Power {LSTM} Processor for Human Activity Monitoring}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {54--59}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524796}, doi = {10.1109/SOCC49529.2020.9524796}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MazumderHM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MeiDHDCW20, author = {Yuchen Mei and Li Du and Xuewen He and Yuan Du and Xiaoliang Chen and Zhongfeng Wang}, title = {A Reconfigurable Permutation Based Address Encryption Architecture for Memory Security}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {7--12}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524762}, doi = {10.1109/SOCC49529.2020.9524762}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MeiDHDCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MiketicS20, author = {Ivan Miketic and Emre Salman}, title = {Energy-Efficient Adiabatic Circuits Using Transistor-Level Monolithic 3D Integration}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {191--194}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524748}, doi = {10.1109/SOCC49529.2020.9524748}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MiketicS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MinLGM20, author = {Kris Min and Brenda Ly and Joshua Garner and Shahnam Mirzaei}, title = {A Novel Method for Hardware Acceleration of Convex Hull Algorithm on Reconfigurable Hardware}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {78--83}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524805}, doi = {10.1109/SOCC49529.2020.9524805}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MinLGM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NimmalapudiMSJ20, author = {Sai Govinda Rao Nimmalapudi and Andrew Marshall and Harvey Stiegler and Keith Jarreau}, title = {Self-Correcting Op-Amp Input Offset Using Analog Floating Gates}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {60--65}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524775}, doi = {10.1109/SOCC49529.2020.9524775}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NimmalapudiMSJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NimmalapudiSMJ20, author = {Sai Nimmalapudi and Harvey Stiegler and Andrew Marshall and Keith Jarreau}, title = {Programmable Voltage Reference Circuit Using an Analog Floating Gate Device}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {267--270}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524788}, doi = {10.1109/SOCC49529.2020.9524788}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NimmalapudiSMJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RanaK20, author = {Vikas Rana and Shivam Kalla}, title = {Switched Capacitor Based Area Efficient Voltage Quadruple for High Pumping Efficiency}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {129--134}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524804}, doi = {10.1109/SOCC49529.2020.9524804}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RanaK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RenLLQW20, author = {Erxiang Ren and Li Luo and Zheyu Liu and Fei Qiao and Qi Wei}, title = {C\({}^{\mbox{2}}\)IM: {A} Compact Computing-In-Memory Unit of 10 Transistors with Standard 6T {SRAM}}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {113--116}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524791}, doi = {10.1109/SOCC49529.2020.9524791}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RenLLQW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RenMHCSMM20, author = {Haoran Ren and Arnab Neelim Mazumder and Hasib{-}Al Rashid and Vandana Chandrareddy and Aidin Shiri and Nitheesh Kumar Manjunath and Tinoosh Mohsenin}, title = {End-to-end Scalable and Low Power Multi-modal {CNN} for Respiratory-related Symptoms Detection}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {102--107}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524755}, doi = {10.1109/SOCC49529.2020.9524755}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RenMHCSMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Reza20, author = {Md Farhadur Reza}, title = {Deep Reinforcement Learning for Self-Configurable NoC}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {185--190}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524761}, doi = {10.1109/SOCC49529.2020.9524761}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Reza20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SiddiquiS20, author = {Fahad Siddiqui and Sakir Sezer}, title = {Evolution of Embedded Platform Security Technologies: Past, Present {\&} Future Challenges}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {13--18}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524778}, doi = {10.1109/SOCC49529.2020.9524778}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SiddiquiS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SimZ20, author = {Mong Tee Sim and Yanyan Zhuang}, title = {A SpaceWire {PHY} with Double Data Rate and Fallback Redundancy}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {283--288}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524763}, doi = {10.1109/SOCC49529.2020.9524763}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SimZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SolimanOKLKGW20, author = {Taha Soliman and Ricardo Olivo and Tobias Kirchner and Maximilian Lederer and Thomas K{\"{a}}mpfe and Andre Guntoro and Norbert Wehn}, title = {A Ferroelectric {FET} Based In-memory Architecture for Multi-Precision Neural Networks}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {96--101}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524750}, doi = {10.1109/SOCC49529.2020.9524750}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SolimanOKLKGW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SongZTW20, author = {Yifeng Song and Danyang Zhu and Jing Tian and Zhongfeng Wang}, title = {A High-Speed Architecture for the Reduction in {VDF} Based on a Class Group}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {147--152}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524783}, doi = {10.1109/SOCC49529.2020.9524783}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SongZTW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SonodaSO20, author = {Shoya Sonoda and Jun Shiomi and Hidetoshi Onodera}, title = {Dynamic Supply and Threshold Voltage Scaling towards Runtime Energy Optimization over a Wide Operating Performance Region}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {236--241}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524767}, doi = {10.1109/SOCC49529.2020.9524767}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SonodaSO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TaherifardBJNP20, author = {Mohammad Taherifard and Hakem Beitollahi and Fateme Jamali and Amin Norollah and Ahmad Patooghy}, title = {Mist-Scan: {A} Secure Scan Chain Architecture to Resist Scan-Based Attacks in Cryptographic Chips}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {135--140}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524759}, doi = {10.1109/SOCC49529.2020.9524759}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TaherifardBJNP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TariqY20, author = {Ali Tariq and Howard Yang}, title = {{ASIC} Power Estimation Across Revisions using Machine Learning}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {262--264}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524795}, doi = {10.1109/SOCC49529.2020.9524795}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TariqY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ThiagarajanPPT20, author = {Jhanani Thiagarajan and Arnab A. Purkayastha and Atul Patil and Hamed Tabkhi}, title = {Exploring the Scalability of OpenCL Coarse Grained Parallelism on Cloud FPGAs}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {213--218}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524765}, doi = {10.1109/SOCC49529.2020.9524765}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ThiagarajanPPT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TidaV20, author = {Umamaheswara Rao Tida and Madhava Sarma Vemuri}, title = {Efficient Metal Inter-Layer Via Utilization Strategies for Three-dimensional Integrated Circuits}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {195--200}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524756}, doi = {10.1109/SOCC49529.2020.9524756}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TidaV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TiwariYWJM20, author = {Binayak Tiwari and Mei Yang and Xiaohang Wang and Yingtao Jiang and Venkatesan Muthukumar}, title = {Improving the Performance of a NoC-based {CNN} Accelerator with Gather Support}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {72--77}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524799}, doi = {10.1109/SOCC49529.2020.9524799}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TiwariYWJM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangCQ20, author = {Ziming Wang and Aijiao Cui and Gang Qu}, title = {A Low-Cost Fault Injection Attack Resilient {FSM} Design}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {19--24}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524779}, doi = {10.1109/SOCC49529.2020.9524779}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WangCQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuMW20, author = {Xiao Wu and Yufei Ma and Zhongfeng Wang}, title = {Efficient Inference of Large-Scale and Lightweight Convolutional Neural Networks on {FPGA}}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {168--173}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524773}, doi = {10.1109/SOCC49529.2020.9524773}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuMW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XieYW20, author = {Jinyu Xie and Wenbo Yin and Lingli Wang}, title = {Achieving Flexible, Low-Latency and 100Gbps Line-rate Load Balancing over Ethernet on {FPGA}}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {201--206}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524774}, doi = {10.1109/SOCC49529.2020.9524774}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XieYW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XuSZSG20, author = {Rui Xu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Liang Shi and Shouzhen Gu}, title = {Architectural Exploration on Racetrack Memories}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {31--36}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524792}, doi = {10.1109/SOCC49529.2020.9524792}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/XuSZSG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangHAF20, author = {Li Yang and Zhezhi He and Shaahin Angizi and Deliang Fan}, title = {Processing-in-Memory Accelerator for Dynamic Neural Network with Run-Time Tuning of Accuracy, Power and Latency}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {117--122}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524770}, doi = {10.1109/SOCC49529.2020.9524770}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YangHAF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YuanMLLDD20, author = {Geng Yuan and Xiaolong Ma and Sheng Lin and Zhengang Li and Jieren Deng and Caiwen Ding}, title = {A {DNN} Compression Framework for SOT-MRAM-based Processing-In-Memory Engine}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {37--42}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524757}, doi = {10.1109/SOCC49529.2020.9524757}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YuanMLLDD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhanLJZ20, author = {Jinyu Zhan and Ying Li and Wei Jiang and Jianping Zhu}, title = {{FPGA} Based Co-design of Storage-side Query Filter for Big Data Systems}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {25--30}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524801}, doi = {10.1109/SOCC49529.2020.9524801}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhanLJZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhangENN20, author = {Renyuan Zhang and Tati Erlina and Tinh Van Nguyen and Yasuhiko Nakashima}, title = {Hybrid Stochastic Computing Circuits in Continuous Statistics Domain}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {225--230}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524786}, doi = {10.1109/SOCC49529.2020.9524786}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhangENN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhangZWMJ20, author = {Jianhua Zhang and Ming Zou and Lai Wei and Meng Ma and Bingli Jiao}, title = {Downlink-Centric User Scheduling for Full-Duplex {MU-MIMO} Systems}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {158--162}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524789}, doi = {10.1109/SOCC49529.2020.9524789}, timestamp = {Sat, 09 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhangZWMJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhaoZZ20, author = {Yuchen Zhao and Zhuo Zou and Lirong Zheng}, title = {An Inverter-based On-chip Voltage Reference Generator for Low Power Application}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {153--157}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524793}, doi = {10.1109/SOCC49529.2020.9524793}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhaoZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2020, title = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020}, doi = {10.1109/SOCC49529.2020}, isbn = {978-1-7281-8746-4}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Abelgawad19, author = {Ahmed Abelgawad}, title = {Tutorial 2A: Internet of Things (IoT): Signals, Communications, Applications, Challenges, and Future Research}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.9088067}, doi = {10.1109/SOCC46988.2019.9088067}, timestamp = {Tue, 19 May 2020 13:56:11 +0200}, biburl = {https://dblp.org/rec/conf/socc/Abelgawad19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AcharyaCPSO0B19, author = {Jyotibdha Acharya and Andr{\'{e}}s Ussa Caycedo and Vandana Reddy Padala and Rishi Raj Sidhu Singh and Garrick Orchard and Bharath Ramesh and Arindam Basu}, title = {{EBBIOT:} {A} Low-complexity Tracking Algorithm for Surveillance in IoVT using Stationary Neuromorphic Vision Sensors}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {318--323}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570553690}, doi = {10.1109/SOCC46988.2019.1570553690}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AcharyaCPSO0B19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Akselrod19, author = {David Akselrod}, title = {ML-based Reinforcement Learning Approach for Power Management in SoCs}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {382--387}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548498}, doi = {10.1109/SOCC46988.2019.1570548498}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Akselrod19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Alioto19, author = {Massimo Alioto}, title = {Thursday Keynote: Survival of The Fittest: Circuits and Architectures for Computation with Wide Power- Performance Adaptation Beyond Voltage Scaling}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.9087999}, doi = {10.1109/SOCC46988.2019.9087999}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Alioto19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AnXXW19, author = {Fengwei An and Peng Xu and Zhihua Xiao and Chao Wang}, title = {FPGA-based object detection processor with {HOG} feature and {SVM} classifier}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {187--190}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558044}, doi = {10.1109/SOCC46988.2019.1570558044}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AnXXW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BhattacharjeeCL19, author = {Debjyoti Bhattacharjee and Anupam Chattopadhyay and Ricardo Jack Liwongan}, title = {Accelerating Binary-Matrix Multiplication on {FPGA}}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {254--259}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570544215}, doi = {10.1109/SOCC46988.2019.1570544215}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BhattacharjeeCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChangWLLCW19, author = {Yan{-}Ping Chang and Teng{-}Chia Wang and Yun{-}Ju Lee and Chia{-}Chun Lin and Yung{-}Chih Chen and Chun{-}Yao Wang}, title = {A Smart Single-Sensor Device for Instantaneously Monitoring Lower Limb Exercises}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {197--202}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548017}, doi = {10.1109/SOCC46988.2019.1570548017}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChangWLLCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenHCWH19, author = {Yen{-}Hao Chen and Po{-}Chen Huang and Fu{-}Wei Chen and Allen C.{-}H. Wu and TingTing Hwang}, title = {Crosstalk-aware TSV-buffer Insertion in 3D {IC}}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {400--405}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570539111}, doi = {10.1109/SOCC46988.2019.1570539111}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenHCWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenPGZZL19, author = {Zhuo Chen and Yuqian Pan and Mingyang Gong and Haichun Zhang and Mingyu Zhang and Zhenglin Liu}, title = {A {NAND} Flash Endurance Prediction Scheme with FPGA-based Memory Controller System}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {68--73}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570552892}, doi = {10.1109/SOCC46988.2019.1570552892}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenPGZZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChungH19, author = {Ching{-}Che Chung and Hsin{-}Han Huang}, title = {An All-Digital Temperature Sensor with Process and Voltage Variation Tolerance for IoT Applications}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {109--112}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570557014}, doi = {10.1109/SOCC46988.2019.1570557014}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChungH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DaoudR19, author = {Luka Daoud and Nader Rafla}, title = {Runtime Packet-Dropping Detection of Faulty Nodes in Network-on-Chip}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {266--271}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548660}, doi = {10.1109/SOCC46988.2019.1570548660}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DaoudR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DasuBSC19, author = {Vishnu Asutosh Dasu and Anubhab Baksi and Sumanta Sarkar and Anupam Chattopadhyay}, title = {{LIGHTER-R:} Optimized Reversible Circuit Implementation For SBoxes}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {260--265}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548320}, doi = {10.1109/SOCC46988.2019.1570548320}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DasuBSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DattaBCRB19, author = {Debanjana Datta and Mousumi Bhanja and Anirban Chaudhuri and Baidyanath Ray and Ayan Banerjee}, title = {Cell-based Coherent Design Methodology for Linear and Non-linear Analog Circuits}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {455--460}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558499}, doi = {10.1109/SOCC46988.2019.1570558499}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DattaBCRB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DattaRB19, author = {Debanjana Datta and Baidyanath Ray and Ayan Banerjee}, title = {Synthesis of Linear and Non-linear Analog Circuits}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {193--194}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570574109}, doi = {10.1109/SOCC46988.2019.1570574109}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DattaRB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DiYHM19, author = {Xinkai Di and Haigang Yang and Zhihong Huang and Ning Mao}, title = {An Operation-Minimized {FPGA} Accelerator Design by Dynamically Exploiting Sparsity in {CNN} Winograd Transform}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {50--55}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558495}, doi = {10.1109/SOCC46988.2019.1570558495}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DiYHM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Do19, author = {Anh{-}Tuan Do}, title = {0.8{\%} {BER} 1.2 pJ/bit Arbiter-based {PUF} for Edge Computing Using Phase-Difference Accumulation Technique}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {312--317}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570537105}, doi = {10.1109/SOCC46988.2019.1570537105}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Do19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DuttaRJLJUFZCC19, author = {Rahul Dutta and Salahuddin Raju and Ashish James and Leo John Chemmanda and Yong{-}Joon Jeon and Balagopal Unnikrishnan and Chuan Sheng Foo and Zeng Zeng and Kevin Tshun Chuan Chai and Vijay Ramaseshan Chandrasekhar}, title = {Learning of Multi-Dimensional Analog Circuits Through Generative Adversarial Network {(GAN)}}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {394--399}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548547}, doi = {10.1109/SOCC46988.2019.1570548547}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DuttaRJLJUFZCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FanKSZZ19, author = {Yibo Fan and Jiro Katto and Heming Sun and Xiaoyang Zeng and Yixuan Zeng}, title = {A Minimal Adder-oriented 1D {DST-VII/DCT-VIII} Hardware Implementation for {VVC} Standard}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {176--180}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548652}, doi = {10.1109/SOCC46988.2019.1570548652}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FanKSZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FangLTGCWYZZ19, author = {Zhongyuan Fang and Liheng Lou and Kai Tang and Ting Guo and Bo Chen and Yisheng Wang and Chuanshi Yang and Longjie Zhong and Yuanjin Zheng}, title = {A Digital-Enhanced Interferometric Radar Sensor for Physiological Sign Monitoring}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {122--125}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570571644}, doi = {10.1109/SOCC46988.2019.1570571644}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FangLTGCWYZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GoldbrunnerDPWH19, author = {Thomas Goldbrunner and Nguyen Anh Vu Doan and Diogo Po{\c{c}}as and Thomas Wild and Andreas Herkersdorf}, title = {Register Requirement Minimization of Fixed-Depth Pipelines for Streaming Data Applications}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {406--411}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548393}, doi = {10.1109/SOCC46988.2019.1570548393}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GoldbrunnerDPWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Gonzalez-Guerrero19, author = {Patricia Gonzalez{-}Guerrero and Stephen G. Wilson and Mircea R. Stan}, title = {Error-latency Trade-off for Asynchronous Stochastic Computing with {\(\Sigma\)}{\(\Delta\)} Streams for the IoT}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {97--102}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548453}, doi = {10.1109/SOCC46988.2019.1570548453}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Gonzalez-Guerrero19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuoL19, author = {Xiaoyu Guo and Hongge Li}, title = {A 10-bit Area-efficient Source Driver for Printed {OLED} Display}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {17--20}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570547708}, doi = {10.1109/SOCC46988.2019.1570547708}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuoL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuoSK19, author = {Yi Guo and Heming Sun and Shinji Kimura}, title = {Energy-Efficient and High-Speed Approximate Signed Multipliers with Sign-Focused Compressors}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {330--335}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548436}, doi = {10.1109/SOCC46988.2019.1570548436}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuoSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuoTT19, author = {Jiun{-}In Guo and Chi{-}Chi Tsai and Ching{-}Kan Tseng}, title = {Pvalite {CLN:} Lightweight Object Detection with Classfication and Localization Network}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {118--121}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570561207}, doi = {10.1109/SOCC46988.2019.1570561207}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuoTT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HaganSM19, author = {Matthew Hagan and Sakir Sezer and Kieran McLaughlin}, title = {Reactive and Proactive Threat Detection and Prevention for the Internet of Things}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {195--196}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570574214}, doi = {10.1109/SOCC46988.2019.1570574214}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HaganSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Hamedi-Hagh19, author = {Sotoudeh Hamedi{-}Hagh}, title = {Analysis and Modeling of Passive {LC} Filters Using Node Elimination Technique}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {289--293}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570547047}, doi = {10.1109/SOCC46988.2019.1570547047}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Hamedi-Hagh19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HoCWH19, author = {Pei{-}An Ho and Yen{-}Hao Chen and Allen C.{-}H. Wu and TingTing Hwang}, title = {Timing Aware Wrapper Cells Reduction for Pre-bond Testing in 3D-ICs}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {236--241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570544200}, doi = {10.1109/SOCC46988.2019.1570544200}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HoCWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HoPNCG19, author = {Weng{-}Geng Ho and Ali Akbar Pammu and Kyaw Zwa Lwin Ne and Kwen{-}Siong Chong and Bah{-}Hwee Gwee}, title = {Reconfigurable Routing Paths As Noise Generators Using NoC Platform for Hardware Security Applications}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {86--91}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570557958}, doi = {10.1109/SOCC46988.2019.1570557958}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HoPNCG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuCCWZL19, author = {Yunfeng Hu and Lisheng Chen and Hui Chen and Yi Wen and Huabin Zhang and Xiaojia Liu}, title = {A 100 KS/s 8-10 bit resolution-reconfigurable {SAR} {ADC} for bioelectronics application}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {209--212}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555766}, doi = {10.1109/SOCC46988.2019.1570555766}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuCCWZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangQ19, author = {Kejie Huang and Chuyun Qin}, title = {eNVM based In-memory Computing for Intelligent and Secure Computing Systems}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {348--353}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570557582}, doi = {10.1109/SOCC46988.2019.1570557582}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangS19, author = {Yu Huang and Rahul Singhal}, title = {Tutorial 1B: {AI} Chip Technologies and {DFT} Methodologies}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.9087993}, doi = {10.1109/SOCC46988.2019.9087993}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ItoW19, author = {Hirotoshi Ito and Minoru Watanabe}, title = {Radiation-degradation Analysis and a Circuit Performance Improvement Method for Optoelectronic Field Programmable Gate Array}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {306--311}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548505}, doi = {10.1109/SOCC46988.2019.1570548505}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ItoW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiCLWCW19, author = {De{-}Xuan Ji and Hsiao{-}Yu Chiang and Chia{-}Chun Lin and Chia{-}Cheng Wu and Yung{-}Chih Chen and Chun{-}Yao Wang}, title = {A Glitch Key-Gate for Logic Locking}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {74--79}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570547988}, doi = {10.1109/SOCC46988.2019.1570547988}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JiCLWCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiangYH19, author = {Weixiong Jiang and Heng Yu and Yajun Ha}, title = {Enabling Fine-Grained Dynamic Voltage and Frequency Scaling in SDSoC}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {56--61}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558174}, doi = {10.1109/SOCC46988.2019.1570558174}, timestamp = {Fri, 25 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/JiangYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JinXHY0Z19, author = {Yi Jin and Jiawei Xu and Yuxiang Huan and Yulong Yan and Lirong Zheng and Zhuo Zou}, title = {Energy-Aware Workload Allocation for Distributed Deep Neural Networks in Edge-Cloud Continuum}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {213--217}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570554761}, doi = {10.1109/SOCC46988.2019.1570554761}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/JinXHY0Z19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadiyalaPGNLS19, author = {Sai Praveen Kadiyala and Vikram Kumar Pudi and Mohit Garg and Hau T. Ngo and Siew{-}Kei Lam and Thambipillai Srikanthan}, title = {Hardware Efficient {NIPALS} Architecture for Principal Component Analysis of Hyper Spectral Images}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {224--229}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555870}, doi = {10.1109/SOCC46988.2019.1570555870}, timestamp = {Tue, 24 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KadiyalaPGNLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KalaJMS19, author = {S. Kala and Babita R. Jose and Jimson Mathew and Nalesh Sivanandan}, title = {Efficient Hardware Acceleration of Convolutional Neural Networks}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {191--192}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570573948}, doi = {10.1109/SOCC46988.2019.1570573948}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KalaJMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KasapRW19, author = {Server Kasap and Soydan Redif and Eduardo W{\"{a}}chter}, title = {Acceleration of Polynomial Matrix Multiplication on Zynq-7000 System-on-Chip}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {300--305}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555424}, doi = {10.1109/SOCC46988.2019.1570555424}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KasapRW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KempfAM019, author = {Fabian Kempf and Nidhi Anantharajaiah and Leonard Masing and J{\"{u}}rgen Becker}, title = {A Network on Chip Adapter for Real-Time and Safety-Critical Applications}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {39--44}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558594}, doi = {10.1109/SOCC46988.2019.1570558594}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KempfAM019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KhalilE0B19, author = {Kasem Khalil and Omar Eldash and Ashok Kumar and Magdy A. Bayoumi}, title = {N\({}^{\mbox{2}}\) {OC:} Neural-Network-on-Chip Architecture}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {272--277}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548351}, doi = {10.1109/SOCC46988.2019.1570548351}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KhalilE0B19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KhalilE0B19a, author = {Kasem Khalil and Omar Eldash and Ashok Kumar and Magdy A. Bayoumi}, title = {A Speed and Energy Focused Framework for Dynamic Hardware Reconfiguration}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {388--393}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570556376}, doi = {10.1109/SOCC46988.2019.1570556376}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KhalilE0B19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KhoramiSS19, author = {Ata Khorami and Manoj Sachdev and Mohammad Sharifkhani}, title = {A Contention-free, Static, Single-phase Flip-Flop for Low Data Activity Applications}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {11--16}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548494}, doi = {10.1109/SOCC46988.2019.1570548494}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KhoramiSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Kim19, author = {Tony Tae{-}Hyoung Kim}, title = {Tutorial 1A: Design of ultra-low power {SRAM} for IoT, security and computation-in-memory}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.9087943}, doi = {10.1109/SOCC46988.2019.9087943}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Kim19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Kim19a, author = {Bongjin Kim}, title = {Mixed-Signal Circuits and Architectures for Energy-Efficient In-Memory and In-Sensor Computation of Artificial Neural Networks}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {475--478}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570571922}, doi = {10.1109/SOCC46988.2019.1570571922}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Kim19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KrishnakumarR19, author = {Gnanambikai Krishnakumar and Chester Rebeiro}, title = {{MSMPX:} Microarchitectural Extensions for Meltdown Safe Memory Protection}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {432--437}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570564578}, doi = {10.1109/SOCC46988.2019.1570564578}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KrishnakumarR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Krishnamurthy19, author = {Ram K. Krishnamurthy}, title = {Wednesday Plenary: Machine Learning and Hardware Security Technologies for the Nanoscale era: Challenges {\&} Opportunities}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.9088079}, doi = {10.1109/SOCC46988.2019.9088079}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Krishnamurthy19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KumarCHM19, author = {Vinay B. Y. Kumar and Anupam Chattopadhyay and Jawad Haj{-}Yahya and Avi Mendelson}, title = {{ITUS:} {A} Secure {RISC-V} System-on-Chip}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {418--423}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570564307}, doi = {10.1109/SOCC46988.2019.1570564307}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KumarCHM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KuoLLC19, author = {Wei{-}Chien Kuo and Li{-}Wei Liu and Yen{-}Chin Liao and Hsie{-}Chia Chang}, title = {ML-based Thermal Sensor Calibration by Bivariate Gaussian Mixture Model Estimation}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {113--117}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570561880}, doi = {10.1109/SOCC46988.2019.1570561880}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KuoLLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LaiJ19, author = {Wen{-}Cheng Lai and Sheng{-}Lyang Jang}, title = {Current-Reuse {LC} Divide-by-8 Injection-Locked Frequency Divider}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {488--491}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570542436}, doi = {10.1109/SOCC46988.2019.1570542436}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LaiJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Lakshminarayanan19, author = {Sreekesh Lakshminarayanan and Klaus Hofmann}, title = {A Digitally Controllable Passive Variable Slope Gain Equalizer for Wideband Radio Frequency System-on-Chip Applications}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {461--465}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558481}, doi = {10.1109/SOCC46988.2019.1570558481}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Lakshminarayanan19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeeNPGD19, author = {Yun Kwan Lee and Vishnu P. Nambiar and Junran Pu and Wang Ling Goh and Anh{-}Tuan Do}, title = {Coverage Driven Verification Methodology for Asynchronous Neuromorphic Routers}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {242--247}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570547252}, doi = {10.1109/SOCC46988.2019.1570547252}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeeNPGD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiCZWGY19, author = {Jiquan Li and Yingmei Chen and Zhen Zhang and Hui Wang and Chao Guo and Binbin Yang}, title = {Group Delay Compensation By Combining 3-tap {FFE} With {CTLE} for 80Gbps-PAM4 Optical Transmitter}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {155--160}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570537232}, doi = {10.1109/SOCC46988.2019.1570537232}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiCZWGY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiL19, author = {Fei Li and Jayce Lay Keng Lim}, title = {ReRAM Non-Volatile {AES} Encryption Engine for IoT Application}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {359--364}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548234}, doi = {10.1109/SOCC46988.2019.1570548234}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinLY19, author = {You{-}Sheng Lin and Miao{-}Shan Li and Ching{-}Yuan Yang}, title = {A 2.7-Gb/s Clock and Data Recovery Circuit Based on {D/PLL}}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {284--288}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548362}, doi = {10.1109/SOCC46988.2019.1570548362}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LuLWFLWD19, author = {Jinming Lu and Siyuan Lu and Zhisheng Wang and Chao Fang and Jun Lin and Zhongfeng Wang and Li Du}, title = {Training Deep Neural Networks Using Posit Number System}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {62--67}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558530}, doi = {10.1109/SOCC46988.2019.1570558530}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LuLWFLWD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LuoY19, author = {Guan{-}Min Luo and Ching{-}Yuan Yang}, title = {A 10-GHz Fast-Locked All-Digital Frequency Synthesizer with Frequency-Error Detection}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {92--96}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555475}, doi = {10.1109/SOCC46988.2019.1570555475}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LuoY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MahalatMMS19, author = {Mahabub Hasan Mahalat and Suraj Mandal and Anindan Mondal and Bibhash Sen}, title = {An Efficient Implementation of Arbiter {PUF} on {FPGA} for IoT Application}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {324--329}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548268}, doi = {10.1109/SOCC46988.2019.1570548268}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MahalatMMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MahmudE19, author = {Naveed Mahmud and Esam El{-}Araby}, title = {Dimension Reduction for Efficient Pattern Recognition in High Spatial Resolution Data Using Quantum Algorithms}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {126--131}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558150}, doi = {10.1109/SOCC46988.2019.1570558150}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MahmudE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ManoharaH19, author = {H. T. Manohara and B. P. Harish}, title = {Dynamic Supply Voltage Level Generation for Minimum Energy Real Time Tasks using Geometric Programming}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {376--381}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555698}, doi = {10.1109/SOCC46988.2019.1570555698}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ManoharaH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Mendelson19, author = {Avi Mendelson}, title = {Secure Speculative Core}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {426--431}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570564192}, doi = {10.1109/SOCC46988.2019.1570564192}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Mendelson19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MianSI19, author = {Riaz{-}ul{-}haque Mian and Michihiro Shintani and Michiko Inoue}, title = {Cycle-Accurate Evaluation of Software-Hardware Co-Design of Decimal Computation in {RISC-V} Ecosystem}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {412--417}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570559752}, doi = {10.1109/SOCC46988.2019.1570559752}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MianSI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MondalMMRS19, author = {Anindan Mondal and Mahabub Hasan Mahalat and Suraj Mandal and Suchismita Roy and Bibhash Sen}, title = {A Novel Test Vector Generation Method for Hardware Trojan Detection}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {80--85}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548271}, doi = {10.1109/SOCC46988.2019.1570548271}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MondalMMRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NamMF19, author = {Seungseok Nam and Emil Mat{\'{u}}s and Gerhard P. Fettweis}, title = {Application Specific Instruction Processor for Dynamic Connection Allocation in TDM-NoCs}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {33--38}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555815}, doi = {10.1109/SOCC46988.2019.1570555815}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NamMF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Nannarelli19, author = {Alberto Nannarelli}, title = {Fused Multiply-Add for Variable Precision Floating-Point}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {342--347}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555329}, doi = {10.1109/SOCC46988.2019.1570555329}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Nannarelli19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NguyenBIT19, author = {Duy{-}Anh Nguyen and Duy{-}Hieu Bui and Francesca Iacopi and Xuan{-}Tu Tran}, title = {An Efficient Event-driven Neuromorphic Architecture for Deep Spiking Neural Networks}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {144--149}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548305}, doi = {10.1109/SOCC46988.2019.1570548305}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NguyenBIT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/OszwaldOTB19, author = {Florian Oszwald and Philipp Obergfell and Matthias Traub and J{\"{u}}rgen Becker}, title = {Reliable Fail-Operational Automotive E/E-Architectures by Dynamic Redundancy and Reconfiguration}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {203--208}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570547977}, doi = {10.1109/SOCC46988.2019.1570547977}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/OszwaldOTB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PfauRHH019, author = {Johannes Pfau and Maximilian Reuter and Tanja Harbaum and Klaus Hofmann and J{\"{u}}rgen Becker}, title = {A Hardware Perspective on the ChaCha Ciphers: Scalable Chacha8/12/20 Implementations Ranging from 476 Slices to Bitrates of 175 Gbit/s}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {294--299}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548289}, doi = {10.1109/SOCC46988.2019.1570548289}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PfauRHH019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PuNMGD19, author = {Junran Pu and Vishnu P. Nambiar and Aarthy Mani and Wang Ling Goh and Anh{-}Tuan Do}, title = {Ower and Area Efficient Router with Automated Clock Gating for Neuromorphic Computing}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {27--32}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548462}, doi = {10.1109/SOCC46988.2019.1570548462}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PuNMGD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RigaultMLO19, author = {Samuel Rigault and Nicolas Moeneclaey and Lioua Labrak and Ian O'Connor}, title = {A Low-Voltage Sub-ns Pulse Integrated {CMOS} Laser Diode Driver for SPAD-based Time-of-Flight Rangefinding in Mobile Applications}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {5--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548090}, doi = {10.1109/SOCC46988.2019.1570548090}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RigaultMLO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RoutCPD19, author = {Sidhartha Sankar Rout and Vaibhav Ishwarlal Chaudhari and Suyog Bhimrao Patil and Sujay Deb}, title = {{RCAS:} Critical Load Based Ranking for Efficient Channel Allocation in Wireless NoC}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {21--26}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558011}, doi = {10.1109/SOCC46988.2019.1570558011}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RoutCPD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RoutPCD19, author = {Sidhartha Sankar Rout and Suyog Bhimrao Patil and Vaibhav Ishwarlal Chaudhari and Sujay Deb}, title = {Efficient Router Architecture for Trace Reduction During NoC Post-Silicon Validation}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {230--235}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548502}, doi = {10.1109/SOCC46988.2019.1570548502}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RoutPCD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Sachdev19, author = {Manoj Sachdev}, title = {Tutorial 2B: Offset Mitigation in Low-Voltage Sense Amplifiers and Its Implication on {SRAM} Design and Test}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.9088075}, doi = {10.1109/SOCC46988.2019.9088075}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Sachdev19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SchirmerKPE19, author = {Tobias Schirmer and Mohammad Mahdi Khafaji and Jan Pl{\'{\i}}va and Frank Ellinger}, title = {A 90 {\(\mu\)}W, 2.5 GHz high linearity programmable delay cell for signal duty-cycle adjustment}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {278--283}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558550}, doi = {10.1109/SOCC46988.2019.1570558550}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SchirmerKPE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SiddiquiHS19, author = {Fahad Siddiqui and Matthew Hagan and Sakir Sezer}, title = {Establishing Cyber Resilience in Embedded Systems for Securing Next-Generation Critical Infrastructure}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {218--223}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548325}, doi = {10.1109/SOCC46988.2019.1570548325}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SiddiquiHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SinghBHJG19, author = {Kamlesh Singh and Barry de Bruin and Jos Huisken and Hailong Jiao and Jos{\'{e}} Pineda de Gyvez}, title = {Voltage Stacked Design of a Microcontroller for Near/Sub-threshold Operation}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {370--375}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558508}, doi = {10.1109/SOCC46988.2019.1570558508}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SinghBHJG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SisejkovicML19, author = {Dominik Sisejkovic and Farhad Merchant and Rainer Leupers}, title = {Protecting the Integrity of Processor Cores with Logic Encryption}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {424--425}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570564157}, doi = {10.1109/SOCC46988.2019.1570564157}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SisejkovicML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TanOI19, author = {Chong Yeam Tan and Chia Yee Ooi and Nordinah Ismail}, title = {Loop Optimizations of {MGS-QRD} Algorithm for {FPGA} High-Level Synthesis}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {138--143}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548480}, doi = {10.1109/SOCC46988.2019.1570548480}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TanOI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TangTFWCGYZ19, author = {Liheng Tang and Kai Tang and Zhongyuan Fang and Yisheng Wang and Bo Chen and Ting Guo and Chuanshi Yang and Yuanjin Zheng}, title = {Radar Transceivers for Inverse Synthetic Aperture Radar {(ISAR)} Imaging of Human Activity in 65nm {CMOS}}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {471--474}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570571692}, doi = {10.1109/SOCC46988.2019.1570571692}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TangTFWCGYZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TanimuraTNIK19, author = {Shinya Tanimura and Akira Tsuchiya and Ryosuke Noguchi and Toshiyuki Inoue and Keiji Kishine}, title = {Design of Crosstalk Noise Filter for Multi-Channel Transimpedance Amplifier}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {161--164}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570554632}, doi = {10.1109/SOCC46988.2019.1570554632}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TanimuraTNIK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Tija19, author = {Jerome Tija}, title = {Thursday Plenary: Anchoring Security in the Connected World}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.9088025}, doi = {10.1109/SOCC46988.2019.9088025}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Tija19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ToanL19, author = {Nguyen Van Toan and Jeong{-}Gun Lee}, title = {Energy-Area-Efficient Approximate Multipliers for Error-Tolerant Applications on FPGAs}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {336--341}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548202}, doi = {10.1109/SOCC46988.2019.1570548202}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ToanL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TsengHWLWHC19, author = {Huan{-}Jan Tseng and Po{-}Tsang Huang and Shang{-}Lin Wu and Sheng{-}Chi Lung and Wei{-}Chang Wang and Wei Hwang and Ching{-}Te Chuang}, title = {28nm 0.3V 1W2R Sub-Threshold {FIFO} Memory for Multi-Sensor IoT Applications}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {248--253}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555748}, doi = {10.1109/SOCC46988.2019.1570555748}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TsengHWLWHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TsuchiyaHTFMNO19, author = {Akira Tsuchiya and Akitaka Hiratsuka and Kenji Tanaka and Hiroyuki Fukuyama and Naoki Miura and Hideyuki Nosaka and Hidetoshi Onodera}, title = {A 45 Gb/s, 98 fJ/bit, 0.02 mm\({}^{\mbox{2}}\) Transimpedance Amplifier with Peaking-Dedicated Inductor in 65-nm {CMOS}}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {150--154}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548520}, doi = {10.1109/SOCC46988.2019.1570548520}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TsuchiyaHTFMNO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangCGLZGL19, author = {Hui Wang and Yingmei Chen and Yuan Gao and Ning Li and Zhen Zhang and Chao Guo and Jiquan Li}, title = {A Quad Linear 56Gbaud {PAM4} Transimpedance Amplifier in 0.18 {\(\mu\)}m SiGe BiCMOS Technology}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {165--170}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570541947}, doi = {10.1109/SOCC46988.2019.1570541947}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WangCGLZGL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangGXWXZ19, author = {Bo Wang and Jiayan Gan and Yuxiang Xie and Yin Wang and Zhuoling Xiao and Jun Zhou}, title = {A Power-Efficient Programmable {DCNN} Processor for Intelligent Sensing}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {181--186}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570553982}, doi = {10.1109/SOCC46988.2019.1570553982}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WangGXWXZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangZCLLS19, author = {Weijie Wang and Victor Yi{-}Qian Zhuo and Zhixian Chen and Hock Koon Lee and Minghua Li and Wendong Song}, title = {Enabling Neuromorphic Computing: {BEOL} Integration of {CMOS} {RRAM} Chip and Programmable Performance}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {354--358}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570553082}, doi = {10.1109/SOCC46988.2019.1570553082}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangZCLLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Wehn19, author = {Norbert Wehn}, title = {Wednesday Keynote: The Memory Wall: Challenges and Solutions}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.9088072}, doi = {10.1109/SOCC46988.2019.9088072}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Wehn19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WongD19, author = {Ming Ming Wong and Anh{-}Tuan Do}, title = {Folded and Deterministic Stochastic {MAC} for High Accuracy and Hardware Efficient Convolution Function}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {103--108}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570543844}, doi = {10.1109/SOCC46988.2019.1570543844}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WongD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XuZWZHXKHZLALH19, author = {Ke Xu and Fang Zhu and Hong Wang and Xiao Zhang and Bin Han and Jiewei Xiao and Dehui Kong and Zhou Han and Degen Zhen and Guoning Lu and Jisong Ai and Xin Liu and Zhi Huang}, title = {A 4K Vision Computing Platform with Convolutional Neural Network Engine on {FPGA}}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {171--175}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570552941}, doi = {10.1109/SOCC46988.2019.1570552941}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XuZWZHXKHZLALH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangT0FZ19, author = {Chuanshi Yang and Kai Tang and Lei Qiu and Zhongyuan Fang and Yuanjin Zheng}, title = {A Low Power Analog Front-end for Ultrasound Receiver}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {484--487}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570572046}, doi = {10.1109/SOCC46988.2019.1570572046}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangT0FZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YenWCH19, author = {Hsiang{-}Ming Yen and Chia{-}Ling Wei and Chi{-}Shi Chen and Terng{-}Yin Hsu}, title = {High-Efficiency Step-Down Multi-Mode Switching {DC-DC} Converter for IoT Devices}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {449--454}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570562801}, doi = {10.1109/SOCC46988.2019.1570562801}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YenWCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhangCNN19, author = {Renyuan Zhang and Yan Chen and Takashi Nakada and Yasuhiko Nakashima}, title = {DiaNet: An Efficient Multi-Grained Re-configurable Neural Network in Silicon}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {132--137}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548015}, doi = {10.1109/SOCC46988.2019.1570548015}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhangCNN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhangG19, author = {Tan{-}Tan Zhang and Yuan Gao}, title = {A BJT-Based Temperature Sensor in 40-nm {CMOS} With {\(\pm\)}0.8{\textdegree}C(3{\(\sigma\)}) Untrimmed Inaccuracy}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555725}, doi = {10.1109/SOCC46988.2019.1570555725}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhangG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhangWQMZ19, author = {Huiliang Zhang and Zhonglong Wang and Fei Qin and Meng Ma and Jianhua Zhang}, title = {A Neural-Network-Based Non-linear Interference Cancellation Scheme for Wireless IoT Backhaul with Dual-Connectivity}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {444--448}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570559857}, doi = {10.1109/SOCC46988.2019.1570559857}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhangWQMZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhaoSCH19, author = {Wenfeng Zhao and Biao Sun and Jian Chen and Yajun Ha}, title = {AxC-CS: Approximate Computing for Hardware Efficient Compressed Sensing Encoder Design}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {479--483}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558477}, doi = {10.1109/SOCC46988.2019.1570558477}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhaoSCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Zheng0SNL19, author = {Yu Zheng and Yongxin Zhu and Yuefeng Song and Tianhao Nan and Wanyi Li}, title = {A Lossless Astronomical Data Compression Scheme with {FPGA} Acceleration}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {45--49}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570562786}, doi = {10.1109/SOCC46988.2019.1570562786}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Zheng0SNL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhouGCZG19, author = {Wei Zhou and Wang Ling Goh and Yi Chen and Tantan Zhang and Yuan Gao}, title = {A 100-mVpp Input Range 10-kHz {BW} VCO-based {CT-DSM} Neuro-Recording {IC} in 40-nm {CMOS}}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {466--470}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570553458}, doi = {10.1109/SOCC46988.2019.1570553458}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhouGCZG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhuoWCLLS19, author = {Victor Yi{-}Qian Zhuo and Weijie Wang and Zhixian Chen and Hock Koon Lee and Minghua Li and Wendong Song}, title = {Co-Design of Highly Uniform ReRAM Arrays in 180nm {CMOS} Technology for Neuromorphic Systems}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {365--369}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570553076}, doi = {10.1109/SOCC46988.2019.1570553076}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhuoWCLLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/sungH19, author = {Kuhwa sung and Terng{-}Yin Hsu}, title = {Scalable {DU} Architecture for IoT massive connection}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {438--443}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570558152}, doi = {10.1109/SOCC46988.2019.1570558152}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/sungH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2019, title = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/9083732/proceeding}, isbn = {978-1-7281-3483-3}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AdnanSRSKL18, author = {Md Musabbir Adnan and Sagarvarma Sayyaparaju and Garrett S. Rose and Catherine D. Schuman and Bon Woong Ku and Sung Kyu Lim}, title = {A Twin Memristor Synapse for Spike Timing Dependent Learning in Neuromorphic Systems}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {37--42}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618553}, doi = {10.1109/SOCC.2018.8618553}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AdnanSRSKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AhmedGSPM18, author = {M. Meraj Ahmed and Amlan Ganguly and Sajeed Mohaamd Shahriat and Hardeep Pruswani and Naseef Mansoor}, title = {A One-to-Many Traffic Aware Wireless Network-in-Package for Multi-Chip Computing Platforms}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {284--289}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618579}, doi = {10.1109/SOCC.2018.8618579}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/AhmedGSPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AhnK18, author = {Byungmin Ahn and Taewhan Kim}, title = {Memory Access Driven Memory Layout and Block Replacement Techniques for Compressed Deep Neural Networks}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {221--226}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618552}, doi = {10.1109/SOCC.2018.8618552}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/AhnK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AtaeiS18, author = {Samira Ataei and James E. Stine}, title = {A Methodology for Low-Power Approximate Embedded {SRAM} Within Multimedia Applications}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {266--271}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618492}, doi = {10.1109/SOCC.2018.8618492}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AtaeiS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BaehrKB18, author = {Steffen Baehr and Fabian Kempf and J{\"{u}}rgen Becker}, title = {Data Readout Triggering for Phase 2 of the Belle {II} Particle Detector Experiment Based on Neural Networks}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {174--179}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618563}, doi = {10.1109/SOCC.2018.8618563}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BaehrKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BastanNRANH18, author = {Yasin Bastan and Ali Nejati and Sara Radfar and Parviz Amiri and Mehdi Nasrollahpour and Sotoudeh Hamedi{-}Hagh}, title = {An Ultra-Low-Voltage Sub-Threshold Pseudo-Differential {CMOS} Schmitt Trigger}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618561}, doi = {10.1109/SOCC.2018.8618561}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BastanNRANH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/CacciottiCSPE18, author = {Mattia Cacciotti and Vincent Camus and Jeremy Schlachter and Alessandro Pezzotta and Christian C. Enz}, title = {Hardware Acceleration of HDR-Image Tone Mapping on an {FPGA-CPU} Platform Through High-Level Synthesis}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {158--162}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618490}, doi = {10.1109/SOCC.2018.8618490}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/CacciottiCSPE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChanHWLWHC18, author = {Yun{-}Sheng Chan and Po{-}Tsang Huang and Shang{-}Lin Wu and Sheng{-}Chi Lung and Wei{-}Chang Wang and Wei Hwang and Ching{-}Te Chuang}, title = {0.4V Reconfigurable Near-Threshold {TCAM} in 28nm High-k Metal-Gate {CMOS} Process}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {272--277}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618562}, doi = {10.1109/SOCC.2018.8618562}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChanHWLWHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChengLCT18, author = {Shun{-}Wen Cheng and Chun{-}Pin Lin and Chi{-}Shi Chen and Wei{-}Chang Tsai}, title = {Universal {CMOS} Diamond-Graph Circuit for Embedded Computing}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {206--212}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618549}, doi = {10.1109/SOCC.2018.8618549}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChengLCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChonnadIL18, author = {Shivakumar Chonnad and Radu Iacob and Vladimir Litovtchenko}, title = {A Quantitative Approach to SoC Functional Safety Analysis}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {197--202}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618540}, doi = {10.1109/SOCC.2018.8618540}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChonnadIL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChouFCLLW18, author = {Pei{-}Yuan Chou and Ya{-}Bei Fang and Bo{-}Hao Chen and Chien{-}Tung Liu and Tay{-}Jyi Lin and Jinn{-}Shyan Wang}, title = {Near-Threshold {CORDIC} Design with Dynamic Circuitry for Long-Standby IoT Applications}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {250--253}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618488}, doi = {10.1109/SOCC.2018.8618488}, timestamp = {Thu, 24 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChouFCLLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChuHBKQZZ18, author = {Haoming Chu and Yuxiang Huan and Dongxuan Bao and Bengt K{\"{a}}llb{\"{a}}ck and Yajie Qin and Zhuo Zou and Lirong Zheng}, title = {An {ASIC} Design of Multi-Electrode Digital Basket Catheter Systems with Reconfigurable Compressed Sampling}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {124--129}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618535}, doi = {10.1109/SOCC.2018.8618535}, timestamp = {Tue, 02 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChuHBKQZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/CoustansKKRDGSD18, author = {Mathieu Coustans and Fran{\c{c}}ois Krummenacher and Maher Kayal and Lucas Rossi and Mario Dellea and Yves Godat and Yves Sierro and Silvio DallaPiazza}, title = {A 32kHz Crystal Oscillator Leveraging Voltage Scaling in an Ultra-Low Power 40NA Real-Time Clock}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {308--313}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618534}, doi = {10.1109/SOCC.2018.8618534}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/CoustansKKRDGSD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Delshadpour18, author = {Siamak Delshadpour}, title = {A 64 dB Dynamic Range Programmable Gain Amplifier for Dual Band {WLAN} 802.11abg {IF} Receiver in 0.18 {\(\mu\)}m {CMOS} Technology}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {29--32}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618572}, doi = {10.1109/SOCC.2018.8618572}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Delshadpour18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DelshadpourYGZK18, author = {Siamak Delshadpour and Ahmad Yazdi and Michael Geng and Xu Zhang and Abhijeet Kulkarni and Ken Jaramillo}, title = {An {FSK} Transceiver for {USB} Power Delivery in 0.14-{\(\mu\)}m {CMOS} Technology}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {23--28}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618514}, doi = {10.1109/SOCC.2018.8618514}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/DelshadpourYGZK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DelshadpourYJZG18, author = {Siamak Delshadpour and Ahmad Yazdi and Soon{-}Gil Jung and Xu Zhang and Michael Geng and Leo Liu and Ranjeet Kumar Gupta}, title = {Low Power 20.625 Gbps Type-C USB3.2/DPl.4/ Thunderbolt3 Combo Linear Redriver in 0.25 {\(\mu\)}m BiCMOS Technology}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {326--329}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618489}, doi = {10.1109/SOCC.2018.8618489}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/DelshadpourYJZG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GacusanM18, author = {Michael Adelbert Gacusan and V. Muthukumar}, title = {Cloud Motion Vector Estimation Using Scalable Wireless Sensor Networks}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {13--18}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618507}, doi = {10.1109/SOCC.2018.8618507}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GacusanM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HaganSS18, author = {Matthew Hagan and Fahad Siddiqui and Sakir Sezer}, title = {Policy-Based Security Modelling and Enforcement Approach for Emerging Embedded Architectures}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {84--89}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618544}, doi = {10.1109/SOCC.2018.8618544}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HaganSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HarbaumBWB18, author = {Tanja Harbaum and Matthias Norbert Balzer and Marc Weber and J{\"{u}}rgen Becker}, title = {A Content - Adapted {FPGA} Memory Architecture with Pattern Recognition Capability and Interval Compressing Technique}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {206--212}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618493}, doi = {10.1109/SOCC.2018.8618493}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HarbaumBWB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HernandezSN18, author = {Hugo Daniel Hern{\'{a}}ndez and Lucas C. Severo and Wilhelmus A. M. Van Noije}, title = {0.5V 1OMS/S 9-Bits Asynchronous {SAR} {ADC} for {BLE} Receivers in {L80NM} {CMOS} Technology}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618510}, doi = {10.1109/SOCC.2018.8618510}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HernandezSN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HesterJG18, author = {Josiah D. Hester and Tianyu Jia and Jie Gu}, title = {Holistic Energy Management with {\(\mu\)}Processor Co-Optimization in Fully Integrated Battery-Less IoTs}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {7--12}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618523}, doi = {10.1109/SOCC.2018.8618523}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HesterJG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HiguchiIO18, author = {Tatsuhiro Higuchi and Tohru Ishihara and Hidetoshi Onodera}, title = {Performance Modeling of VIA-Switch {FPGA} for Device-Circuit-Architecture Co-Optimization}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {112--117}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618503}, doi = {10.1109/SOCC.2018.8618503}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HiguchiIO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HosseiniIMM18, author = {Morteza Hosseini and Rashidul Islam and Lahir Marni and Tinoosh Mohsenin}, title = {{MPT:} Multiple Parallel Tempering for High-Throughput {MCMC} Samplers}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {244--249}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618504}, doi = {10.1109/SOCC.2018.8618504}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HosseiniIMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangLMGC18, author = {Siji Huang and Yicheng Li and Bing Mo and Jianping Guo and Dihu Chen}, title = {An Output-Capacitorless Adaptively Biased Low-Dropout Regulator with Maximum 132-MHz {UGF} and Without Minimum Loading Requirement}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {206--212}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618520}, doi = {10.1109/SOCC.2018.8618520}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HuangLMGC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/IchihashiWKJB18, author = {Motoi Ichihashi and Youngtag Woo and Muhammed Ahosan Ul Karim and Vivek Joshi and David Burnett}, title = {10T Differential-Signal {SRAM} Design in a {L4-NM} FinFET Technology for High-Speed Application}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {322--325}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618548}, doi = {10.1109/SOCC.2018.8618548}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/IchihashiWKJB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JadhavL0GYYK18, author = {Shrikant S. Jadhav and Noah LaMoyne and Alan Chen and Clay Gloster and Dylan Yang and Sunmin Yun and Youngsoo Kim}, title = {Building an Acceleration Overlay for Novice Students}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {136--139}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618511}, doi = {10.1109/SOCC.2018.8618511}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JadhavL0GYYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JamalU18, author = {Lafifa Jamal and Md. Riaz Uddin}, title = {Towards Designing Optimized Low Power Reversible Demultiplexer for Emerging Nanocircuits}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {180--185}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618517}, doi = {10.1109/SOCC.2018.8618517}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JamalU18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiangSRKADK18, author = {Huaipan Jiang and Anup Sarma and Jihyun Ryoo and Jagadish B. Kotra and Meena Arunachalam and Chita R. Das and Mahmut T. Kandemir}, title = {A Learning-Guided Hierarchical Approach for Biomedical Image Segmentation}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {227--232}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618537}, doi = {10.1109/SOCC.2018.8618537}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/JiangSRKADK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KawarKA18, author = {Sanad Kawar and Shoba Krishnan and Khaldoon Abugharbieh}, title = {A Discontinuous Charging Technique with Programmable Duty-Cycle for Switched-Capacitor Based Energy Harvesting Circuits in IoT Applications}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {19--22}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618566}, doi = {10.1109/SOCC.2018.8618566}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KawarKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KhalilE0B18, author = {Kasem Khalil and Omar Eldash and Ashok Kumar and Magdy A. Bayoumi}, title = {Flexible Self-Healing Router for Reliable and High-Performance Network-an-Chips Architecture}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {152--157}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618525}, doi = {10.1109/SOCC.2018.8618525}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KhalilE0B18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimSNHH18, author = {Jungho Kim and Philkyue Shin and Soonhyun Noh and Daesik Ham and Seongsoo Hong}, title = {Reducing Memory Interference Latency of Safety-Critical Applications via Memory Request Throttling and Linux Cgroup}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {215--220}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618555}, doi = {10.1109/SOCC.2018.8618555}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KimSNHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiZMGC18, author = {Kaiyou Li and Haoxin Zheng and Bing Mo and Jianping Guo and Dihu Chen}, title = {A New Circuit Topology for High-Performance Pulsed Time-of- Flight Laser Radar Receivers}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {78--83}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618497}, doi = {10.1109/SOCC.2018.8618497}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LiZMGC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiuCWWL18, author = {Chien{-}Tung Liu and Zhe{-}Wei Chang and Shih{-}Nung Wei and Jinn{-}Shyan Wang and Tay{-}Jyi Lin}, title = {A Low-Area, Low-Power, and Low-Leakage Error-Detecting Latch for Timing-Error Resilient System Designs}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618543}, doi = {10.1109/SOCC.2018.8618543}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LiuCWWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MahmudE18, author = {Naveed Mahmud and Esam El{-}Araby}, title = {A Scalable High-Precision and High-Throughput Architecture for Emulation of Quantum Algorithms}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {206--212}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618545}, doi = {10.1109/SOCC.2018.8618545}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MahmudE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MamgainG18, author = {Ankush Mamgain and Anuj Grover}, title = {A 81nW Error Amplifier Design for Ultra Low Leakage Retention Mode Operation of 4Mb {SRAM} Array in 40nm {LSTP} Technology}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {203--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618569}, doi = {10.1109/SOCC.2018.8618569}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MamgainG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MaoXXCS18, author = {Chuang{-}An Mao and Yu Xie and Yizhuang Xie and He Chen and Hao Shi}, title = {An Automated Fault Injection Platform for Fault Tolerant {FFT} Implemented in SRAM-Based {FPGA}}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {192--196}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618524}, doi = {10.1109/SOCC.2018.8618524}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MaoXXCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MehrabianASE18, author = {Armin Mehrabian and Yousra Al{-}Kabani and Volker J. Sorger and Tarek A. El{-}Ghazawi}, title = {{PCNNA:} {A} Photonic Convolutional Neural Network Accelerator}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {169--173}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618542}, doi = {10.1109/SOCC.2018.8618542}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MehrabianASE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ModyCGJSSSADJDJ18, author = {Mihir Mody and Kedar Chitnis and Piyali Goswami and Brijesh Jadav and Shiju Sivasankaran and Gregory Shurtz and Rajat Sagar and Abhinay Armstrong and Shashank Dabral and Prasad Jondhale and Yashwant Dutt and Jason Jones}, title = {Integrated Surround {\&} {CMS} Automotive SoC}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {318--321}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618518}, doi = {10.1109/SOCC.2018.8618518}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ModyCGJSSSADJDJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MondalCMMDD18, author = {Hemanta Kumar Mondal and Rodrigo Cadore Cataldo and C{\'{e}}sar Augusto Missio Marcon and Kevin J. M. Martin and Sujay Deb and Jean{-}Philippe Diguet}, title = {Broadcast- and Power-Aware Wireless NoC for Barrier Synchronization in Parallel Computing}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618541}, doi = {10.1109/SOCC.2018.8618541}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MondalCMMDD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NasrollahpourMH18, author = {Mehdi Nasrollahpour and Amir Mahdavi and Sotoudeh Hamedi{-}Hagh}, title = {Design and Analysis of 66GHz Voltage Controlled Oscillators for {FMCW} Radar Applications with Phase Noise Impact Consideration}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {33--36}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618533}, doi = {10.1109/SOCC.2018.8618533}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/NasrollahpourMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NorollahDBP18, author = {Amin Norollah and Danesh Derafshi and Hakem Beitollahi and Ahmad Patooghy}, title = {PAT-Noxim: {A} Precise Power {\&} Thermal Cycle-Accurate NoC Simulator}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {163--168}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618491}, doi = {10.1109/SOCC.2018.8618491}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/NorollahDBP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PandeyGNMKS18, author = {Jai Gopal Pandey and Tarun Goel and Mausam Nayak and Chhavi Mitharwal and Abhijit Karmakar and Raj Singh}, title = {A High-Performance {VLSI} Architecture of the Present Cipher and its Implementations for SoCs}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {96--101}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618487}, doi = {10.1109/SOCC.2018.8618487}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PandeyGNMKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ParkKC18, author = {Jun Hyuk Park and Soobum Kwon and Kyusun Choi}, title = {Designing Algorithm for the High Speed {TIQ} ADC, with Improved Accuracy}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {233--237}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618498}, doi = {10.1109/SOCC.2018.8618498}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ParkKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PurkayasthaST18, author = {Arnab A. Purkayastha and Suhas Ashok Shiddhibhavi and Hamed Tabkhi}, title = {Taxonomy of Spatial Parallelism on FPGAs for Massively Parallel Applications}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {55--60}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618501}, doi = {10.1109/SOCC.2018.8618501}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/PurkayasthaST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RamezanpourA18, author = {Keyvan Ramezanpour and Paul Ampadu}, title = {Reconfigurable Clock Generator with Wide Frequency Range and Single-Cycle Phase and Frequency Switching}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {206--212}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618532}, doi = {10.1109/SOCC.2018.8618532}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/RamezanpourA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RezaZB18, author = {Md Farhadur Reza and Dan Zhao and Magdy A. Bayoumi}, title = {Power- Thermal Aware Balanced Task-Resource Co-Allocation in Heterogeneous Many {CPU-GPU} Cores NoC in Dark Silicon Era}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {260--265}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618557}, doi = {10.1109/SOCC.2018.8618557}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/RezaZB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RoelkeS18, author = {Alec Roelke and Mircea R. Stan}, title = {Co-Optimizing CPUs and Accelerators in Constrained Systems}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {254--259}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618516}, doi = {10.1109/SOCC.2018.8618516}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RoelkeS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShahrouziP18, author = {S. Navid Shahrouzi and Darshika G. Perera}, title = {Optimized Counter-Based Multi-Ported Memory Architectures for Next-Generation FPGAs}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {106--111}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618500}, doi = {10.1109/SOCC.2018.8618500}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ShahrouziP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SharmaBMBDN18, author = {Nishtha Sharma and C. Binek and Andrew Marshall and J. P. Bird and Peter A. Dowben and Dmitri E. Nikonov}, title = {Compact Modeling and Design of Magneto-Electric Transistor Devices and Circuits}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {146--151}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618494}, doi = {10.1109/SOCC.2018.8618494}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SharmaBMBDN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SiddiquiHS18, author = {Fahad Siddiqui and Matthew Hagan and Sakir Sezer}, title = {Pro-Active Policing and Policy Enforcement Architecture for Securing MPSoCs}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {140--145}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618531}, doi = {10.1109/SOCC.2018.8618531}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SiddiquiHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/UddinR18, author = {Mesbah Uddin and Garrett S. Rose}, title = {A Practical Sense Amplifier Design for Memristive Crossbar Circuits {(PUF)}}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {209--214}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618502}, doi = {10.1109/SOCC.2018.8618502}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/UddinR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangLQ18, author = {Qian Wang and Zhaojun Lu and Gang Qu}, title = {An Entropy Analysis Based Intrusion Detection System for Controller Area Network in Vehicles}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {90--95}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618564}, doi = {10.1109/SOCC.2018.8618564}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WangLQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XuHZZ18, author = {Jiawei Xu and Yuxiang Huan and Li{-}Rong Zheng and Zhuo Zou}, title = {A Low-Power Arithmetic Element for Multi-Base Logarithmic Computation on Deep Neural Networks}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {43--48}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618560}, doi = {10.1109/SOCC.2018.8618560}, timestamp = {Mon, 06 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XuHZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XuLY18, author = {Changqing Xu and Yi Liu and Yintang Yang}, title = {A Multi-Objective Architecture Optimization Method for Application-Specific Noc Design}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {130--135}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618530}, doi = {10.1109/SOCC.2018.8618530}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XuLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XuQC0LY18, author = {Han Xu and Fei Qiao and Zhe Chen and Qi Wei and Xinjun Liu and Huazhong Yang}, title = {Energy-Efficient {SRAM} Design with Data-Aware Dual-Modes {L0T} Storage Cell for {CNN} Processors}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {278--283}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618508}, doi = {10.1109/SOCC.2018.8618508}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XuQC0LY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YanS18, author = {Pengzhan Yan and Ramalingam Sridhar}, title = {Centralized Priority Management Allocation for Network-on-Chip Router}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {290--295}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618484}, doi = {10.1109/SOCC.2018.8618484}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YanS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YuW18, author = {Weize Yu and Yiming Wen}, title = {Leakage Power Analysis {(LPA)} Attack in Breakdown Mode and Countermeasure}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {102--105}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618551}, doi = {10.1109/SOCC.2018.8618551}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YuW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhaoWJYSM18, author = {Yiming Zhao and Xiaohang Wang and Yingtao Jiang and Mei Yang and Amit Kumar Singh and Terrence S. T. Mak}, title = {On a New Hardware Trojan Attack on Power Budgeting of Many Core Systems}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618565}, doi = {10.1109/SOCC.2018.8618565}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhaoWJYSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZientaraSN18, author = {Peter A. Zientara and Jack Sampson and Vijaykrishnan Narayanan}, title = {Noise Aware Power Adaptive Partitioned Deep Networks for Mobile Visual Assist Platforms}, booktitle = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, pages = {186--191}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SOCC.2018.8618580}, doi = {10.1109/SOCC.2018.8618580}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ZientaraSN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2018, title = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018, Arlington, VA, USA, September 4-7, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8600278/proceeding}, isbn = {978-1-5386-1491-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/0001BDM17, author = {Nishtha Sharma and Jonathan Bird and Peter Dowben and Andrew Marshall}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Magneto-electric magnetic tunnel junction based analog circuit options}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {179--183}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226032}, doi = {10.1109/SOCC.2017.8226032}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/0001BDM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AdimulamMS17, author = {Mahesh Kumar Adimulam and Krishna Kumar Movva and M. B. Srinivas}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A low power, programmable 12-bit two step SAR-flash {ADC} for signal processing applications}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {45--50}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226004}, doi = {10.1109/SOCC.2017.8226004}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/AdimulamMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AlshammariSL17, author = {Ahmed S. Alshammari and Mohamed I. Sobhy and Peter Lee}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Secure digital communication based on Lorenz stream cipher}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {23--28}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225999}, doi = {10.1109/SOCC.2017.8225999}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AlshammariSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BaiZB17, author = {Mingmin Bai and Dan Zhao and Magdy A. Bayoumi}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Router-level performance driven dynamic management in hierarchical networks-on-chip}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {310--315}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226067}, doi = {10.1109/SOCC.2017.8226067}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BaiZB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Becker17, author = {J{\"{u}}rgen Becker}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Opening remarks}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225989}, doi = {10.1109/SOCC.2017.8225989}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Becker17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Becker17a, author = {J{\"{u}}rgen Becker}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Opening remarks}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225988}, doi = {10.1109/SOCC.2017.8225988}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Becker17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Benhani0AB17, author = {El Mehdi Benhani and C{\'{e}}dric Marchand and Alain Aubert and Lilian Bossuet}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {On the security evaluation of the {ARM} TrustZone extension in a heterogeneous SoC}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {108--113}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226018}, doi = {10.1109/SOCC.2017.8226018}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Benhani0AB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BertozziR17, author = {Davide Bertozzi and S{\'{e}}bastien Rumley}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Propelling breakthrough embedded microprocessors by means of integrated photonics}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225981}, doi = {10.1109/SOCC.2017.8225981}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/BertozziR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BhanjaR17, author = {Mousumi Bhanja and Baidyanath Ray}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A graph based synthesis procedure for linear analog function}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {328--333}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226071}, doi = {10.1109/SOCC.2017.8226071}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BhanjaR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BondokESS17, author = {Atef H. Bondok and Awny M. El{-}Mohandes and Ahmed Shalaby and Mohammed Sharaf Sayed}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A low complexity {UWB} {PHY} baseband transceiver for {IEEE} 802.15.6 {WBAN}}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {262--267}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226054}, doi = {10.1109/SOCC.2017.8226054}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BondokESS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BongLY17, author = {Kyeongryeol Bong and Kyuho Jason Lee and Hoi{-}Jun Yoo}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A 590MDE/s semi-global matching processor with lossless data compression}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {18--22}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225998}, doi = {10.1109/SOCC.2017.8225998}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/BongLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BroydeNCLC17, author = {Lucie Broyde and Kent W. Nixon and Xiang Chen and Hai Li and Yiran Chen}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {MobiCore: An adaptive hybrid approach for power-efficient {CPU} management on Android devices}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {221--226}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226044}, doi = {10.1109/SOCC.2017.8226044}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BroydeNCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenSLHC17, author = {Chun{-}Wei Chen and Ming{-}Der Shieh and Juin{-}Ming Lu and Hsun{-}Lun Huang and Yao{-}Hua Chen}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Content-aware line-based power modeling methodology for image signal processor}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {346--350}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226075}, doi = {10.1109/SOCC.2017.8226075}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChenSLHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChoiY17, author = {Jeong H. Choi and Kwang Sub Yoon}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A {CMOS} third order {\(\Delta\)}{\(\Sigma\)} modulator with inverter-based integrators}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {143--148}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226025}, doi = {10.1109/SOCC.2017.8226025}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChoiY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/EaswaranCW17, author = {Sri Navaneeth Easwaran and Samir Camdzic and Robert Weigel}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Thermal simulation aided 98mJ integrated high side and low side drivers design for safety SOCs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {202--205}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226036}, doi = {10.1109/SOCC.2017.8226036}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/EaswaranCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FilhoC17, author = {Jose Eduardo Chiarelli Bueno Filho and Jiang Chau Wang}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Multifractal on-chip traffic generation under {TLM}}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {68--73}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226009}, doi = {10.1109/SOCC.2017.8226009}, timestamp = {Fri, 04 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/FilhoC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FlorezS17, author = {Daniel Florez and Johanna Sep{\'{u}}lveda}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {BlooXY: On a non-invasive blood monitor for the IoT context}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {29--34}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226000}, doi = {10.1109/SOCC.2017.8226000}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/FlorezS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FochiCRWM17, author = {Vinicius Fochi and Luciano L. Caimi and Marcelo Ruaro and Eduardo W{\"{a}}chter and Fernando Gehm Moraes}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {System management recovery protocol for MPSoCs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {367--374}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226080}, doi = {10.1109/SOCC.2017.8226080}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FochiCRWM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Frisch17, author = {Albert Frisch}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{IBM} {Q} - Introduction into quantum computing with live demo}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225985}, doi = {10.1109/SOCC.2017.8225985}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Frisch17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FujimoriW17, author = {Takumi Fujimori and Minoru Watanabe}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Radiation tolerance demonstration of high-speed scrubbing on an optically reconfigurable gate array}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {91--95}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226014}, doi = {10.1109/SOCC.2017.8226014}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/FujimoriW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GaggaturB17, author = {Javed S. Gaggatur and Gaurab Banerjee}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A 13.5 bit 1.6 mW 3rd order {CT} {\(\Sigma\)}{\(\Delta\)} {ADC} for integrated capacitance sensor interface}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {40--44}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226003}, doi = {10.1109/SOCC.2017.8226003}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GaggaturB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Ganguly17, author = {Amlan Ganguly}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{W1B:} Application specific designs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225997}, doi = {10.1109/SOCC.2017.8225997}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Ganguly17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Garcia-RamirezC17, author = {Ronny Garc{\'{\i}}a{-}Ram{\'{\i}}rez and Alfonso Chacon{-}Rodriguez and Renato Rimolo{-}Donadio}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A 0.13 {CMOS} integrated circuit for electrical impedance spectroscopy from 1 kHz to 10 GHz}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {126--131}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226022}, doi = {10.1109/SOCC.2017.8226022}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Garcia-RamirezC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GhoshMS17, author = {Subhadeep Ghosh and Scott Martin and Shane Stelmach}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Reliability for IoT and automotive markets}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225984}, doi = {10.1109/SOCC.2017.8225984}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GhoshMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GinawiGX17, author = {Ahmed Y. Ginawi and Robert Gauthier and Tian Xia}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Investigation of diode triggered silicon control rectifier turn-on time during {ESD} events}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {175--178}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226031}, doi = {10.1109/SOCC.2017.8226031}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GinawiGX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HabibzadehHSS17, author = {Mohamadhadi Habibzadeh and Moeen Hassanalieragh and Tolga Soyata and Gaurav Sharma}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Supercapacitor-based embedded hybrid solar/wind harvesting system architectures}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {215--220}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226043}, doi = {10.1109/SOCC.2017.8226043}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HabibzadehHSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HarbaumSDTBHB17, author = {Tanja Harbaum and Christoph Schade and Marvin Damschen and Carsten Tradowsky and Lars Bauer and J{\"{o}}rg Henkel and J{\"{u}}rgen Becker}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Auto-SI: An adaptive reconfigurable processor with run-time loop detection and acceleration}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {153--158}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226027}, doi = {10.1109/SOCC.2017.8226027}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HarbaumSDTBHB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Hausner17, author = {Josef Hausner}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {The path to global connectivity - Wireless communication enters the next generation}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226040}, doi = {10.1109/SOCC.2017.8226040}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Hausner17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Henkel17, author = {J{\"{o}}rg Henkel}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {The triangle of power density, circuit degradation and reliability}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226039}, doi = {10.1109/SOCC.2017.8226039}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Henkel17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Henkel17a, author = {J{\"{o}}rg Henkel}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {The triangle of power density, circuit degradation and reliability}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226038}, doi = {10.1109/SOCC.2017.8226038}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Henkel17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HiersHKF17, author = {Todd Hiers and Chunhua Hu and Brian Karguth and Chuck Fuoco}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Virtual white board: Leveraging investments in interface based design and executable specification}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {206--210}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226037}, doi = {10.1109/SOCC.2017.8226037}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HiersHKF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangNY17, author = {Hantao Huang and Leibin Ni and Hao Yu}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{LTNN:} An energy-efficient machine learning accelerator on 3D {CMOS-RRAM} for layer-wise tensorized neural network}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {280--285}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226058}, doi = {10.1109/SOCC.2017.8226058}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangNY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ImagawaHO17, author = {Takashi Imagawa and Koki Honda and Hiroyuki Ochi}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Placement algorithm for mixed-grained reconfigurable architecture with dedicated carry chain}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {80--85}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226012}, doi = {10.1109/SOCC.2017.8226012}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ImagawaHO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JacobWHHWPSF17, author = {Nisha Jacob and Jakob Wittmann and Johann Heyszl and Robert Hesselbarth and Florian Wilde and Michael Pehl and Georg Sigl and Kai Fischer}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Securing {FPGA} SoC configurations independent of their manufacturers}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {114--119}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226019}, doi = {10.1109/SOCC.2017.8226019}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JacobWHHWPSF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadeedRE17, author = {Thawra Kadeed and Eberle A. Rambo and Rolf Ernst}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Power and area evaluation of a fault-tolerant network-on-chip}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {190--195}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226034}, doi = {10.1109/SOCC.2017.8226034}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KadeedRE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadiyalaPL17, author = {Sai Praveen Kadiyala and Vikram Kumar Pudi and Siew{-}Kei Lam}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Approximate compressed sensing for hardware-efficient image compression}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {340--345}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226074}, doi = {10.1109/SOCC.2017.8226074}, timestamp = {Fri, 04 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KadiyalaPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Khamis17, author = {Mostafa Khamis}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{F1A:} Networks on chip}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226063}, doi = {10.1109/SOCC.2017.8226063}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Khamis17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimGLBA17, author = {Jin Hee Kim and Brett Grady and Ruolong Lian and John Brothers and Jason Helge Anderson}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {FPGA-based {CNN} inference accelerator synthesized from multi-threaded {C} software}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {268--273}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226056}, doi = {10.1109/SOCC.2017.8226056}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KimGLBA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimPYK17, author = {Hyunjong Kim and Yujin Park and Han Yang and Suhwan Kim}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A constant bandwidth switched-capacitor programmable-gain amplifier utilizing adaptive miller compensation technique}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {249--252}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226051}, doi = {10.1109/SOCC.2017.8226051}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimPYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/King17, author = {Chung{-}Ta King}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{F2B:} On-chip fabrics}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226078}, doi = {10.1109/SOCC.2017.8226078}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/King17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KoikeIOO17, author = {Ryosuke Koike and Takashi Imagawa and Roberto Yusi Omaki and Hiroyuki Ochi}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Selectable grained reconfigurable architecture {(SGRA)} and its design automation}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {196--201}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226035}, doi = {10.1109/SOCC.2017.8226035}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KoikeIOO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KumarFM17, author = {Praveen Kumar and Alexander Fell and Sachin Mathur}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Automated, inter-macro channel space adjustment and optimization for faster design closure}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {74--79}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226010}, doi = {10.1109/SOCC.2017.8226010}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KumarFM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LanM17, author = {Yun Long Lan and V. Muthukumar}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Efficient virtual channel allocator for NoC router micro-architecture}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {169--174}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226030}, doi = {10.1109/SOCC.2017.8226030}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LanM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LaurenciuC17, author = {Nicoleta Cucu Laurenciu and Sorin Dan Cotofana}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Haar-based interconnect coding for energy effective medium/long range data transport}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {375--380}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226081}, doi = {10.1109/SOCC.2017.8226081}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LaurenciuC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeNZWB17, author = {Tung Thanh Le and Rui Ning and Dan Zhao and Hongyi Wu and Magdy A. Bayoumi}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Optimizing the heterogeneous network on-chip design in manycore architectures}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {184--189}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226033}, doi = {10.1109/SOCC.2017.8226033}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeNZWB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Leyrer17, author = {Thomas Leyrer}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{T1A:} Time sensitive networks for industry 4.0}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225979}, doi = {10.1109/SOCC.2017.8225979}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Leyrer17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Leyrer17a, author = {Thomas Leyrer}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Time sensitive networks for industry 4.0}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225980}, doi = {10.1109/SOCC.2017.8225980}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Leyrer17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Li17, author = {Helen Li}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Technical program overview}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225990}, doi = {10.1109/SOCC.2017.8225990}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Li17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Li17a, author = {Bing Li}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{W3B:} Special session: Secure multi-processors systems-on-chip for critical applications}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226016}, doi = {10.1109/SOCC.2017.8226016}, timestamp = {Wed, 10 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Li17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Li17b, author = {Hai Helen Li}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{W1A:} Memories}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225993}, doi = {10.1109/SOCC.2017.8225993}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Li17b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinZL17, author = {Haipeng Lin and Amir Zjajo and Rene van Leuken}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Digital spiking neuron cells for real-time reconfigurable learning networks}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {163--168}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226029}, doi = {10.1109/SOCC.2017.8226029}, timestamp = {Tue, 13 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiuDYSS17, author = {Jinglan Liu and Yukun Ding and Jianlei Yang and Ulf Schlichtmann and Yiyu Shi}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Generative adversarial network based scalable on-chip noise sensor placement}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {239--242}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226048}, doi = {10.1109/SOCC.2017.8226048}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LiuDYSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiuJLMZZ17, author = {Lizheng Liu and Yi Jin and Yi Liu and Ning Ma and Zhuo Zou and Lirong Zheng}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Designing bio-inspired autonomous error-tolerant massively parallel computing architectures}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {274--279}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226057}, doi = {10.1109/SOCC.2017.8226057}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LiuJLMZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Lorenzen17, author = {Thorsten Lorenzen}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{T2B:} Machine learning and parallel architectures}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226055}, doi = {10.1109/SOCC.2017.8226055}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Lorenzen17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MarshallS17, author = {Andrew Marshall and Nishtha Sharma}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {The importance of benchmarking for charge-based and beyond {CMOS} devices}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225987}, doi = {10.1109/SOCC.2017.8225987}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MarshallS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Martino17, author = {Ron Martino}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Wednesday keynote {II:} Advanced technology for automotive cockpits, industrial human-machine-interface and IoT systems - Optimization of technology - Architecture - Design}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225992}, doi = {10.1109/SOCC.2017.8225992}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Martino17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ModyMJRJL17, author = {Mihir N. Mody and Manu Mathew and Shyam Jagannathan and Arthur Redfern and Jason Jones and Thorsten Lorenzen}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{CNN} inference: {VLSI} architecture for convolution layer for 1.2 {TOPS}}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {158--162}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226028}, doi = {10.1109/SOCC.2017.8226028}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ModyMJRJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MuhammadEEH17, author = {Sayed Taha Muhammad and Ali A. El{-}Moursy and Magdy A. El{-}Moursy and Hesham F. A. Hamed}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {System-level simulator for process variation influenced synchronous and asynchronous NoCs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {298--303}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226065}, doi = {10.1109/SOCC.2017.8226065}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MuhammadEEH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Nannarelli17, author = {Alberto Nannarelli}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A multi-format floating-point multiplier for power-efficient operations}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {351--356}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226076}, doi = {10.1109/SOCC.2017.8226076}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Nannarelli17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NannarelliRCNBF17, author = {Alberto Nannarelli and Marco Re and Gian Carlo Cardarilli and Luca Di Nunzio and M. Spaziani Brunella and Rocco Fazzolari and F. Carbonari}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Robust throughput boosting for low latency dynamic partial reconfiguration}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {86--90}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226013}, doi = {10.1109/SOCC.2017.8226013}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NannarelliRCNBF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Nautiyal17, author = {Vivek Nautiyal}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{W2B:} Design methodologies for SoCs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226006}, doi = {10.1109/SOCC.2017.8226006}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Nautiyal17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NautiyalSGDK17, author = {Vivek Nautiyal and Gaurav Singla and Lalit Gupta and Sagar Dwivedi and Martin Kinkade}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {An ultra high density pseudo dual-port {SRAM} in 16nm {FINFET} process for graphics processors}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {12--17}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225996}, doi = {10.1109/SOCC.2017.8225996}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/NautiyalSGDK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ObaidullahK17, author = {Muhammad Obaidullah and Gul N. Khan}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Hybrid multi-swarm optimization based NoC synthesis}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {62--67}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226008}, doi = {10.1109/SOCC.2017.8226008}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ObaidullahK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/OuTTL17, author = {Wei{-}Lun Ou and Yu{-}Kai Tsai and Po{-}Yen Tseng and Liang{-}Hung Lu}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A 2.4-GHz dual-mode resizing power amplifier with a constant conductance output matching}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {258--261}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226053}, doi = {10.1109/SOCC.2017.8226053}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/OuTTL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PaganiBBMB17, author = {Marco Pagani and Alessio Balsini and Alessandro Biondi and Mauro Marinoni and Giorgio C. Buttazzo}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A Linux-based support for developing real-time applications on heterogeneous platforms with dynamic {FPGA} reconfiguration}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {96--101}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226015}, doi = {10.1109/SOCC.2017.8226015}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PaganiBBMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PlivaKSHE17, author = {Jan Pl{\'{\i}}va and Mahdi M. Khafaji and L{\'{a}}szl{\'{o}} Szil{\'{a}}gyi and Ronny Henker and Frank Ellinger}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Opto-electrical analog front-end with rapid power-on and 0.82 pJ/bit for 28 Gb/s in 14 nm FinFET {CMOS}}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {253--257}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226052}, doi = {10.1109/SOCC.2017.8226052}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PlivaKSHE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PolianRS17, author = {Ilia Polian and Francesco Regazzoni and Johanna Sep{\'{u}}lveda}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Introduction to hardware-oriented security for MPSoCs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {102--107}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226017}, doi = {10.1109/SOCC.2017.8226017}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PolianRS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PreuthenD17, author = {Herbert Preuthen and Jurgen Dirks}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{FDSOI} design experience and recommendations}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225983}, doi = {10.1109/SOCC.2017.8225983}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/PreuthenD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Pronath17, author = {Michael Pronath}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Low power circuit optimization for IoT}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225982}, doi = {10.1109/SOCC.2017.8225982}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Pronath17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RaczA17, author = {Gy{\"{o}}rgy R{\'{a}}cz and P{\'{e}}ter Arat{\'{o}}}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A decomposition-based system level synthesis method for heterogeneous multiprocessor architectures}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {381--386}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226082}, doi = {10.1109/SOCC.2017.8226082}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RaczA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RawatBF17, author = {Harsh Rawat and K. Bharath and Alexander Fell}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Asynchronous 1R-1W dual-port {SRAM} by using single-port {SRAM} in 28nm {UTBB-FDSOI} technology}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225994}, doi = {10.1109/SOCC.2017.8225994}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RawatBF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SaidHKK17, author = {Mostafa Said and Hossam Hassan and HyungWon Kim and Mostafa Khamis}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A novel power reduction technique using wire multiplexing}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {149--152}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226026}, doi = {10.1109/SOCC.2017.8226026}, timestamp = {Thu, 05 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SaidHKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SchlachterFPE17, author = {Jeremy Schlachter and Mike Fagan and Krishna V. Palem and Christian C. Enz}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A study on the energy-precision tradeoffs on commercially available processors and SoCs with an {EPI} based energy model}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {334--339}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226072}, doi = {10.1109/SOCC.2017.8226072}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SchlachterFPE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Sepulveda17, author = {Johanna Sep{\'{u}}lveda}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{T1B:} Special session: Data analytics driven design for yield, manufacturability and reliability: Where machine learning meets design automation}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226045}, doi = {10.1109/SOCC.2017.8226045}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Sepulveda17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SepulvedaZM17, author = {Johanna Sep{\'{u}}lveda and Andreas Zankl and Oliver Mischke}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Cache attacks and countermeasures for NTRUEncrypt on MPSoCs: Post-quantum resistance for the IoT}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {120--125}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226020}, doi = {10.1109/SOCC.2017.8226020}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SepulvedaZM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShamimAMG17, author = {Md Shahriar Shamim and M. Meraj Ahmed and Naseef Mansoor and Amlan Ganguly}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Energy-efficient wireless interconnection framework for multichip systems with in-package memory stacks}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {357--362}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226077}, doi = {10.1109/SOCC.2017.8226077}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ShamimAMG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShinKY17, author = {Dongjoo Shin and Youchang Kim and Hoi{-}Jun Yoo}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A 1.41mW on-chip/off-chip hybrid transposition table for low-power robust deep tree search in artificial intelligence SoCs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {138--142}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226024}, doi = {10.1109/SOCC.2017.8226024}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ShinKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Soyata17, author = {Tolga Soyata}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{W3A:} Design of reconfigurable and multiprocessor systems}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226011}, doi = {10.1109/SOCC.2017.8226011}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Soyata17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Srinivas17, author = {M. B. Srinivas}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{T2A:} Analog and {RF} circuits}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226050}, doi = {10.1109/SOCC.2017.8226050}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Srinivas17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SrivatsaRWH17, author = {Akshay Srivatsa and Sven Rheindt and Thomas Wild and Andreas Herkersdorf}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Region based cache coherence for tiled MPSoCs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {286--291}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226059}, doi = {10.1109/SOCC.2017.8226059}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SrivatsaRWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Stan17, author = {Mircea Stan}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Panel discussion: Autonomy, technology, safety - Where will automotive electronics go in the next decade?}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226060}, doi = {10.1109/SOCC.2017.8226060}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Stan17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SuNWSLO17, author = {Hong{-}Yan Su and Shinichi Nishizawa and Yan{-}Shiun Wu and Jun Shiomi and Yih{-}Lang Li and Hidetoshi Onodera}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Pin accessibility evaluating model for improving routability of {VLSI} designs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {56--61}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226007}, doi = {10.1109/SOCC.2017.8226007}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SuNWSLO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SubramaniamSDSI17, author = {Suryanarayanan Subramaniam and Tanmay Shinde and Padmanabh Deshmukh and Md Shahriar Shamim and Mark A. Indovina and Amlan Ganguly}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A 0.36pJ/bit, 17Gbps {OOK} receiver in 45-nm {CMOS} for inter and intra-chip wireless interconnects}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {132--137}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226023}, doi = {10.1109/SOCC.2017.8226023}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SubramaniamSDSI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SutisnaLNKO17, author = {Nana Sutisna and Leonardo Lanante and Yuhei Nagao and Masayuki Kurosaki and Hiroshi Ochi}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A unified {HW/SW} system-level simulation framework for next generation wireless system}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {322--327}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226070}, doi = {10.1109/SOCC.2017.8226070}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SutisnaLNKO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Teepe17, author = {Gerd Teepe}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Wednesday keynote {I:} {FDSOI} and {FINFET} for SoC developments}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225991}, doi = {10.1109/SOCC.2017.8225991}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Teepe17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TobuschatE17, author = {Sebastian Tobuschat and Rolf Ernst}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Providing throughput guarantees in mixed-criticality networks-on-chip}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {292--297}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226064}, doi = {10.1109/SOCC.2017.8226064}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/TobuschatE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Wachter17, author = {Eduardo W{\"{a}}chter}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{F2A:} Low power design}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226073}, doi = {10.1109/SOCC.2017.8226073}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Wachter17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangC0G17, author = {Zicong Wang and Xiaowen Chen and Chen Li and Yang Guo}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Fairness-oriented switch allocation for networks-on-chip}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {304--309}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226066}, doi = {10.1109/SOCC.2017.8226066}, timestamp = {Thu, 19 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WangC0G17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Wehn17, author = {Norbert Wehn}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {The memory challenge in computing systems: {A} survey}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226062}, doi = {10.1109/SOCC.2017.8226062}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Wehn17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Wehn17a, author = {Norbert Wehn}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {The memory challenge in computing systems: {A} survey}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226061}, doi = {10.1109/SOCC.2017.8226061}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Wehn17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WeiKDPWHL17, author = {Hao{-}Lun Wei and Chung{-}Ta King and Bhaskar Das and Mei{-}Chiao Peng and Chen{-}Chieh Wang and Hsun{-}Lun Huang and Juin{-}Ming Lu}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Application specific component-service-aware trace generation on Android-QEMU}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {316--321}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226069}, doi = {10.1109/SOCC.2017.8226069}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WeiKDPWHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WilleL17, author = {Robert Wille and Bing Li}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Design automation for Labs-on-Chip: {A} new "playground" for SoC designers}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225986}, doi = {10.1109/SOCC.2017.8225986}, timestamp = {Wed, 10 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WilleL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YanAWL17, author = {Zhengnan Yan and Mohamed Atef and Guoxing Wang and Yong Lian}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Low-noise high input impedance 8-channels chopper-stabilized {EEG} acquisition system}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {51--55}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226005}, doi = {10.1109/SOCC.2017.8226005}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YanAWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Yang17, author = {Ching{-}Yuan Yang}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{W2A:} Analog-to-digital converters and low-noise amplifiers}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226001}, doi = {10.1109/SOCC.2017.8226001}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Yang17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangHW17, author = {Ching{-}Yuan Yang and Jen{-}Yan Huang and Jun{-}Hong Weng}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Realization of buck converter with adaptive variable-frequency control}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {211--214}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226042}, doi = {10.1109/SOCC.2017.8226042}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YangHW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangJK17, author = {Youngtae Yang and Jaehoon Jun and Suhwan Kim}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A low-pass continuous-time delta-sigma interface circuit for wideband {MEMS} gyroscope readout {ASIC}}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {35--39}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226002}, doi = {10.1109/SOCC.2017.8226002}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YangJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangLYY17, author = {Haoyu Yang and Yajun Lin and Bei Yu and Evangeline F. Y. Young}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Lithography hotspot detection: From shallow to deep learning}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {233--238}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226047}, doi = {10.1109/SOCC.2017.8226047}, timestamp = {Mon, 01 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangLYY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YigitZLSS17, author = {Baris Yigit and Grace Li Zhang and Bing Li and Yiyu Shi and Ulf Schlichtmann}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Application of machine learning methods in post-silicon yield improvement}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {243--248}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226049}, doi = {10.1109/SOCC.2017.8226049}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YigitZLSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Yu17, author = {Bei Yu}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {{F1B:} Algorithms, models and simulation for systems}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226068}, doi = {10.1109/SOCC.2017.8226068}, timestamp = {Wed, 01 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/Yu17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhangK17, author = {Renyuan Zhang and Mineo Kaneko}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A random access analog memory with master-slave structure for implementing hexadecimal logic}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {7--11}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225995}, doi = {10.1109/SOCC.2017.8225995}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ZhangK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhuoYG17, author = {Cheng Zhuo and Bei Yu and Di Gao}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {Accelerating chip design with machine learning: From pre-silicon to post-silicon}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {227--232}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226046}, doi = {10.1109/SOCC.2017.8226046}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhuoYG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2017, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8170502/proceeding}, isbn = {978-1-5386-4034-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AhmedMC16, author = {Mohammad A. Ahmed and Sucheta Mohapatra and Malgorzata Chrzanowska{-}Jeske}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Performance optimization and power efficiency in 3D {IC} with buffer insertion scheme}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {229--234}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905473}, doi = {10.1109/SOCC.2016.7905473}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/AhmedMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AlmasiHSM16, author = {Darya Almasi and Houman Homayoun and Hassan Salmani and Hamid Mahmoodi}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Comparative analysis of hybrid Magnetic Tunnel Junction and {CMOS} logic circuits}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {259--264}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905481}, doi = {10.1109/SOCC.2016.7905481}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AlmasiHSM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AshenafiEC16, author = {Emeshaw Ashenafi and Azzedin D. Es{-}Sakhi and Masud H. Chowdhury}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Low voltage Flash memory design based on floating gate {SOFFET}}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {129--132}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905452}, doi = {10.1109/SOCC.2016.7905452}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AshenafiEC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BaiJASYW16, author = {Zhidong Bai and Dallas Johnson and Ali Azam and Anirban Saha and Wen Yuan and Jeffrey S. Walling}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A 12 bit split-array switched capacitor power amplifier in 130nm {CMOS}}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {24--28}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905426}, doi = {10.1109/SOCC.2016.7905426}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BaiJASYW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BaiZW16, author = {Mingmin Bai and Dan Zhao and Hongyi Wu}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {CATBR-Congestion Aware Traffic Bridging Routing among hierarchical networks-on-chip}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {52--57}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905433}, doi = {10.1109/SOCC.2016.7905433}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BaiZW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BarzegarjalaliY16, author = {Saeid Barzegarjalali and Kun Yue and Alice C. Parker}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Noisy neuromorphic circuit modeling Obsessive Compulsive Disorder}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {327--332}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905502}, doi = {10.1109/SOCC.2016.7905502}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BarzegarjalaliY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BatraKGHVG16, author = {Nidhi Batra and Shashwat Kaushik and Anil Kumar Gundu and Mohammad S. Hashmi and G. S. Visweswaran and Anuj Grover}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A method to estimate effectiveness of weak bit test: Comparison of weak pMOS and {WL} boost based test - 28nm {FDSOI} implementation}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {47--51}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905432}, doi = {10.1109/SOCC.2016.7905432}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/BatraKGHVG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/CaiRWSQYB16, author = {Ruizhe Cai and Ao Ren and Yanzhi Wang and Sucheta Soundarajan and Qinru Qiu and Bo Yuan and Paul Bogdan}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A low-computation-complexity, energy-efficient, and high-performance linear program solver using memristor crossbars}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {317--322}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905500}, doi = {10.1109/SOCC.2016.7905500}, timestamp = {Tue, 12 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/CaiRWSQYB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenB16, author = {Wen Chen and Jayanta Bhadra}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Striking a balance between SoC security and debug requirements}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {368--373}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905512}, doi = {10.1109/SOCC.2016.7905512}, timestamp = {Wed, 18 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenHWHC16, author = {Ming Chen and Po{-}Tsang Huang and Shang{-}Lin Wu and Wei Hwang and Ching{-}Te Chuang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Area-power-efficient 11-bit hybrid dual-Vdd {ADC} with self-calibration for neural sensing application}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {18--23}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905425}, doi = {10.1109/SOCC.2016.7905425}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenHWHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenLYLH16, author = {Sao{-}Jie Chen and Grace Liu and Hsin{-}Ping Yang and Cheng{-}Hao Luo and Wen{-}Mei W. Hwu}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Design of a power-efficient {ARM} processor with a timing-error detection and correction mechanism}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {217--222}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905471}, doi = {10.1109/SOCC.2016.7905471}, timestamp = {Mon, 27 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChenLYLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenNC16, author = {Xiang Chen and Kent W. Nixon and Yiran Chen}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Practical power consumption analysis with current smartphones}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {333--337}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905505}, doi = {10.1109/SOCC.2016.7905505}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenNC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenYLL16, author = {Sao{-}Jie Chen and Hsin{-}Ping Yang and Ding{-}Jyun Lin and Grace Liu}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Modeling and simulation of quantum-well infrared photodetectors}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {265--270}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905482}, doi = {10.1109/SOCC.2016.7905482}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenYLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Cheng16, author = {Shun{-}Wen Cheng}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Self-dual diamond-graph {CMOS} H-bridge logic family}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {300--305}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905496}, doi = {10.1109/SOCC.2016.7905496}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Cheng16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Chrzanowska-Jeske16, author = {Malgorzata Chrzanowska{-}Jeske and J{\"{u}}rgen Becker}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Tutorial 2A: 3D integration - challenges and advantages}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905409}, doi = {10.1109/SOCC.2016.7905409}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Chrzanowska-Jeske16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DekaN16, author = {Anupjyoti Deka and Mahalingam Nagarajan}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A Jitter Cancellation Circuit for High Speed {I/O} Interfaces}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {157--162}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905457}, doi = {10.1109/SOCC.2016.7905457}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DekaN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DengMLZ16, author = {Xiaoying Deng and Yanyan Mo and Xin Lin and Mingcheng Zhu}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Low-jitter all-digital phase-locked loop with novel {PFD} and high resolution {TDC} {\&} {DCO}}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {29--34}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905427}, doi = {10.1109/SOCC.2016.7905427}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DengMLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DeyF16, author = {Sumon Dey and Paul D. Franzon}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Design and {ASIC} acceleration of cortical algorithm for text recognition}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {114--119}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905447}, doi = {10.1109/SOCC.2016.7905447}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DeyF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DongGLRSWW16, author = {Yan Dong and Grady Giles and GuoLiang Li and Jeff Rearick and John Schulze and James Wingfield and Tim Wood}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Toward more efficient scan data bandwidth utilization on modern SOCs}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {64--68}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905436}, doi = {10.1109/SOCC.2016.7905436}, timestamp = {Sat, 03 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/DongGLRSWW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DuttaGJ16, author = {Raj Gautam Dutta and Xiaolong Guo and Yier Jin}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Quantifying trust in autonomous system under uncertainties}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {362--367}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905511}, doi = {10.1109/SOCC.2016.7905511}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DuttaGJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/El-MassryMM16, author = {Moez El{-}Massry and Moataz M. Medhat and Hassan Mostafa}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Novel ultra low voltage mobile compatible {RF} {MEMS} switch for reconfigurable microstrip antenna}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {286--289}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905488}, doi = {10.1109/SOCC.2016.7905488}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/El-MassryMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FaganSYLPSWE16, author = {Mike Fagan and Jeremy Schlachter and Kazutomo Yoshii and Sven Leyffer and Krishna V. Palem and Marc Snir and Stefan M. Wild and Christian C. Enz}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Overcoming the power wall by exploiting inexactness and emerging {COTS} architectural features: Trading precision for improving application quality}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {241--246}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905477}, doi = {10.1109/SOCC.2016.7905477}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FaganSYLPSWE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FilhoRC16, author = {Jose Eduardo Chiarelli Bueno Filho and Jorge Luis Gonzalez Reano and Jiang Chau Wang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Intra-chip traffic generation under autoregressive models based on time series obtained by {TLM} simulation}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {41--46}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905431}, doi = {10.1109/SOCC.2016.7905431}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FilhoRC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GriffithB16, author = {Danielle Griffith and Karan S. Bhatia}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Tutorial 1A: Design challenges for the Internet of Things}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905407}, doi = {10.1109/SOCC.2016.7905407}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GriffithB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuCHO16, author = {Chongyan Gu and Yijun Cui and Neil Hanley and M{\'{a}}ire O'Neill}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Novel lightweight {FF-APUF} design for {FPGA}}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {75--80}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905439}, doi = {10.1109/SOCC.2016.7905439}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuCHO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuptaVNG16, author = {Prakhar Raj Gupta and G. S. Visweswaran and Gaurav Narang and Anuj Grover}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Heterogeneous memory assembly exploration using a floorplan and interconnect aware framework}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {290--295}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905494}, doi = {10.1109/SOCC.2016.7905494}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GuptaVNG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HarinarayanRPBS16, author = {Gautham S. Harinarayan and Manmohan Rana and Nitin Pant and Manish Bansal and Sarthak Sharma and Nishant Kaundal}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Automated Full Chip {SPICE} simulations with self-checking assertions for last mile verification {\&} first pass Silicon of mixed signal SoCs}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {183--188}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905462}, doi = {10.1109/SOCC.2016.7905462}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HarinarayanRPBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HassanIIM16, author = {Ali H. Hassan and M. Wagih Ismail and Yehea Ismail and Hassan Mostafa}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A 200 MS/s 8-bit Time-based Analog-to-Digital Converter with inherit sample and hold}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {120--124}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905450}, doi = {10.1109/SOCC.2016.7905450}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HassanIIM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HassanMIG16, author = {Ali H. Hassan and Hassan Mostafa and Tawfik Ismail and S. R. I. Gabran}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {An ultra-low power voltage-to-time converter {(VTC)} circuit for low power and low speed applications}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {178--182}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905461}, doi = {10.1109/SOCC.2016.7905461}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HassanMIG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HokimotoIO16, author = {Shu Hokimoto and Tohru Ishihara and Hidetoshi Onodera}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Minimum energy point tracking using combined dynamic voltage scaling and adaptive body biasing}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905420}, doi = {10.1109/SOCC.2016.7905420}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HokimotoIO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuanQYZZ16, author = {Yuxiang Huan and Yifan Qin and Yantian You and Li{-}Rong Zheng and Zhuo Zou}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A multiplication reduction technique with near-zero approximation for embedded learning in IoT devices}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {102--107}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905445}, doi = {10.1109/SOCC.2016.7905445}, timestamp = {Tue, 02 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuanQYZZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Huang16, author = {Yu Huang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {{EDT} dynamic bandwidth management {(DBM)} in SoC testing}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {58--63}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905435}, doi = {10.1109/SOCC.2016.7905435}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Huang16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HyeonYY16, author = {Seong Jae Hyeon and Kwang Sub Yoon and Soo Hun Yang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A low power fourth order {\(\Sigma\)}{\(\Delta\)} {CMOS} modulator with subthreshold amplifier}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {275--279}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905486}, doi = {10.1109/SOCC.2016.7905486}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HyeonYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/IchihashiZZLNJK16, author = {Motoi Ichihashi and Jia Zeng and Cole Zemke and Irene Lin and Greg Northrop and Ning Jin and Jongwook Kye}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Sensitivity analysis for SoC performance benchmark against interconnect parasitic resistance and capacitance beyond 10-nm FinFET technology}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {271--274}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905483}, doi = {10.1109/SOCC.2016.7905483}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/IchihashiZZLNJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ItoKTYT16, author = {Koki Ito and Kazushi Kawamura and Yutaka Tamiya and Masao Yanagisawa and Nozomu Togawa}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Rotator-based multiplexer network synthesis for field-data extractors}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {194--199}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905464}, doi = {10.1109/SOCC.2016.7905464}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ItoKTYT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiangSYS16, author = {Shixiong Jiang and Vijayalakshmi Saravanan and Pengzhan Yan and Ramalingam Sridhar}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A fully parallel content addressable memory design using multi-bank structure}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {338--343}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905506}, doi = {10.1109/SOCC.2016.7905506}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JiangSYS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KarSM16, author = {Bapi Kar and Susmita Sur{-}Kolay and Chittaranjan A. Mandal}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {An early global routing framework for uniform wire distribution in SoCs}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {139--144}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905454}, doi = {10.1109/SOCC.2016.7905454}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KarSM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimHPY16, author = {Youchang Kim and Injoon Hong and Seongwook Park and Hoi{-}Jun Yoo}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Low-power real-time intelligent SoCs for smart machines}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {247--252}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905478}, doi = {10.1109/SOCC.2016.7905478}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimHPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimKKKKKLCSPSS16, author = {Min{-}Su Kim and Chunghee Kim and Yong{-}geol Kim and Ah{-}Reum Kim and Jikyum Kim and Juhyun Kang and Daeseong Lee and Changjun Choi and Ilsuk Suh and Jungyul Pyo and Youngmin Shin and Jae Cheol Son}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Single-ended {D} flip-flop with implicit scan mux for high performance mobile {AP}}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {91--95}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905442}, doi = {10.1109/SOCC.2016.7905442}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimKKKKKLCSPSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimYSLK16, author = {Taehoon Kim and Han Yang and Sangmin Shin and Hyongmin Lee and Suhwan Kim}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A {CMOS} analog front-end for driving a high-speed {SAR} {ADC} in low-power ultrasound imaging systems}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {163--168}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905458}, doi = {10.1109/SOCC.2016.7905458}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimYSLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeeZ16, author = {Edward A. Lee and Danella Zhao}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Plenary {I:} The Internet of important things}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905418}, doi = {10.1109/SOCC.2016.7905418}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeeZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeiLH16, author = {Yung{-}Chun Lei and Tung{-}Hsuan Lin and Juinn{-}Dar Huang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Multi-objective sample preparation algorithm for microfluidic biochips supporting various mixing models}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {96--101}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905444}, doi = {10.1109/SOCC.2016.7905444}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeiLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeitnerWLW16, author = {Stefan Leitner and Paul West and Chao Lu and Haibo Wang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Digital {LDO} modeling for early design space exploration}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {7--12}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905421}, doi = {10.1109/SOCC.2016.7905421}, timestamp = {Thu, 23 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeitnerWLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeitnerWT16, author = {Stefan Leitner and Haibo Wang and Spyros Tragoudas}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Compressive image sensor technique with sparse measurement matrix}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {223--228}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905472}, doi = {10.1109/SOCC.2016.7905472}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeitnerWT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiF16, author = {Weifu Li and Paul D. Franzon}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Hardware implementation of Hierarchical Temporal Memory algorithm}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {133--138}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905453}, doi = {10.1109/SOCC.2016.7905453}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LianM16, author = {Yong Lian and Andrew Marshall}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Tutorial 3B: The design challenges for self-powered wireless wearable {ECG} sensor SoC}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905412}, doi = {10.1109/SOCC.2016.7905412}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LianM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MaabiSRDZ16, author = {Somayeh Maabi and Farshad Safaei and Amin Rezaei and Masoud Daneshtalab and Dan Zhao}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {{ERFAN:} Efficient reconfigurable fault-tolerant deflection routing algorithm for 3-D Network-on-Chip}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {306--311}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905497}, doi = {10.1109/SOCC.2016.7905497}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MaabiSRDZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ModyNS16, author = {Mihir N. Mody and Niraj Nandan and Hetul Sanghvi}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Efficient {VLSI} architecture for {SAO} decoding in 4K Ultra-HD {HEVC} video codec}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {81--84}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905440}, doi = {10.1109/SOCC.2016.7905440}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ModyNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MoonNPLSHP16, author = {Yongshik Moon and Soonhyun Noh and Daedong Park and Chen Luo and Anshumali Shrivastava and Seongsoo Hong and Krishna V. Palem}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {CaPSuLe: {A} camera-based positioning system using learning}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {235--240}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905476}, doi = {10.1109/SOCC.2016.7905476}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MoonNPLSHP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NagabhushanaW16, author = {Abhilash Karnatakam Nagabhushana and Haibo Wang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A comparator timing assisted {SAR} {ADC} technique with reduced conversion cycles}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {200--205}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905465}, doi = {10.1109/SOCC.2016.7905465}, timestamp = {Thu, 09 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NagabhushanaW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NeriKBMS16, author = {Filippo Neri and Craig Keogh and Thomas Brauner and Eric De Mey and Christian Schippel}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {High-voltage low-power startup backup battery switch using low voltage devices in 28nm {CMOS}}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {211--216}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905467}, doi = {10.1109/SOCC.2016.7905467}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NeriKBMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PandeB16, author = {Partha Pande and J{\"{u}}rgen Becker}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Tutorial 3A: Bringing cores closer together: The wireless revolution in on-chip communication}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905411}, doi = {10.1109/SOCC.2016.7905411}, timestamp = {Mon, 26 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PandeB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PerezYSS16, author = {Keissy Guerra Perez and Xin Yang and Sandra Scott{-}Hayward and Sakir Sezer}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Feature study on a programmable network traffic classifier}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {108--113}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905446}, doi = {10.1109/SOCC.2016.7905446}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PerezYSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RayB16, author = {Sandip Ray and Jayanta Bhadra}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Security challenges in mobile and IoT systems}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {356--361}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905510}, doi = {10.1109/SOCC.2016.7905510}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RayB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RenYW16, author = {Ao Ren and Bo Yuan and Yanzhi Wang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Design of high-speed low-power polar {BP} decoder using emerging technologies}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {312--316}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905499}, doi = {10.1109/SOCC.2016.7905499}, timestamp = {Tue, 12 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RenYW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RezaeiDZM16, author = {Amin Rezaei and Masoud Daneshtalab and Dan Zhao and Mehdi Modarressi}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {SAMi: Self-aware migration approach for congestion reduction in NoC-based MCSoC}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {145--150}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905455}, doi = {10.1109/SOCC.2016.7905455}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RezaeiDZM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RudellZ16, author = {Jacques Christophe Rudell and Danella Zhao}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Tutorial 2B: {CMOS} integrated system on a chip for neural interface applications}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905410}, doi = {10.1109/SOCC.2016.7905410}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RudellZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SahooSB16, author = {Bhibhudatta Sahoo and Vishal Saxena and Karan S. Bhatia}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Tutorial 4B: {ADC} design - from system architecture to transistor level design}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905414}, doi = {10.1109/SOCC.2016.7905414}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SahooSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SatheM16, author = {Visvesh Sathe and Andrew Marshall}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Tutorial 4A: Supply voltage noise and mitigation for real world SoCs}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905413}, doi = {10.1109/SOCC.2016.7905413}, timestamp = {Thu, 26 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SatheM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShamannaC16, author = {Gururaj Shamanna and Sao{-}Jie Chen}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Tutorial 1B: Transistors: Past, present and future}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905408}, doi = {10.1109/SOCC.2016.7905408}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShamannaC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SharmaH16, author = {Pulkit Sharma and Mohammad S. Hashmi}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A novel design of a Dual Functionality Read-Write driver for {SRAM}}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {280--285}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905487}, doi = {10.1109/SOCC.2016.7905487}, timestamp = {Thu, 08 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SharmaH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShiC16, author = {Weiwei Shi and Chiu{-}sing Choy}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A 0.4V 320Mb/s 28.7{\(\mathrm{\mu}\)}W 1024-bit configurable multiplier for subthreshold {SOC} encryption}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {125--128}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905451}, doi = {10.1109/SOCC.2016.7905451}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShiC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShyuSLYWC16, author = {Ting{-}Yu Shyu and Bo{-}Yu Su and Tay{-}Jyi Lin and Chingwei Yeh and Jinn{-}Shyan Wang and Tien{-}Fu Chen}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Variable-length {VLIW} encoding for code size reduction in embedded processors}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {296--299}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905495}, doi = {10.1109/SOCC.2016.7905495}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShyuSLYWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SolimanME16, author = {Shady Mohamed Soliman and Baher Magdy and Mohamed A. Abd El{-}Ghany}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Efficient implementation of the {AES} algorithm for security applications}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {206--210}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905466}, doi = {10.1109/SOCC.2016.7905466}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SolimanME16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TingWCLCW16, author = {Yi{-}Hsuan Ting and Chih{-}Yang Wang and Yu{-}Sian Chang and Tay{-}Jyi Lin and Shih{-}Chieh Chang and Jinn{-}Shyan Wang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Overoptimistic voltage scaling in pre-error {AVS} systems and learning-based alleviation}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {350--355}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905508}, doi = {10.1109/SOCC.2016.7905508}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TingWCLCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/UzzalZSE16, author = {Mohammad M. Uzzal and Payman Zarkesh{-}Ha and Paul Szauter and Jeremy S. Edwards}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Behavioral modeling of drain current of an avalanche {ISFET} near breakdown}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {169--173}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905459}, doi = {10.1109/SOCC.2016.7905459}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/UzzalZSE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/UzzalZSE16a, author = {Mohammad M. Uzzal and Payman Zarkesh{-}Ha and Paul Szauter and Jeremy S. Edwards}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Analytical noise model for avalanche {ISFET} sensor suitable for Next Generation Sequencing}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {189--193}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905463}, doi = {10.1109/SOCC.2016.7905463}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/UzzalZSE16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangCNWP16, author = {Luhao Wang and Tiansong Cui and Shahin Nazarian and Yanzhi Wang and Massoud Pedram}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Standard cell library based layout characterization and power analysis for 10nm gate-all-around {(GAA)} transistors}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {253--258}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905480}, doi = {10.1109/SOCC.2016.7905480}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangCNWP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangZTZYHZ16, author = {Hai Wang and Ming Zhang and Sheldon X.{-}D. Tan and Chi Zhang and Yuan Yuan and Keheng Huang and Zhenghong Zhang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {New power budgeting and thermal management scheme for multi-core systems in dark silicon}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {344--349}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905507}, doi = {10.1109/SOCC.2016.7905507}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WangZTZYHZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WingZ16, author = {Jeannette M. Wing and Danella Zhao}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Opening keynote: Crashing drones and hijacked cameras: Cybertrust meets cyberphysical}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905417}, doi = {10.1109/SOCC.2016.7905417}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WingZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WonS16, author = {Hyosig Won and Katsuhiro Shimazu}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Statistical design attribute identification for FinFET outlier and Silicon-to-SPICE gap}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {35--40}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905430}, doi = {10.1109/SOCC.2016.7905430}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WonS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Yuan16, author = {Bo Yuan}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Efficient hardware architecture of softmax layer in deep neural network}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {323--326}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905501}, doi = {10.1109/SOCC.2016.7905501}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Yuan16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZadeganNL16, author = {Farrokh Ghani Zadegan and Dimitar Nikolov and Erik Larsson}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {In-field system-health monitoring based on {IEEE} 1687}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {69--74}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905437}, doi = {10.1109/SOCC.2016.7905437}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ZadeganNL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhouTS16, author = {Dadian Zhou and Claudio Talarico and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {A digital-circuit-based evolutionary-computation algorithm for time-interleaved {ADC} background calibration}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {13--17}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905422}, doi = {10.1109/SOCC.2016.7905422}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ZhouTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhuSW16, author = {Kehan Zhu and Vishal Saxena and Xinyu Wu}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Modeling and optimization of the bond-wire interface in a Hybrid CMOS-photonic traveling-wave {MZM} transmitter}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {151--156}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905456}, doi = {10.1109/SOCC.2016.7905456}, timestamp = {Fri, 29 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhuSW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2016, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7897353/proceeding}, isbn = {978-1-5090-1367-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AmmarGSTTK15, author = {Ahmed M. Ammar and Rafik Guindi and Ethan Shih and Carlos Tokunaga and Jim Tschanz and Muhammad M. Khellah}, title = {A fully integrated charge sharing active decap scheme for power supply noise suppression}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {374--379}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406986}, doi = {10.1109/SOCC.2015.7406986}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AmmarGSTTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BaoJQZ15, author = {Na Bao and Zhe Jiang and Zhiheng Qi and Wei Zhang}, title = {High-throughput {MQ} encoder for pass-parallel {EBCOT} in {JPEG2000}}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {410--414}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406995}, doi = {10.1109/SOCC.2015.7406995}, timestamp = {Sun, 07 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/BaoJQZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenCGC15, author = {Liuyan Chen and Qi Cheng and Jianping Guo and Min Chen}, title = {High-PSR {CMOS} {LDO} with embedded ripple feedforward and energy-efficient bandwidth extension}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {384--389}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406988}, doi = {10.1109/SOCC.2015.7406988}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenCGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenCWHW15, author = {Jui{-}Hung Chen and Yung{-}Chih Chen and Wan{-}Chen Weng and Ching{-}Yi Huang and Chun{-}Yao Wang}, title = {Synthesis and verification of cyclic combinational circuits}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {257--262}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406959}, doi = {10.1109/SOCC.2015.7406959}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenCWHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenHWZH15, author = {Yun Chen and Yuanzhou Hu and Yizhi Wang and Xiaoyang Zeng and David Huang}, title = {{EM} independent Gaussian approximate message passing and its application in {OFDM} impulsive noise mitigation}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {427--431}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406998}, doi = {10.1109/SOCC.2015.7406998}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChenHWZH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenWWG15, author = {Dongliang Chen and Xin Wang and Jinhui Wang and Na Gong}, title = {{VCAS:} Viewing context aware power-efficient mobile video embedded memory}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {333--338}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406940}, doi = {10.1109/SOCC.2015.7406940}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenWWG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChuCFH15, author = {Ting{-}Li Chu and Wen{-}Yu Chu and Yasuyoshi Fujii and Chorng{-}Sii Hwang}, title = {All-digital deskew buffer using a hybrid control scheme}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {30--34}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406903}, doi = {10.1109/SOCC.2015.7406903}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChuCFH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChungTY15, author = {Yung{-}Hui Chung and Cheng{-}Hsun Tsai and Hsuan{-}Chin Yeh}, title = {A 5-b 1-GS/s 2.7-mW binary-search {ADC} in 90nm digital {CMOS}}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {25--29}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406902}, doi = {10.1109/SOCC.2015.7406902}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChungTY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Cong15, author = {Jason Cong}, title = {"High-level synthesis and beyond - From datacenters to IoTs"}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406893}, doi = {10.1109/SOCC.2015.7406893}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Cong15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DaiK15, author = {Chia{-}Tsen Dai and Ming{-}Dou Ker}, title = {{ESD} protection design with stacked low-voltage devices for high-voltage pins of battery-monitoring {IC}}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {380--383}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406987}, doi = {10.1109/SOCC.2015.7406987}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DaiK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DavilaLLHJC15, author = {Henry Lopez Davila and Chun{-}Yi Liu and Wei{-}Chang Liu and Shen{-}Jui Huang and Shyh{-}Jye Jou and Sau{-}Gee Chen}, title = {A 802.15.3c/802.11ad compliant 24 Gb/s {FFT} processor for 60 GHz communication systems}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {44--48}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406907}, doi = {10.1109/SOCC.2015.7406907}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DavilaLLHJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DobesMPGVK15, author = {Josef Dobes and Jan M{\'{\i}}chal and Jakub Popp and Martin Gr{\'{a}}bner and Frantisek Vejrazka and Jakub Kakona}, title = {Multi-objective optimization of a low-noise antenna amplifier for multi-constellation satellite-navigation receivers}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {88--93}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406919}, doi = {10.1109/SOCC.2015.7406919}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DobesMPGVK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FarkhaniPMM15, author = {Hooman Farkhani and Ali Peiravi and Jens Kargaard Madsen and Farshad Moradi}, title = {Symmetric write operation for 1T-1MTJ {STT-RAM} cells using negative bitline technique}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {215--220}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406948}, doi = {10.1109/SOCC.2015.7406948}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FarkhaniPMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FellNN15, author = {Alexander Fell and S. K. Nandy and Ranjani Narayan}, title = {A deterministic, minimal routing algorithm for a toroidal, rectangular honeycomb topology using a 2-tupled relative address}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {191--196}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406938}, doi = {10.1109/SOCC.2015.7406938}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FellNN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FuHWLZY15, author = {Jingyan Fu and Ligang Hou and Jinhui Wang and Bo Lu and Wei Zhao and Yang Yang}, title = {A novel thermal-aware structure of {TSV} cluster}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {406--409}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406993}, doi = {10.1109/SOCC.2015.7406993}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FuHWLZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GargALS15, author = {Kratika Garg and Yan Lin Aung and Siew Kei Lam and Thambipillai Srikanthan}, title = {KnapSim - Run-time efficient hardware-software partitioning technique for FPGAs}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {64--69}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406912}, doi = {10.1109/SOCC.2015.7406912}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GargALS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuS15, author = {Yanjie Gu and Guoyong Shi}, title = {Optimal realization of switched-capacitor circuits by symbolic analysis}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {70--73}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406913}, doi = {10.1109/SOCC.2015.7406913}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GunduHSA15, author = {Anil Kumar Gundu and Mohammad S. Hashmi and Ramkesh Sharma and Naushad Ansari}, title = {Statistical analysis and parametric yield estimation of standard 6T {SRAM} cell for different capacities}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {316--321}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406974}, doi = {10.1109/SOCC.2015.7406974}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GunduHSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Guo0WCJ15, author = {Jun Guo and Peng Liu and Weidong Wang and Jicheng Chen and Yingtao Jiang}, title = {A 20 GHz high speed, low jitter, high accuracy and wide correction range duty cycle corrector}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {19--24}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406901}, doi = {10.1109/SOCC.2015.7406901}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Guo0WCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuptaJO15, author = {Ujjwal Gupta and Sankalp Jain and {\"{U}}mit Y. Ogras}, title = {Can systems extend to polymer? SoP architecture design and challenges}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {203--208}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406946}, doi = {10.1109/SOCC.2015.7406946}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GuptaJO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuptaKDS15, author = {Nisha Gupta and A. R. Aravinth Kumar and Ashudeb Dutta and Shiv Govind Singh}, title = {A 1.2V wide-band reconfigurable mixer for wireless application in 65nm {CMOS} technology}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {49--52}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406908}, doi = {10.1109/SOCC.2015.7406908}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuptaKDS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Hou15, author = {Rui Hou}, title = {"Venice: {A} cost-effective architecture for datacenter servers"}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406895}, doi = {10.1109/SOCC.2015.7406895}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Hou15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuLL15, author = {Jian Hu and Tun Li and Sikun Li}, title = {Formal equivalence checking between {SLM} and {RTL} descriptions}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {131--136}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406927}, doi = {10.1109/SOCC.2015.7406927}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuWL15, author = {Qi Hu and Kejun Wu and Peng Liu}, title = {Exploiting multi-band transmission line interconnects to improve the efficiency of cache coherence in multiprocessor system-on-chip}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {390--395}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406990}, doi = {10.1109/SOCC.2015.7406990}, timestamp = {Thu, 30 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HuWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuanMBZZ15, author = {Yuxiang Huan and Ning Ma and Stefan Blixt and Zhuo Zou and Li{-}Rong Zheng}, title = {A 61 {\(\mu\)}A/MHz reconfigurable application-specific processor and system-on-chip for Internet-of-Things}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {235--239}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406954}, doi = {10.1109/SOCC.2015.7406954}, timestamp = {Tue, 02 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuanMBZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangHYCH15, author = {Chun{-}Ying Huang and Po{-}Tsang Huang and Chih{-}Chao Yang and Ching{-}Te Chuang and Wei Hwang}, title = {Energy-efficient gas recognition system with event-driven power control}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {245--250}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406956}, doi = {10.1109/SOCC.2015.7406956}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangHYCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/IgawaSYT15, author = {Koki Igawa and Youhua Shi and Masao Yanagisawa and Nozomu Togawa}, title = {A process-variation-aware multi-scenario high-level synthesis algorithm for distributed-register architectures}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {7--12}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406898}, doi = {10.1109/SOCC.2015.7406898}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/IgawaSYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ItoTYT15, author = {Koki Ito and Yutaka Tamiya and Masao Yanagisawa and Nozomu Togawa}, title = {Partitioning-based multiplexer network synthesis for field-data extractors}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {263--268}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406960}, doi = {10.1109/SOCC.2015.7406960}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ItoTYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/IturbeKOYBHC15, author = {Xabier Iturbe and Didier Keymeulen and Emre Ozer and Patrick Yiu and Daniel Berisford and Kevin P. Hand and Robert Carlson}, title = {Designing a SoC to control the next-generation space exploration flight science instruments}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {13--18}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406899}, doi = {10.1109/SOCC.2015.7406899}, timestamp = {Wed, 11 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/IturbeKOYBHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiangTH15, author = {Xiao{-}bo Jiang and Xue{-}qing Tan and Wei{-}pei Huang}, title = {Novel {ECC} structure and evaluation method for {NAND} flash memory}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {100--104}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406921}, doi = {10.1109/SOCC.2015.7406921}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JiangTH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiangXS15, author = {Zhou Jiang and Dong Xiang and Kele Shen}, title = {A scan segmentation architecture for power controllability and reduction}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {269--274}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406961}, doi = {10.1109/SOCC.2015.7406961}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JiangXS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiangYS15, author = {Shixiong Jiang and Pengzhan Yan and Ramalingam Sridhar}, title = {A high speed and low power content-addressable memory(CAM) using pipelined scheme}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {345--349}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406979}, doi = {10.1109/SOCC.2015.7406979}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JiangYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JinSGW15, author = {Jie Jin and Lingling Sun and Feng Guo and Xiaojun Wang}, title = {Low power design for on-chip networking processing system}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {154--159}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406931}, doi = {10.1109/SOCC.2015.7406931}, timestamp = {Wed, 08 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/JinSGW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JorgensenM15, author = {Evan K. Jorgensen and P. R. Mukund}, title = {A comparative study of multi-GHz LCVCOs designed in 28nm {CMOS} technology}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {82--87}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406918}, doi = {10.1109/SOCC.2015.7406918}, timestamp = {Mon, 06 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JorgensenM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JungR15, author = {Seok Min Jung and Janet Meiling Roveda}, title = {A 320MHz-2.56GHz low jitter phase-locked loop with adaptive-bandwidth technique}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {40--43}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406906}, doi = {10.1109/SOCC.2015.7406906}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JungR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KangYZW15, author = {Ting Kang and Zhaowen Yan and Wei Zhang and Jianwei Wang}, title = {Research on crosstalk issue of through silicon via for 3D integration}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {396--400}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406991}, doi = {10.1109/SOCC.2015.7406991}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KangYZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KaurFR15, author = {Ramandeep Kaur and Alexander Fell and Harsh Rawat}, title = {A 6T {SRAM} cell based pipelined 2R/1W memory design using 28nm {UTBB-FDSOI}}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {310--315}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406973}, doi = {10.1109/SOCC.2015.7406973}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KaurFR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimKWLK15, author = {Taehoon Kim and Sunkwon Kim and Jong{-}Kwan Woo and Hyongmin Lee and Suhwan Kim}, title = {A 9-bit, 110-MS/s pipelined-SAR {ADC} using time-interleaved technique with shared comparator}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {170--174}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406934}, doi = {10.1109/SOCC.2015.7406934}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimKWLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KoyadaNLW15, author = {Suresh Koyada and Abhilash Karnatakam Nagabhushana and Stefan Leitner and Haibo Wang}, title = {An {A-SAR} {ADC} circuit with adaptive auxiliary comparison scheme}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {197--202}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406939}, doi = {10.1109/SOCC.2015.7406939}, timestamp = {Thu, 23 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KoyadaNLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiBS15, author = {Hai (Helen) Li and Xiuyuan Bi and Zhenyu Sun}, title = {The evolutionary spintronic technologies and their usage in high performance computing}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {350--355}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406981}, doi = {10.1109/SOCC.2015.7406981}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiCQLJ15, author = {Tianjian Li and Hao Chen and Weikang Qian and Xiaoyao Liang and Li Jiang}, title = {On microarchitectural modeling for CNFET-based circuits}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {356--361}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406982}, doi = {10.1109/SOCC.2015.7406982}, timestamp = {Wed, 13 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiCQLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiGZHC15, author = {Guangxiang Li and Jianping Guo and Yanqi Zheng and Mo Huang and Dihu Chen}, title = {Cascoded flipped voltage follower based output-capacitorless low-dropout regulator for SoCs}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {368--373}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406985}, doi = {10.1109/SOCC.2015.7406985}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiGZHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiLWFWX15, author = {Shunbin Li and Peng Liu and Weidong Wang and Xing Fang and Dong Wu and Xianghui Xie}, title = {A {PAM-4} adaptive analog equalizer with decoupling control loops for 25-Gb/s {CMOS} serial-link receiver}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {221--226}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406950}, doi = {10.1109/SOCC.2015.7406950}, timestamp = {Mon, 09 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LiLWFWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiZ15, author = {Liping Li and Wenyi Zhang}, title = {On the encoding complexity of systematic polar codes}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {415--420}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406996}, doi = {10.1109/SOCC.2015.7406996}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiangZXZY15, author = {Xiao Liang and Chuan Zhang and Menghui Xu and Shunqing Zhang and Xiaohu You}, title = {Efficient stochastic list successive cancellation decoder for polar codes}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {421--426}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406997}, doi = {10.1109/SOCC.2015.7406997}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiangZXZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LuWNFZSC15, author = {Zhijian Lu and Hongyi Wang and Syed Roomi Naqvi and Houqiang Fu and Yuji Zhao and Hongjiang Song and Jennifer Blain Christen}, title = {A point of care electrochemical impedance spectroscopy device}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {240--244}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406955}, doi = {10.1109/SOCC.2015.7406955}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LuWNFZSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MaHY15, author = {Bill Ma and Qinjin Huang and Fengqi Yu}, title = {A 12-bit 1.74-mW 20-MS/s {DAC} with resistor-string and current-steering hybrid architecture}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406897}, doi = {10.1109/SOCC.2015.7406897}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MaHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MaoSXWZ15, author = {Weijun Mao and Liusheng Sun and Junwei Xu and Jiajia Wu and Xiaolei Zhu}, title = {Analysis and design of high performance wireless power delivery using on-chip octagonal inductor in 65-nm {CMOS}}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {401--405}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406992}, doi = {10.1109/SOCC.2015.7406992}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MaoSXWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MohammadiRCN15, author = {Mahnaz Mohammadi and Rohit Ronge and Jayesh Ramesh Chandiramani and Soumitra Kumar Nandy}, title = {An accelerator for classification using radial basis function neural network}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {137--142}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406928}, doi = {10.1109/SOCC.2015.7406928}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MohammadiRCN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MoradiT15, author = {Farshad Moradi and Mohammad Tohidi}, title = {Low-voltage 9T FinFETSRAM cell for low-power applications}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {149--153}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406929}, doi = {10.1109/SOCC.2015.7406929}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MoradiT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MostafaI15, author = {Islam Mostafa and Ayman H. Ismail}, title = {A tunable inverter-based, low-voltage {OTA} for continuous-time {\(\Sigma\)}{\(\Delta\)} {ADC}}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {74--77}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406916}, doi = {10.1109/SOCC.2015.7406916}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MostafaI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NarangFGG15, author = {Gaurav Narang and Alexander Fell and Prakhar Raj Gupta and Anuj Grover}, title = {Floorplan and congestion aware framework for optimal {SRAM} selection for memory subsystems}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {105--110}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406922}, doi = {10.1109/SOCC.2015.7406922}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NarangFGG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NasirianB15, author = {Nasim Nasirian and Magdy A. Bayoumi}, title = {Low-latency power-efficient adaptive router design for network-on-chip}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {287--291}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406965}, doi = {10.1109/SOCC.2015.7406965}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NasirianB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ParkYKCK15, author = {Yujin Park and Han Yang and Hyunjong Kim and Jun Soo Cho and Suhwan Kim}, title = {Low noise output stage for oversampling audio {DAC}}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {227--230}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406951}, doi = {10.1109/SOCC.2015.7406951}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ParkYKCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PerezSYS15, author = {Keissy Guerra Perez and Sandra Scott{-}Hayward and Xin Yang and Sakir Sezer}, title = {Memory cost analysis for OpenFlow multiple table lookup}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {322--327}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406975}, doi = {10.1109/SOCC.2015.7406975}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PerezSYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PoddarGR15, author = {Soumyajit Poddar and Prasun Ghosal and Hafizur Rahaman}, title = {Adaptive {CDMA} based multicast method for photonic networks on chip}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {298--303}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406967}, doi = {10.1109/SOCC.2015.7406967}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/PoddarGR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RethinagiriPMCU15, author = {Santhosh Kumar Rethinagiri and Oscar Palomar and Javier Arias Moreno and Adri{\'{a}}n Cristal and Osman S. Unsal}, title = {FAcET: Fast and accurate power/energy estimation tool for {CPU-GPU} platforms at architectural-level}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {209--214}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406947}, doi = {10.1109/SOCC.2015.7406947}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/RethinagiriPMCU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Rowen15, author = {Chris Rowen}, title = {"Five Forces Shaping the Silicon World: Advanced sensing and intelligence in IoT and vision"}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406942}, doi = {10.1109/SOCC.2015.7406942}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Rowen15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SantosMAAS15, author = {Ricardo Santos and Renan A. Marks and Rafael Alves and Felipe Araujo and Renato Santos}, title = {Instruction decoders based on pattern factorization}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {180--185}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406936}, doi = {10.1109/SOCC.2015.7406936}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SantosMAAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Stark15, author = {Gavin Stark}, title = {"Unicorns and centaurs: Architecting SOCs for software defined networking"}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406944}, doi = {10.1109/SOCC.2015.7406944}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Stark15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SzilagyiHE15, author = {L{\'{a}}szl{\'{o}} Szil{\'{a}}gyi and Ronny Henker and Frank Ellinger}, title = {A 0.68 pJ/bit inductor-less optical receiver for 20 Gbps with 0.0025 mm2 area in 28 nm {CMOS}}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {35--39}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406905}, doi = {10.1109/SOCC.2015.7406905}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SzilagyiHE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TripathiANSM15, author = {Jai Narayan Tripathi and Hiten Advani and Raj Kumar Nagpal and Vijender Kumar Sharma and Rakesh Malik}, title = {Analysis of a serial link for power supply induced jitter}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {127--130}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406926}, doi = {10.1109/SOCC.2015.7406926}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TripathiANSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TsaiLLCH15, author = {Wen{-}Chung Tsai and Hsiao{-}En Lin and Ying{-}Cherng Lan and Sao{-}Jie Chen and Yu Hen Hu}, title = {A novel flow fluidity meter for BiNoC bandwidth resource allocation}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {281--286}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406964}, doi = {10.1109/SOCC.2015.7406964}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TsaiLLCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/VamsiKDS15, author = {Nagaveni Vamsi and Pramod Kaddi and Ashudeb Dutta and Shiv Govind Singh}, title = {A -30 dBm sensitive ultra low power {RF} energy harvesting front end with an efficiency of 70.1{\%} at -22 dBm}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {328--332}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406977}, doi = {10.1109/SOCC.2015.7406977}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/VamsiKDS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/VermaTSJ15, author = {Vaibhav Verma and Sachin Taneja and Pritender Singh and Sanjeev Kumar Jain}, title = {A 128-kb 10{\%} power reduced 1T high density {ROM} with 0.56 ns access time using bitline edge sensing in sub 16nm bulk FinFET technology}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {304--309}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406972}, doi = {10.1109/SOCC.2015.7406972}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/VermaTSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangC15, author = {To{-}Po Wang and Shih{-}Hua Chiang}, title = {A high-gain low-power low-noise-figure differential {CMOS} {LNA} with 33{\%} current-reused negative-conductance accommodation structure}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {78--81}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406917}, doi = {10.1109/SOCC.2015.7406917}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangHSMZ15, author = {Wuguang Wang and Rulin Huang and Guoquan Sun and Weijun Mao and Xiaolei Zhu}, title = {A digital background calibration technique for split {DAC} based {SAR} {ADC} by using redundant cycle}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {231--234}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406952}, doi = {10.1109/SOCC.2015.7406952}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangHSMZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangJHLLJQ15, author = {Chen Wang and Li Jiang and Shiyan Hu and Tianjian Li and Xiaoyao Liang and Naifeng Jing and Weikang Qian}, title = {Timing-driven placement for carbon nanotube circuits}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {362--367}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406983}, doi = {10.1109/SOCC.2015.7406983}, timestamp = {Wed, 13 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangJHLLJQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangLZWZZS15, author = {Xiang Wang and Lin Li and Longbin Zhang and Weike Wang and Rong Zhang and Yi Zhang and Quanneng Shen}, title = {A multi-level collaboration low-power design based on embedded system}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {186--190}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406937}, doi = {10.1109/SOCC.2015.7406937}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WangLZWZZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuCCHSC15, author = {Tse{-}Ching Wu and Chien{-}Ju Chen and Yin{-}Nien Chen and Vita Pi{-}Ho Hu and Pin Su and Ching{-}Te Chuang}, title = {Evaluation of energy-efficient latch circuits with hybrid tunneling {FET} and FinFET devices for ultra-low-voltage applications}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {339--344}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406978}, doi = {10.1109/SOCC.2015.7406978}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WuCCHSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuLL15, author = {Zhenzhi Wu and Dake Liu and Xiaoyang Li}, title = {Loop acceleration and instruction repeat support for application specific instruction-set processors}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {251--256}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406957}, doi = {10.1109/SOCC.2015.7406957}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XiaoHRZXW15, author = {Limin Xiao and Zhenxue He and Li Ruan and Rong Zhang and Tongsheng Xia and Xiang Wang}, title = {Optimization of best polarity searching for mixed polarity reed-muller logic circuit}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {275--280}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406962}, doi = {10.1109/SOCC.2015.7406962}, timestamp = {Mon, 25 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XiaoHRZXW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YamauchiSS15, author = {Hiroyuki Yamauchi and Worawit Somha and Yuan{-}Qiang Song}, title = {A filter design to increase accuracy of Lucy-Richardson deconvolution for analyzing {RTN} mixtures effects on {VLSI} reliability margin}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {121--126}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406925}, doi = {10.1109/SOCC.2015.7406925}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YamauchiSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YanJS15, author = {Pengzhan Yan and Shixiong Jiang and Ramalingam Sridhar}, title = {A high throughput router with a novel switch allocator for network on chip}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {160--163}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406932}, doi = {10.1109/SOCC.2015.7406932}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YanJS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YanJS15a, author = {Pengzhan Yan and Shixiong Jiang and Ramalingam Sridhar}, title = {A novel fault-tolerant router architecture for network-on-chip reconfiguration}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {292--297}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406966}, doi = {10.1109/SOCC.2015.7406966}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YanJS15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangHCC15, author = {Hsin{-}Ping Yang and Hsiao{-}Chi Hsieh and Sheng{-}Hsiang Chang and Sao{-}Jie Chen}, title = {An improved distributed video coding with low-complexity motion estimation at encoder}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {111--114}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406923}, doi = {10.1109/SOCC.2015.7406923}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangHCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangLW15, author = {Shufan Yang and Renfa Li and Qiang Wu}, title = {Modelling visual attention towards embodiment cognition on a reconfigurable and programmable system}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {115--120}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406924}, doi = {10.1109/SOCC.2015.7406924}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YangLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangS15, author = {Xin Yang and Sakir Sezer}, title = {Per-flow state management technique for high-speed networks}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {59--63}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406911}, doi = {10.1109/SOCC.2015.7406911}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhangEHL15, author = {Xiaofan Zhang and Masoumeh Ebrahimi and Letian Huang and Guangjun Li}, title = {Fault-resilient routing unit in NoCs}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {164--169}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406933}, doi = {10.1109/SOCC.2015.7406933}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhangEHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhaoSCTSL15, author = {Yue Zhao and Hosoon Shin and Hai{-}Bao Chen and Sheldon X.{-}D. Tan and Guoyong Shi and Xin Li}, title = {Statistical rare event analysis using smart sampling and parameter guidance}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {53--58}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406910}, doi = {10.1109/SOCC.2015.7406910}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ZhaoSCTSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhengHLGC15, author = {Yanqi Zheng and Marco Ho and Ka Nang Leung and Jianping Guo and Biao Chen}, title = {A digital-control sensorless current-mode boost converter with non-zero error bin compensation and seamless mode transition}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {94--99}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406920}, doi = {10.1109/SOCC.2015.7406920}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhengHLGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhouGG15, author = {Han Zhou and Xiaoyan Gui and Peng Gao}, title = {Design of a 12-bit 0.83 MS/s {SAR} {ADC} for an {IPMI} SoC}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {175--179}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406935}, doi = {10.1109/SOCC.2015.7406935}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhouGG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZyarahK15, author = {Abdullah M. Zyarah and Dhireesha Kudithipudi}, title = {Reconfigurable hardware architecture of the spatial pooler for hierarchical temporal memory}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {143--153}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406930}, doi = {10.1109/SOCC.2015.7406930}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZyarahK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2015, title = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7363404/proceeding}, isbn = {978-1-4673-9094-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/0003MWWH14, author = {Ye Zhang and Jan Henning Mueller and Muh{-}Dey Wei and Ralf Wunderlich and Stefan Heinen}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Design of a low power multistandard transceiver chain based on current-reuse {VCO}}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {393--396}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948961}, doi = {10.1109/SOCC.2014.6948961}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/0003MWWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AbabeiM14, author = {Cristinel Ababei and Nicholas Mastronarde}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Benefits and costs of prediction based {DVFS} for NoCs at router level}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {255--260}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948937}, doi = {10.1109/SOCC.2014.6948937}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AbabeiM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AldammasSA14, author = {Ahmed Aldammas and Adel Soudani and Abdullah Al{-}Dhelaan}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Flow control solution for efficient communication and congestion avoidance in NoC}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {177--182}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948922}, doi = {10.1109/SOCC.2014.6948922}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AldammasSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ArnoldNF14, author = {Oliver Arnold and Benedikt Noethen and Gerhard P. Fettweis}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {CM{\_}ISA++: An instruction set for dynamic task scheduling units for more than 1000 cores}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {29--34}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948895}, doi = {10.1109/SOCC.2014.6948895}, timestamp = {Wed, 15 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ArnoldNF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BahrebarJS14, author = {Poona Bahrebar and Azarakhsh Jalalvand and Dirk Stroobandt}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Adaptive multicast routing method for 3D mesh-based Networks-on-Chip}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {70--75}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948902}, doi = {10.1109/SOCC.2014.6948902}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BahrebarJS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Beckley14, author = {Tom Beckley}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Keynote speaker: "The Internet of Every-Thing: {EDA} perspectives"}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948889}, doi = {10.1109/SOCC.2014.6948889}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Beckley14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Blair14, author = {Gerard M. Blair}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{T2A:} Clock implementation: {A} question of timing}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {xxxv--xxxvi}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948882}, doi = {10.1109/SOCC.2014.6948882}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Blair14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BriggsZ14, author = {Matt Briggs and Payman Zarkesh{-}Ha}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Evaluating mobile SOCs as an energy efficient {DSP} platform}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {293--298}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948943}, doi = {10.1109/SOCC.2014.6948943}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BriggsZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Brown14, author = {Jeffrey D. Brown}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Plenary speaker}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {219}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948930}, doi = {10.1109/SOCC.2014.6948930}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Brown14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BuechnerZ14, author = {Thomas B{\"{u}}chner and Danella Zhao}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Message from program chairs}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {vi--vii}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948873}, doi = {10.1109/SOCC.2014.6948873}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BuechnerZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChandranZ14, author = {Unni Chandran and Dan Zhao}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Cost-optimal design of wireless pre-bonding test framework}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {324--329}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948948}, doi = {10.1109/SOCC.2014.6948948}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChandranZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChangHCCH14, author = {Chih{-}Yuan Chang and Po{-}Tsang Huang and Yi{-}Chun Chen and Tian{-}Sheuan Chang and Wei Hwang}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Thermal-aware memory management unit of 3D-stacked {DRAM} for 3D high definition {(HD)} video}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {76--81}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948903}, doi = {10.1109/SOCC.2014.6948903}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChangHCCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChiangLCY14, author = {Jun{-}Hua Chiang and Bin{-}Da Liu and Shih{-}Ming Chen and Hong{-}Tzer Yang}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A low supply voltage mixed-signal maximum power point tracking controller for photovoltaic power system}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {125--129}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948912}, doi = {10.1109/SOCC.2014.6948912}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChiangLCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChouCH14, author = {Fang{-}Ting Chou and Zong{-}Yi Chen and Chung{-}Chih Hung}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A 10-bit 250MS/s low-glitch binary-weighted digital-to-analog converter}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {231--235}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948933}, doi = {10.1109/SOCC.2014.6948933}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChouCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChungL14, author = {Ching{-}Che Chung and Jhih{-}Wei Li}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {An all-digital on-chip abnormal temperature warning sensor for dynamic thermal management}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {221--224}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948931}, doi = {10.1109/SOCC.2014.6948931}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChungL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChungLCJCTCHKHLK14, author = {Chao{-}Kuei Chung and Chien{-}Yu Lu and Zhi{-}Hao Chang and Shyh{-}Jye Jou and Ching{-}Te Chuang and Ming{-}Hsien Tu and Yu{-}Hsian Chen and Yong{-}Jyun Hu and Paul{-}Sen Kan and Huan{-}Shun Huang and Kuen{-}Di Lee and Yung{-}Shin Kao}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A 40nm 256kb 6T {SRAM} with threshold power-gating, low-swing global read bit-line, and charge-sharing write with Vtrip-tracking and negative source-line write-assists}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {455--462}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948972}, doi = {10.1109/SOCC.2014.6948972}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChungLCJCTCHKHLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DuaKN14, author = {Raj S. Dua and Siddharth Katare and Narayanan Natarajan}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Resistorless on-die high voltage power supply noise measurement}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {390--392}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948960}, doi = {10.1109/SOCC.2014.6948960}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DuaKN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/EaswaranBSGMKS14, author = {Vasant Easwaran and Virendra Bansal and Greg Shurtz and Rahul Gulati and Mihir N. Mody and Prashant Karandikar and Prithvi Shankar}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A unique non-intrusive approach to non-ATE Based cul-de-sac SoC debug}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {336--339}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948950}, doi = {10.1109/SOCC.2014.6948950}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/EaswaranBSGMKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Eremenko14, author = {Paul Eremenko}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Banquet speaker}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {369}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948956}, doi = {10.1109/SOCC.2014.6948956}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Eremenko14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Es-SakhiC14, author = {Azzedin D. Es{-}Sakhi and Masud H. Chowdhury}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Analysis of the current-voltage characteristics of Silicon on Ferroelectric Insulator Field Effect Transistor {(SOF-FET)}}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {152--155}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948917}, doi = {10.1109/SOCC.2014.6948917}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Es-SakhiC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Es-SakhiC14a, author = {Azzedin D. Es{-}Sakhi and Masud H. Chowdhury}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Multichannel Tunneling Carbon Nanotube Field Effect Transistor {(MT-CNTFET)}}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {156--159}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948918}, doi = {10.1109/SOCC.2014.6948918}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Es-SakhiC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FarkhaniPMM14, author = {Hooman Farkhani and Ali Peiravi and Jens Kargaard Madsen and Farshad Moradi}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Comparative study of FinFETs versus 22nm bulk {CMOS} technologies: {SRAM} design perspective}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {449--454}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948971}, doi = {10.1109/SOCC.2014.6948971}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FarkhaniPMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GadfortDALF14, author = {Peter Gadfort and Aravind Dasu and Ali Akoglu and Yoon Kah Leow and Michael Fritze}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A power efficient reconfigurable system-in-stack: 3D integration of accelerators, FPGAs, and {DRAM}}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {11--16}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948892}, doi = {10.1109/SOCC.2014.6948892}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GadfortDALF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GargBS14, author = {Bharat Garg and Nitesh K. Bharadwaj and G. K. Sharma}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Energy scalable approximate {DCT} architecture trading quality via boundary error-resiliency}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {306--311}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948945}, doi = {10.1109/SOCC.2014.6948945}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GargBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GinawiXG14, author = {Ahmed Ginawi and Tian Xia and Robert Gauthier}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Reducing the turn-on time and overshoot voltage for a diode-triggered silicon-controlled rectifier during an electrostatic discharge event}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {109--114}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948909}, doi = {10.1109/SOCC.2014.6948909}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GinawiXG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GrandhiSCPC14, author = {Satish Grandhi and Christian Spagnol and Jiaoyan Chen and Emanuel M. Popovici and Sorin Cotafona}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Reliability aware logic synthesis through rewriting}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {274--279}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948940}, doi = {10.1109/SOCC.2014.6948940}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GrandhiSCPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HassanalieraghSNS14, author = {Moeen Hassanalieragh and Tolga Soyata and Andrew Nadeau and Gaurav Sharma}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Solar-supercapacitor harvesting system design for energy-aware applications}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {280--285}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948941}, doi = {10.1109/SOCC.2014.6948941}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HassanalieraghSNS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HongCZJWL14, author = {Chi{-}Hao Hong and Yi{-}Wei Chiu and Jun{-}Kai Zhao and Shyh{-}Jye Jou and Wen{-}Tai Wang and Reed Lee}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A low-power charge sharing hierarchical bitline and voltage-latched sense amplifier for {SRAM} macro in 28 nm {CMOS} technology}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {160--164}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948919}, doi = {10.1109/SOCC.2014.6948919}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HongCZJWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HossainHC14, author = {Nahid M. Hossain and Md Belayat Hossain and Masud H. Chowdhury}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Multilayer layer graphene nanoribbon flash memory: Analysis of programming and erasing operation}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {24--28}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948894}, doi = {10.1109/SOCC.2014.6948894}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HossainHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HwangCC14, author = {Chorng{-}Sii Hwang and Ting{-}Li Chu and Wen{-}Cheng Chen}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A clock generator based on multiplying delay-locked loop}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {98--102}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948907}, doi = {10.1109/SOCC.2014.6948907}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HwangCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HwangKLNS14, author = {Jongyoon Hwang and Dongjoo Kim and Mun{-}Kyo Lee and Sun{-}Phil Nah and Minkyu Song}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Design of a 9-bit 1GS/s {CMOS} folding {A/D} converter with a boundary error reduction technique}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {83--87}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948904}, doi = {10.1109/SOCC.2014.6948904}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HwangKLNS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/IkramAAPE14, author = {Shahid Ikram and David Asher and Isam Akkawi and Jack Perveiler and Jim Ellis}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A framework for specifying, modeling, implementation and verification of {SOC} protocols}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {268--273}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948939}, doi = {10.1109/SOCC.2014.6948939}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/IkramAAPE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/IsmailM14, author = {M. Wagih Ismail and Hassan Mostafa}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A new design methodology for Voltage-to-Time Converters (VTCs) circuits suitable for Time-based Analog-to-Digital Converters {(T-ADC)}}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {103--108}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948908}, doi = {10.1109/SOCC.2014.6948908}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/IsmailM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Jain14, author = {Vijay K. Jain}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Microcells for {ICA-SOC} for remote sensing of high energy radiation}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {119--124}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948911}, doi = {10.1109/SOCC.2014.6948911}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Jain14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JaiswalwFH14, author = {Ashok Jaiswal and Dominik walk and Yuan Fang and Klaus Hofmann}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Low-power high-speed on-chip asynchronous Wave-pipelined {CML} SerDes}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {5--10}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948891}, doi = {10.1109/SOCC.2014.6948891}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JaiswalwFH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiangY14, author = {Yikun Jiang and Mei Yang}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {On circuit design of on-chip non-blocking interconnection networks}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {192--197}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948925}, doi = {10.1109/SOCC.2014.6948925}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JiangY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadjoOAKG14, author = {David Kadjo and {\"{U}}mit Y. Ogras and Raid Ayoub and Michael Kishinevsky and Paul Gratz}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Towards platform level power management in mobile systems}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {146--151}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948916}, doi = {10.1109/SOCC.2014.6948916}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KadjoOAKG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KamakariNIO14, author = {Tatsuya Kamakari and Shinichi Nishizawa and Tohru Ishihara and Hidetoshi Onodera}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Variation-aware Flip-Flop energy optimization for ultra low voltage operation}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {17--22}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948893}, doi = {10.1109/SOCC.2014.6948893}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KamakariNIO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KaniN14, author = {Nickvash Kani and Azad Naeemi}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Wiring resource minimization for physically-complex Network-on-Chip architectures}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {261--266}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948938}, doi = {10.1109/SOCC.2014.6948938}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KaniN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KudithipudiMOSR14, author = {Dhireesha Kudithipudi and Cory E. Merkel and Yu Kee Ooi and Qutaiba Saleh and Garrett S. Rose}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {On designing circuit primitives for cortical processors with memristive hardware}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {371--376}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948957}, doi = {10.1109/SOCC.2014.6948957}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KudithipudiMOSR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KunzSU14, author = {Wolfgang Kunz and Dominik Stoffel and Joakim Urdahl}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{T4B:} Formal verification in system-on-chip design: Scientific foundations and practical methodology}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {xlv--xlvi}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948888}, doi = {10.1109/SOCC.2014.6948888}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KunzSU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LaiHHLYCL14, author = {Jyu{-}Yuan Lai and Chih{-}Tsun Huang and Ting{-}Shuo Hsu and Jing{-}Jia Liou and Tung{-}Hua Yeh and Liang{-}Chia Cheng and Juin{-}Ming Lu}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Methodology of exploring {ESL/RTL} many-core platforms for developing embedded parallel applications}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {286--291}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948942}, doi = {10.1109/SOCC.2014.6948942}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LaiHHLYCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeeY14, author = {Gi{-}Yoon Lee and Kwang Sub Yoon}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Design of a low power {CMOS} 10bit flash-SAR {ADC}}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {88--91}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948905}, doi = {10.1109/SOCC.2014.6948905}, timestamp = {Wed, 23 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeeY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiHLMLD14, author = {Hai Li and Miao Hu and Xiaoxiao Liu and Mengjie Mao and Chuandong Li and Shukai Duan}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Emerging memristor technology enabled next generation cortical processor}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {377--382}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948958}, doi = {10.1109/SOCC.2014.6948958}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/LiHLMLD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiS14, author = {Tao Li and Greg Sadowski}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Design and implementation of novel source synchronous interconnection in modern {GPU} chips}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {130--135}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948913}, doi = {10.1109/SOCC.2014.6948913}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Mahdoum14, author = {Ali Mahdoum}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Networks on chip design for real-time systems}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {165--170}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948920}, doi = {10.1109/SOCC.2014.6948920}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Mahdoum14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ManakkaduDB14, author = {Sheheeda Manakkadu and Sourav Dutta and Nazeih M. Botros}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Power aware parallel computing on asymmetric multiprocessor}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {35--40}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948896}, doi = {10.1109/SOCC.2014.6948896}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ManakkaduDB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MarchandBJ14, author = {C{\'{e}}dric Marchand and Lilian Bossuet and Edward Jung}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{IP} watermark verification based on power consumption analysis}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {330--335}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948949}, doi = {10.1109/SOCC.2014.6948949}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MarchandBJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Marshall14, author = {Andrew Marshall}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{T4A:} System-on-chip design using Tri-gate technology}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {xliii}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948886}, doi = {10.1109/SOCC.2014.6948886}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Marshall14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MerkelK14, author = {Cory E. Merkel and Dhireesha Kudithipudi}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A stochastic learning algorithm for neuromemristive systems}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {359--364}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948954}, doi = {10.1109/SOCC.2014.6948954}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MerkelK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MoghadamiJA14, author = {Siavash Moghadami and Farzaneh Jalaibidgoli and Shahab Ardalan}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A systematic methodology to design high power terahertz and submillimeter-wave amplifiers}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {92--97}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948906}, doi = {10.1109/SOCC.2014.6948906}, timestamp = {Wed, 08 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MoghadamiJA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Mohr0MH14, author = {Bastian Mohr and Ye Zhang and Jan Henning Mueller and Stefan Heinen}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Compensating imperfections in {RF-DAC} based transmitters using LUT-based predistortion}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {312--316}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948946}, doi = {10.1109/SOCC.2014.6948946}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Mohr0MH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MondalD14, author = {Hemanta Kumar Mondal and Sujay Deb}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {An energy efficient wireless Network-on-Chip using power-gated transceivers}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {243--248}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948935}, doi = {10.1109/SOCC.2014.6948935}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MondalD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MongaC14, author = {Sushrant Monga and Shouri Chatterjee}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A 25.5mW 10Gb/s inductorless receiver with an adaptive front-end in 0.13 {\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {431--436}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948968}, doi = {10.1109/SOCC.2014.6948968}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MongaC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Mueller0LACMH14, author = {Jan Henning Mueller and Ye Zhang and Lei Liao and Aytac Atac and Zhimiao Chen and Bastian Mohr and Stefan Heinen}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A low complexity multi standard dual band {CMOS} polar transmitter for smart utility networks}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {426--430}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948967}, doi = {10.1109/SOCC.2014.6948967}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Mueller0LACMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NS14, author = {Abhilash K. N and M. B. Srinivas}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A reconfigurable 0-L1-L2 S-MASH\({}^{\mbox{2}}\) modulator with high-level sizing and power estimation}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {347--352}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948952}, doi = {10.1109/SOCC.2014.6948952}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NairV14, author = {Ramesh Nair and Ranga Vemuri}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {MITH-Dyn: {A} multi Vth dynamic logic design style using mixed mode FinFETs}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {140--145}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948915}, doi = {10.1109/SOCC.2014.6948915}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NairV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NishizawaIO14, author = {Shinichi Nishizawa and Tohru Ishihara and Hidetoshi Onodera}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Design methodology of process variation tolerant D-Flip-Flops for low voltage circuit operation}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {42--47}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948897}, doi = {10.1109/SOCC.2014.6948897}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NishizawaIO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NojehPI14, author = {Alireza Nojeh and Partha Pratim Pande and Andr{\'{e}} Ivanov}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{T2B:} Carbon nanotubes and opportunities for wireless on-chip interconnect}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {xxxix--xli}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948884}, doi = {10.1109/SOCC.2014.6948884}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NojehPI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ObeidQBMMGA14, author = {Abdulfattah Mohammad Obeid and Syed Manzoor Qasim and Mohammed S. BenSaleh and Zied Marrakchi and Habib Mehrez and Heni Ghariani and Mohamed Abid}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Flexible reconfigurable architecture for {DSP} applications}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {204--209}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948927}, doi = {10.1109/SOCC.2014.6948927}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ObeidQBMMGA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Ogras14, author = {{\"{U}}mit Y. Ogras}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{T3A:} Design and managements of multiprocessor systems-on-chips}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {xlii}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948885}, doi = {10.1109/SOCC.2014.6948885}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Ogras14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PandeNI14, author = {Partha Pratim Pande and Alireza Nojeh and Andr{\'{e}} Ivanov}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{T1B:} Wireless NoC as interconnection backbone for multicore chips: Promises and challenges}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {xxxvii--xxxviii}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948883}, doi = {10.1109/SOCC.2014.6948883}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PandeNI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PangraciousMMM14, author = {Vinod Pangracious and Mohamed Sahbi Marrakchi and Habib Mehrez and Zied Marrakchi}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {On wiring delays reduction of tree-based {FPGA} using 3-D fabric}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {64--69}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948901}, doi = {10.1109/SOCC.2014.6948901}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PangraciousMMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PathakS14, author = {Divya Pathak and Ioannis Savidis}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Run-time voltage detection circuit for 3-D {IC} power delivery}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {183--187}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948923}, doi = {10.1109/SOCC.2014.6948923}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PathakS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Pawlowski14, author = {J. Thomas Pawlowski}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Keynote speaker}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {217--218}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948929}, doi = {10.1109/SOCC.2014.6948929}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Pawlowski14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PerezYSS14, author = {Keissy Guerra Perez and Xin Yang and Sandra Scott{-}Hayward and Sakir Sezer}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A configurable packet classification architecture for Software-Defined Networking}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {353--358}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948953}, doi = {10.1109/SOCC.2014.6948953}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PerezYSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RadfarZS14, author = {Farzad Radfar and Masoud Zabihi and Reza Sarvari}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Comparison between optimal interconnection network in different 2D and 3D NoC structures}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {171--176}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948921}, doi = {10.1109/SOCC.2014.6948921}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/RadfarZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RethinagiriPCUS14, author = {Santhosh Kumar Rethinagiri and Oscar Palomar and Adri{\'{a}}n Cristal and Osman S. Unsal and Michael M. Swift}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{DESSERT:} DESign Space ExploRation Tool based on power and energy at System-Level}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {48--53}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948898}, doi = {10.1109/SOCC.2014.6948898}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RethinagiriPCUS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Runner14, author = {Scott Runner}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Plenary speaker: "SoCs for Mobile Applications: Systems from 0 {MPH} to over 100 MPH"}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948890}, doi = {10.1109/SOCC.2014.6948890}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Runner14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SalunkeDSD14, author = {Shreeyash Salunke and Shreyas Darne and Keval Shah and Rishikesh Dhamapurkar}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Electromyograph data acquisition and application using Cypress Programmable System on Chip}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {115--118}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948910}, doi = {10.1109/SOCC.2014.6948910}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SalunkeDSD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SernaV14, author = {Nicolas Serna and Fran{\c{c}}ois Verdier}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Very fast co-simulation model and accurate on-the-fly performance estimation methodology for heterogeneous MPSoC}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {210--215}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948928}, doi = {10.1109/SOCC.2014.6948928}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SernaV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShahMJGL14, author = {Ankit Shah and Naseef Mansoor and Ben Johnstone and Amlan Ganguly and Sonia Lopez{-}Alarcon}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Heterogeneous photonic Network-on-Chip with dynamic bandwidth allocation}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {249--254}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948936}, doi = {10.1109/SOCC.2014.6948936}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShahMJGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShakirS14, author = {Tahseen Shakir and Manoj Sachdev}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A body-bias based current sense amplifier for high-speed low-power embedded SRAMs}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {444--448}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948970}, doi = {10.1109/SOCC.2014.6948970}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShakirS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Shi14, author = {Kaijian Shi}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Message from conference general chair}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {iv--v}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948872}, doi = {10.1109/SOCC.2014.6948872}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Shi14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShiC14, author = {Weiwei Shi and Oliver Chiu{-}sing Choy}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A novel ratioed logic style for faster subthreshold digital circuits based on 90 nm {CMOS} and below}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {54--57}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948899}, doi = {10.1109/SOCC.2014.6948899}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShiC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SongCLLC14, author = {Hongjiang Song and Chen Chen and Meng{-}Wei Lin and Kaijun Li and Jennifer Blain Christen}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A neural rehabilitation chip with neural recording, peak detection, spike rate counter, and biphasic neural stimulator}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {415--419}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948965}, doi = {10.1109/SOCC.2014.6948965}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SongCLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SongLLCW14, author = {Hongjiang Song and Zhijian Lu and Tao Luo and Jennifer Blain Christen and Hongyi Wang}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A {CMOS} self-powered monolithic light direction sensor with {SAR} {ADC}}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {58--62}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948900}, doi = {10.1109/SOCC.2014.6948900}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SongLLCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SrinivasanCH14, author = {Sridhar Srinivasan and Ellis Cohen and Mark Hofmann}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A new approach using symbolic analysis to compute path-dependent effective properties preserving hierarchy}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {404--408}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948963}, doi = {10.1109/SOCC.2014.6948963}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SrinivasanCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Sun14, author = {Han Sun}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{T3B:} Recent advancements in fiber optic transmission enabled by highly integrated mixed signal SoC and advanced digital signal processing}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {xliv}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948887}, doi = {10.1109/SOCC.2014.6948887}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Sun14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SureshB14, author = {Vikram B. Suresh and Wayne P. Burleson}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{REFLEX:} Reconfigurable logic for entropy extraction}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {341--346}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948951}, doi = {10.1109/SOCC.2014.6948951}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SureshB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TahaHY14, author = {Tarek M. Taha and Raqibul Hasan and Chris Yakopcic}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Memristor crossbar based multicore neuromorphic processors}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {383--389}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948959}, doi = {10.1109/SOCC.2014.6948959}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TahaHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TanveerBJ14, author = {Muhammad Tanveer and Johan Borg and Jonny Johansson}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Time stretcher for a time-to-digital converter with a precisely matched current mirror}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {225--230}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948932}, doi = {10.1109/SOCC.2014.6948932}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TanveerBJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TehranipoorK14, author = {Mohammad Tehranipoor and Charles Knapp}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {{T1A:} Opportunities and challenges for secure hardware and verifying trust in integrated circuits}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {xxxiii--xxxiv}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948881}, doi = {10.1109/SOCC.2014.6948881}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TehranipoorK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TomasJY14, author = {Bill Jason Tomas and Yingtao Jiang and Mei Yang}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {SoC Scan-Chain verification utilizing FPGA-based emulation platform and {SCE-MI} interface}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {398--403}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948962}, doi = {10.1109/SOCC.2014.6948962}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TomasJY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TraskovLHG14, author = {Boris Traskov and Ulrich Langenbach and Klaus Hofmann and Peter Gregorius}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Hardware architecture of an Internet Protocol Version 6 processor}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {198--203}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948926}, doi = {10.1109/SOCC.2014.6948926}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TraskovLHG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/UzzalZECR14, author = {Mohammad M. Uzzal and Payman Zarkesh{-}Ha and Jeremy S. Edwards and Ezequiel Coelho and Priyanka Rawat}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A highly sensitive {ISFET} using pH-to-current conversion for real-time {DNA} sequencing}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {410--414}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948964}, doi = {10.1109/SOCC.2014.6948964}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/UzzalZECR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangR14, author = {Haibo Wang and Ram Harshvardhan Radhakrishnan}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {An accelerated successive approximation technique for analog to digital converter design}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {236--241}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948934}, doi = {10.1109/SOCC.2014.6948934}, timestamp = {Thu, 09 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuKWCCH14, author = {Pei{-}Chen Wu and Yi{-}Ping Kuo and Chung{-}Shiang Wu and Ching{-}Te Chuang and Yuan{-}Hua Chu and Wei Hwang}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {PVT-aware digital controlled voltage regulator design for ultra-low-power {(ULP)} {DVFS} systems}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {136--139}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948914}, doi = {10.1109/SOCC.2014.6948914}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuKWCCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuTCLLC14, author = {Guo{-}Zua Wu and Song{-}Nien Tang and Chih{-}Chi Chang and Chien{-}Ju Lee and Kuan{-}Hsien Lin and Oscal T.{-}C. Chen}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {High-frequency and power-efficiency ultrasound beam-forming processor for handheld applications}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {420--424}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948966}, doi = {10.1109/SOCC.2014.6948966}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuTCLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YamauchiS14, author = {Hiroyuki Yamauchi and Worawit Somha}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Errors in solving inverse problem for reversing {RTN} effects on VCCmin shift in {SRAM} reliability screening test designs}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {318--323}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948947}, doi = {10.1109/SOCC.2014.6948947}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YamauchiS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangSO14, author = {Xin Yang and Sakir Sezer and Shane O'Neill}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A hardware acceleration scheme for memory-efficient flow processing}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {437--442}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948969}, doi = {10.1109/SOCC.2014.6948969}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangSO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangXCD14, author = {Chen Yang and Yizhuang Xie and He Chen and Yi Deng}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {New quantization error assessment methodology for fixed-point pipeline {FFT} processor design}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {299--305}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948944}, doi = {10.1109/SOCC.2014.6948944}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YangXCD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhouPR14, author = {He Zhou and Linda S. Powers and Janet Roveda}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Collision array based workload assignment for Network-on-Chip concurrency}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {188--191}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948924}, doi = {10.1109/SOCC.2014.6948924}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhouPR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2014, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6937053/proceeding}, isbn = {978-1-4799-3378-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AhmedSSRRB13, author = {Tanvir Ahmed and Ankur Sarker and Mohd. Istiaq Sharif and S. M. Mahbubur Rashid and Md. Atiqur Rahman and Hafiz Md. Hasan Babu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A novel approach to design a reversible shifter circuit using {DNA}}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {256--261}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749697}, doi = {10.1109/SOCC.2013.6749697}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AhmedSSRRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BabuJS13, author = {Hafiz Md. Hasan Babu and Lafifa Jamal and Nazir Saleheen}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {An efficient approach for designing a reversible fault tolerant n-bit carry look-ahead adder}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {98--103}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749668}, doi = {10.1109/SOCC.2013.6749668}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BabuJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BalasubramanianXV13, author = {Venkat Krishnan Balasubramanian and Hao Xu and Ranga Vemuri}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Design automation flow for voltage adaptive optimum granularity {LITHE} for sequential circuits}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {355--360}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749715}, doi = {10.1109/SOCC.2013.6749715}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BalasubramanianXV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BanerjeeV13, author = {Ballori Banerjee and Jim Vomero}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Treat thy secondary {(ALMOST)} like thy primary- {A} fair arbiter in master-slave configuration}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {184--190}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749685}, doi = {10.1109/SOCC.2013.6749685}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BanerjeeV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BazylevychB13, author = {Roman P. Bazylevych and Lubov Bazylevych}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Tutorial: Macro-modeling for solving {SOC} physical design automation problems}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {314}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749707}, doi = {10.1109/SOCC.2013.6749707}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BazylevychB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BiedermannDH13, author = {Alexander Biedermann and Boris Dreyer and Sorin A. Huss}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A generic, scalable reconfiguration infrastructure for sensor networks functionality adaption}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {301--306}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749705}, doi = {10.1109/SOCC.2013.6749705}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BiedermannDH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Blair13, author = {Gerard M. Blair}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Equal length routing}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {331--335}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749711}, doi = {10.1109/SOCC.2013.6749711}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Blair13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChaeMFCM13, author = {Jung Kyu Chae and Paul Mougeat and Jean{-}Arnaud Francois and Roselyne Chotin{-}Avot and Habib Mehrez}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A formalism of the specifications for library development}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {307--312}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749706}, doi = {10.1109/SOCC.2013.6749706}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChaeMFCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenC13, author = {Changlin Chen and Sorin Dan Cotofana}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A low cost method to tolerate soft errors in the NoC router control plane}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {374--379}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749718}, doi = {10.1109/SOCC.2013.6749718}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenCWKYCH13, author = {Mei{-}Wei Chen and Ming{-}Hung Chang and Pei{-}Chen Wu and Yi{-}Ping Kuo and Chun{-}Lin Yang and Yuan{-}Hua Chu and Wei Hwang}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A dual-edged triggered explicit-pulsed level converting flip-flop with a wide operation range}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {92--97}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749667}, doi = {10.1109/SOCC.2013.6749667}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenCWKYCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChiangHL13, author = {Shih{-}Chieh Fan Chiang and Po{-}Hsiang Hsu and Yi{-}Chang Lu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Light field data processor design for depth estimation using confidence-assisted disparities}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {129--133}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749673}, doi = {10.1109/SOCC.2013.6749673}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChiangHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChiuK13, author = {Po{-}Yen Chiu and Ming{-}Dou Ker}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Design of 2{\texttimes}VDD logic gates with only 1{\texttimes}VDD devices in nanoscale {CMOS} technology}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {33--36}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749656}, doi = {10.1109/SOCC.2013.6749656}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChiuK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChiuLYLCCKSLWH13, author = {Chun{-}Chieh Chiu and Chih{-}Hsing Lin and Chih{-}Chyau Yang and Yi{-}Jun Liu and Ssu{-}Ying Chen and Jin{-}Ju Chue and Chih{-}Ting Kuo and Gang{-}Neng Sung and Chun{-}Pin Lin and Chien{-}Ming Wu and Chun{-}Ming Huang}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Morpack Cube: {A} portable 3D heterogeneous system integration platform}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {197--202}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749687}, doi = {10.1109/SOCC.2013.6749687}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChiuLYLCCKSLWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Elgert13, author = {Carsten Elgert}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Plenary speaker: "The pig in the poke? - Strategies to avoid unpleasant surprises with {IP} on your SoC"}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {30}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749654}, doi = {10.1109/SOCC.2013.6749654}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Elgert13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FangJH13, author = {Yuan Fang and Ashok Jaiswal and Klaus Hofmann}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Low-power signal integrity trainings for multi-clock source-synchronous memory systems}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {319--324}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749709}, doi = {10.1109/SOCC.2013.6749709}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FangJH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FarahB13, author = {Salim Farah and Magdy A. Bayoumi}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A comprehensive operand-aware dynamic clock gating scheme for low-power Domino Logic}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {349--354}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749714}, doi = {10.1109/SOCC.2013.6749714}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FarahB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuoCGZ13, author = {Xiaolu Guo and Mario R. Casu and Mariagrazia Graziano and Maurizio Zamboni}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {{UWB} receiver for breast cancer detection: Comparison between two different approaches}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {55--60}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749660}, doi = {10.1109/SOCC.2013.6749660}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuoCGZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Herre13, author = {J{\"{u}}rgen Herre}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Banquet speaker: "The {MP3} story and more: Perceptual audio coding from its beginnings to the present"}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {139}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749675}, doi = {10.1109/SOCC.2013.6749675}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Herre13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HoHP13, author = {Tsung{-}Yi Ho and Juinn{-}Dar Huang and Paul Pop}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Tutorial: Digital microfluidic biochips: Towards hardware/software co-design and cyber-physical system integration}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {316--317}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749708}, doi = {10.1109/SOCC.2013.6749708}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HoHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangLLL13, author = {Yu{-}Long Huang and Chun{-}Shen Liu and Yu{-}Cheng Li and Yi{-}Chang Lu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Architecture and circuit design of parallel processing elements for de novo sequence assembly}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {50--54}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749659}, doi = {10.1109/SOCC.2013.6749659}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangLLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangYO13, author = {Yanqiu Huang and Wanli Yu and Alberto Garc{\'{\i}}a Ortiz}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {{PKF:} {A} communication cost reduction schema based on Kalman filter and data prediction for Wireless Sensor Networks}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {73--78}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749663}, doi = {10.1109/SOCC.2013.6749663}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangYO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Huffman13, author = {Bill Huffman}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Plenary speaker: "Processor-to-memory interface design methodologies for energy and performance efficiencies"}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {145}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749678}, doi = {10.1109/SOCC.2013.6749678}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Huffman13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HwangCC13, author = {Chorng{-}Sii Hwang and Ting{-}Li Chu and Po{-}Hsun Chen}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {DLL-based programmable clock multiplier using differential toggle-pulsed latch}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {239--243}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749694}, doi = {10.1109/SOCC.2013.6749694}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HwangCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JaiswalFNH13, author = {Ashok Jaiswal and Yuan Fang and Kashif Nawaz and Klaus Hofmann}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A wide range programmable duty cycle corrector}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {192--196}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749686}, doi = {10.1109/SOCC.2013.6749686}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/JaiswalFNH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JamalRB13, author = {Lafifa Jamal and Md. Mushfiqur Rahman and Hafiz Md. Hasan Babu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {An optimal design of a fault tolerant reversible multiplier}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {37--42}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749657}, doi = {10.1109/SOCC.2013.6749657}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JamalRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JungSYKHKCC13, author = {Seung Mo Jung and Jong Hyun Seok and Ho Jin Yoo and Do Hyung Kim and You Keun Han and Woo{-}Seop Kim and Joo{-}Sun Choi and Jun Dong Cho}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Noise immunity improvement in the {RESET} signal of {DDR3} {SDRAM} memory module}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {343--348}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749713}, doi = {10.1109/SOCC.2013.6749713}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JungSYKHKCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Kim13, author = {Taewhan Kim}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Tutorial: Methodology for designing reliable clock networks}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {141}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749676}, doi = {10.1109/SOCC.2013.6749676}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Kim13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimL13, author = {Young{-}Ho Kim and Sang{-}Soo Lee}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A 72dBO 11.43mA novel {CMOS} regulated cascode {TIA} for 3.125Gb/s optical communications}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {68--72}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749662}, doi = {10.1109/SOCC.2013.6749662}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KreySZRR13, author = {Martin Krey and Daniel Sabotta and Fabian Zahn and Karl{-}Ragmar Riemschneider and Rasmus Rettig}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Development of advanced diagnostic functions in very high volume automotive sensor applications}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {123--128}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749672}, doi = {10.1109/SOCC.2013.6749672}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KreySZRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KrishnamoorthyT13, author = {Prakash Krishnamoorthy and Ramesh C. Tekumalla}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Power aware transformation of bandlimited signals}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {178--183}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749684}, doi = {10.1109/SOCC.2013.6749684}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KrishnamoorthyT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KrishnamoorthyT13a, author = {Prakash Krishnamoorthy and Ramesh C. Tekumalla}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Quotient prediction for low power division}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {273--277}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749700}, doi = {10.1109/SOCC.2013.6749700}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KrishnamoorthyT13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KuoLL13, author = {Chun{-}Liang Kuo and Yang{-}Yao Lin and Yi{-}Chang Lu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Analysis and implementation of Discrete Wavelet Transform for compressing four-dimensional light field data}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {134--138}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749674}, doi = {10.1109/SOCC.2013.6749674}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KuoLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeeK13, author = {Byunghyun Lee and Taewhan Kim}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {High-level {TSV} resource sharing and optimization for {TSV} based 3D {IC} designs}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {153--158}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749680}, doi = {10.1109/SOCC.2013.6749680}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeeK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiaoLCCYCJHTHWKH13, author = {Wei{-}Nan Liao and Nan{-}Chun Lien and Chi{-}Shin Chang and Li{-}Wei Chu and Hao{-}I Yang and Ching{-}Te Chuang and Shyh{-}Jye Jou and Wei Hwang and Ming{-}Hsien Tu and Huan{-}Shun Huang and Jian{-}Hao Wang and Paul{-}Sen Kan and Yong{-}Jyun Hu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A 40nm 1.0Mb 6T pipeline {SRAM} with digital-based Bit-Line Under-Drive, Three-Step-Up Word-Line, Adaptive Data-Aware Write-Assist with {VCS} tracking and Adaptive Voltage Detector for boosting control}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {110--115}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749670}, doi = {10.1109/SOCC.2013.6749670}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiaoLCCYCJHTHWKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LienCW13, author = {Nan{-}Chun Lien and Ching{-}Te Chuang and Wen{-}Rong Wu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Method for resolving simultaneous same-row access in Dual-Port 8T {SRAM} with asynchronous dual-clock operation}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {105--109}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749669}, doi = {10.1109/SOCC.2013.6749669}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LienCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiuLH13, author = {Chia{-}Hung Liu and Kuang{-}Cheng Liu and Juinn{-}Dar Huang}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Latency-optimization synthesis with module selection for digital microfluidic biochips}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {159--164}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749681}, doi = {10.1109/SOCC.2013.6749681}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiuLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LuC13, author = {Chien{-}Yu Lu and Ching{-}Te Chuang}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A disturb-free subthreshold 9T {SRAM} cell with improved performance and variation tolerance}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {325--329}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749710}, doi = {10.1109/SOCC.2013.6749710}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LuC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MansoorYG13, author = {Naseef Mansoor and Manoj Prashanth Yuvaraj and Amlan Ganguly}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A robust medium access mechanism for millimeter-wave Wireless Network-on-Chip architecture}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {362--367}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749716}, doi = {10.1109/SOCC.2013.6749716}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MansoorYG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MaraghyHE13, author = {Mazen El Maraghy and Salma Hesham and Mohamed A. Abd El Ghany}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Real-time efficient {FPGA} implementation of aes algorithm}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {203--208}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749688}, doi = {10.1109/SOCC.2013.6749688}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/MaraghyHE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MarshallB13, author = {Andrew Marshall and Karan S. Bhatia}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Tutorial: The uncertain end to silicon}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {143}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749677}, doi = {10.1109/SOCC.2013.6749677}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MarshallB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Martino13, author = {Ronald M. Martino}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Keynote speaker: "The roadway to innovation"}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {29}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749653}, doi = {10.1109/SOCC.2013.6749653}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Martino13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MilosiuO13, author = {Heinrich Milosiu and Frank Oehler}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Sub-10 {\(\mathrm{\mu}\)}W {CMOS} wake-up receiver {IP} for green SoC designs}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {88--91}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749666}, doi = {10.1109/SOCC.2013.6749666}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MilosiuO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Monga13, author = {Sushrant Monga}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Adaptive driver with automatic sense and calibration in {CMOS} 40LP}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {83--86}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749665}, doi = {10.1109/SOCC.2013.6749665}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Monga13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Mosin13, author = {Sergey G. Mosin}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Design-for-testability automation of mixed-signal integrated circuits}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {244--249}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749695}, doi = {10.1109/SOCC.2013.6749695}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Mosin13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NasrallahLRDSBPSM13, author = {Olivier Nasrallah and Wolfram Luithardt and Daniel Rossier and Alberto Dassatti and Jerome Stadelmann and Xavier Blanc and Nuria Pazos and Florian Sauser and Serge Monnerat}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {SOSoC, a Linux framework for System Optimization using System on Chip}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {284--289}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749702}, doi = {10.1109/SOCC.2013.6749702}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NasrallahLRDSBPSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NguyenBPDT13, author = {Tung Nguyen and Duy{-}Hieu Bui and Hai{-}Phong Phan and Trong{-}Trinh Dang and Xuan{-}Tu Tran}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {High-performance adaption of {ARM} processors into Network-on-Chip architectures}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {222--227}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749691}, doi = {10.1109/SOCC.2013.6749691}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/NguyenBPDT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NguyenRR13, author = {Dung Nguyen and Kui Ren and Janet Roveda}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A new data acquisition design for breast cancer detection system}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {61--66}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749661}, doi = {10.1109/SOCC.2013.6749661}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NguyenRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/OchiOYWKTTMAKI13, author = {Hiroyuki Ochi and Toshihiko Ota and Ataru Yamaoka and Hiromasa Watanabe and Yohei Kondo and Nobuyuki Tokuda and Hiroyuki Taguchi and Taketoshi Matsumoto and Tomoki Akai and Hikaru Kobayashi and Shigeki Imai}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Sealed mask {ROM} wafer with 5 mm magnetic resonant coupling for long-term digital data preservation}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {262--266}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749698}, doi = {10.1109/SOCC.2013.6749698}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/OchiOYWKTTMAKI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/OneteO13, author = {Cristian E. Onete and Maria Cristina C. Onete}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Finding ground traces using the laplacian of the meshes of the associated graph}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {336--341}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749712}, doi = {10.1109/SOCC.2013.6749712}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/OneteO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Politz13, author = {Volker Politz}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Plenary speaker: "Visions of future SoC design: Why heterogeneous architectures and power matter"}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {31}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749655}, doi = {10.1109/SOCC.2013.6749655}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Politz13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RehanaTMK13, author = {Shani Rehana and Or Turgeman and Ran Manevich and Avinoam Kolodny}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {ViLoCoN - An ultra-lightweight lossless {VLSI} video codec}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {172--177}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749683}, doi = {10.1109/SOCC.2013.6749683}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RehanaTMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RovedaNPRF13, author = {Janet Roveda and Dung Nguyen and Linda S. Powers and Kui Ren and Jerrie Fairbanks}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Effective signal region based analog mixed signal design considering variations and applications}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {233--238}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749693}, doi = {10.1109/SOCC.2013.6749693}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RovedaNPRF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SalemEH13, author = {Ayman A. Salem and Mohamed A. Abd El{-}Ghany and Klaus Hofmann}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Coding algorithms for network on a chip}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {216--221}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749690}, doi = {10.1109/SOCC.2013.6749690}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SalemEH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SayyedLKR13, author = {Ali Sayyed and Luciano Lavagno and Shah Khalid and Najeeb Ur Rahman}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Implementation and performance analysis of variable latency adders}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {267--272}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749699}, doi = {10.1109/SOCC.2013.6749699}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SayyedLKR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Schuhmann13, author = {Norbert Schuhmann}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Message from conference general chair}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {4--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749645}, doi = {10.1109/SOCC.2013.6749645}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Schuhmann13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShenH13, author = {Lufei Shen and Klaus Hofmann}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Advanced clock schemes with dead time techniques for high voltage charge pumps}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {166--171}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749682}, doi = {10.1109/SOCC.2013.6749682}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShenH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Shi13, author = {Kaijian Shi}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Sleep transistor design in 28nm {CMOS} technology}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {278--283}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749701}, doi = {10.1109/SOCC.2013.6749701}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Shi13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShiN13, author = {Kaijian Shi and Nagi Naganathan}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Message from program chairs}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749646}, doi = {10.1109/SOCC.2013.6749646}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShiN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SpinnerFBMW13, author = {Jens Spinner and J{\"{u}}rgen Freudenberger and Christoph Baumhof and Axel Mehnert and Richard Willems}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A {BCH} decoding architecture with mixed parallelization degrees for flash controller applications}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {116--121}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749671}, doi = {10.1109/SOCC.2013.6749671}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SpinnerFBMW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Srinivasa13, author = {Prashanth Srinivasa}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Scalable system map library for address map and data integrity verification}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {250--255}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749696}, doi = {10.1109/SOCC.2013.6749696}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Srinivasa13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Suzuki13, author = {Goro Suzuki}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Multiple terminal reduction method}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {147--152}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749679}, doi = {10.1109/SOCC.2013.6749679}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Suzuki13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SwillamMA13, author = {Esraa Swillam and Kareem Madkour and Mohab Anis}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Layout regularity metric as a fast indicator of high variability circuits}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {43--48}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749658}, doi = {10.1109/SOCC.2013.6749658}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SwillamMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WehnerFGH13, author = {Philipp Wehner and Max Ferger and Diana G{\"{o}}hringer and Michael H{\"{u}}bner}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Rapid prototyping of a portable {HW/SW} co-design on the virtual zynq platform using SystemC}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {296--300}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749704}, doi = {10.1109/SOCC.2013.6749704}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WehnerFGH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WeiWTCH13, author = {Chun{-}Jen Wei and Yi{-}Yao Weng and Wen{-}Chung Tsai and Sao{-}Jie Chen and Yu Hen Hu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Novel time-multiplexing bidirectional on-chip network}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {210--215}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749689}, doi = {10.1109/SOCC.2013.6749689}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WeiWTCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuZ13, author = {Ruizhe Wu and Dan Zhao}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Integrated routing and channel arbitration in overlaid mesh WiNoC}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {368--373}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749717}, doi = {10.1109/SOCC.2013.6749717}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YouCT13, author = {Sheng{-}Kai You and Po{-}Hsuan Chang and Chia{-}Ming Tsai}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A 6Gb/s 40dB burst-mode digitally adaptive equalizer with reference-calibrated overshoot control}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {79--82}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749664}, doi = {10.1109/SOCC.2013.6749664}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YouCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Young13, author = {Jonathan Young}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Plenary speaker: "Power-centric timing optimization for low power {CPU} hardening"}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {229}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749692}, doi = {10.1109/SOCC.2013.6749692}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Young13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZoniTF13, author = {Davide Zoni and Federico Terraneo and William Fornaciari}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {An analytical, dynamic, power-performance router model for run-time NoC optimizations}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {290--295}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749703}, doi = {10.1109/SOCC.2013.6749703}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZoniTF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2013, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6745905/proceeding}, isbn = {978-1-4799-1166-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AbdelfattahGILAAKT12, author = {Moataz Abdelfattah and Maged Ghoneima and Yehea I. Ismail and Amr Lotfy and Mohamed Abdelsalam and Mohamed Abdel{-}moneum and Nasser A. Kurd and Greg Taylor}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A novel digital loop filter architecture for bang-bang {ADPLL}}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {45--50}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398378}, doi = {10.1109/SOCC.2012.6398378}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/AbdelfattahGILAAKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ArafaWFFMAD12, author = {Ahmed Arafa and Hend Wagieh and Rami Fathy Salem and John Ferguson and Doug Morgan and Mohab H. Anis and Mohamed Dessouky}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Schematic-driven physical verification: Fully automated solution for analog {IC} design}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {260--264}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398358}, doi = {10.1109/SOCC.2012.6398358}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ArafaWFFMAD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/CatanzaroK12, author = {Matthew Catanzaro and Dhireesha Kudithipudi}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Reconfigurable {RRAM} for {LUT} logic mapping: {A} case study for reliability enhancement}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {94--99}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398384}, doi = {10.1109/SOCC.2012.6398384}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/CatanzaroK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenCCH12, author = {Mei{-}Wei Chen and Ming{-}Hung Chang and Yuan{-}Hua Chu and Wei Hwang}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {An energy-efficient level converter with high thermal variation immunity for sub-threshold to super-threshold operation}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {5--10}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398368}, doi = {10.1109/SOCC.2012.6398368}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenCCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenW12, author = {Yiran Chen and Qing Wu}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Neuromorphic computing: {A} SoC scaling path for the next decades}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {290--291}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398364}, doi = {10.1109/SOCC.2012.6398364}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChiangHCCCCCTH12, author = {Tzu{-}Ting Chiang and Po{-}Tsang Huang and Ching{-}Te Chuang and Kuan{-}Neng Chen and Jin{-}Chern Chiou and Kuo{-}Hua Chen and Chi{-}Tsung Chiu and Ho{-}Ming Tong and Wei Hwang}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {On-chip self-calibrated process-temperature sensor for {TSV} 3D integration}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {370--375}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398338}, doi = {10.1109/SOCC.2012.6398338}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChiangHCCCCCTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChoLY12, author = {Yu{-}Hung Cho and Ing{-}Chao Lin and Yi{-}Ming Yang}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Aging-aware reliable multiplier design}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {322--327}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398335}, doi = {10.1109/SOCC.2012.6398335}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChoLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DobesMB12, author = {Josef Dobes and Jan M{\'{\i}}chal and Viera Biolkov{\'{a}}}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Multi-objective optimization of radio-frequency front-ends}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {192--197}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398346}, doi = {10.1109/SOCC.2012.6398346}, timestamp = {Thu, 01 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/DobesMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DuttaS12, author = {Aveek Dutta and Sanjiv Sambandan}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Limitations of integrating field induced aggregation based fault repair automatons with integrated circuits}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {100--103}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398385}, doi = {10.1109/SOCC.2012.6398385}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DuttaS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FahadSWSHS12, author = {Amal Fahad and Tolga Soyata and Tai Wang and Gaurav Sharma and Wendi B. Heinzelman and Kai Shen}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {{SOLARCAP:} Super capacitor buffering of solar energy for self-sustainable field systems}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {236--241}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398354}, doi = {10.1109/SOCC.2012.6398354}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FahadSWSHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FujiwaraYTNOKN12, author = {Hidehiro Fujiwara and Makoto Yabuuchi and Yasumasa Tsukamoto and Hirofumi Nakano and Toru Owada and Hiroyuki Kawai and Koji Nii}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A stable chip-ID generating physical uncloneable function using random address errors in {SRAM}}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {143--147}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398399}, doi = {10.1109/SOCC.2012.6398399}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FujiwaraYTNOKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GanSS12, author = {Zhihua Gan and Emre Salman and Milutin Stanacevic}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Methodology to determine dominant noise source in a system-on-chip based implantable device}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {115--119}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398394}, doi = {10.1109/SOCC.2012.6398394}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GanSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Geer12, author = {Robert E. Geer}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Plenary speaker: Connectivity driven systems: On-chip, off-chip and in-between}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {190--191}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398345}, doi = {10.1109/SOCC.2012.6398345}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Geer12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GomezM12, author = {Sergio G{\'{o}}mez and Francesc Moll}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Evaluation of layout design styles using a quality design metric}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {125--130}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398396}, doi = {10.1109/SOCC.2012.6398396}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GomezM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GongJCPS12, author = {Na Gong and Shixiong Jiang and Anoosha Challapalli and Manpinder Panesar and Ramalingam Sridhar}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Variation-and-aging aware low power embedded {SRAM} for multimedia applications}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {21--26}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398371}, doi = {10.1109/SOCC.2012.6398371}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GongJCPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GrinschglKSWBH12, author = {Johannes Grinschgl and Armin Krieg and Christian Steger and Reinhold Weiss and Holger Bock and Josef Haid}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Efficient fault emulation using automatic pre-injection memory access analysis}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {277--282}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398361}, doi = {10.1109/SOCC.2012.6398361}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GrinschglKSWBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Grisenthwaite12, author = {Richard Grisenthwaite}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Plenary speaker: Low power solutions for a smarter future}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {3}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398343}, doi = {10.1109/SOCC.2012.6398343}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Grisenthwaite12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GustavoGCS12, author = {Gustavo Patino Alvarez and Jorge Gonz{\'{a}}lez and Jiang Chau Wang and Marius Strum}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Workload and task characterization based on operation modes timing analysis}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {248--253}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398356}, doi = {10.1109/SOCC.2012.6398356}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GustavoGCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HaridyKHI12, author = {Omar Haridy and Harish Krishnamurthy and Amr Helmy and Yehea Ismail}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Synthesizable delay line architectures for digitally controlled voltage regulators}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {72--77}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398374}, doi = {10.1109/SOCC.2012.6398374}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HaridyKHI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HoelldampfLZOB12, author = {Stefan Hoelldampf and Hyun{-}Sek Lukas Lee and Daniel Zaum and Markus Olbrich and Erich Barke}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Efficient generation of analog circuit models for accelerated mixed-signal simulation}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {104--109}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398386}, doi = {10.1109/SOCC.2012.6398386}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HoelldampfLZOB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HsiehHLL12, author = {Hsien{-}Ching Hsieh and Po{-}Han Huang and Chi{-}Hung Lin and Huang{-}Lun Lin}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Stacking memory architecture exploration for three-dimensional integrated circuit in 3-D {PAC}}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {317--321}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398334}, doi = {10.1109/SOCC.2012.6398334}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HsiehHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangYWCLCCCLL12, author = {Chun{-}Ming Huang and Chih{-}Chyau Yang and Chien{-}Ming Wu and Chun{-}Chieh Chiu and Yi{-}Jun Liu and Chun{-}Chieh Chu and Nien{-}Hsiang Chang and Wen{-}Ching Chen and Chih{-}Hsing Lin and Hua{-}Hsin Luo}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A novel design flow for a 3D heterogeneous system prototyping platform}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {78--82}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398375}, doi = {10.1109/SOCC.2012.6398375}, timestamp = {Fri, 14 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangYWCLCCCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiangX12, author = {Bo Jiang and Tian Xia}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {{ADPLL} variables determinations based on phase noise, spur and locking time}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {39--44}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398377}, doi = {10.1109/SOCC.2012.6398377}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JiangX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadricMZ12, author = {Edin Kadric and Naraig Manjikian and Zeljko Zilic}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {An {FPGA} implementation for a high-speed optical link with a PCIe interface}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {83--87}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398376}, doi = {10.1109/SOCC.2012.6398376}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KadricMZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KamikuboWK12, author = {Yuki Kamikubo and Minoru Watanabe and Shoji Kawahito}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Gray-level image recognition on a dynamically reconfigurable vision architecture}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {61--65}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398381}, doi = {10.1109/SOCC.2012.6398381}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KamikuboWK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KaxirasR12, author = {Stefanos Kaxiras and Alberto Ros}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Efficient, snoopless, System-on-Chip coherence}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {230--235}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398353}, doi = {10.1109/SOCC.2012.6398353}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KaxirasR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KiaA12, author = {Hamed Sajjadi Kia and Cristinel Ababei}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Efficient high-speed current-mode links for network-on-chip performance optimization}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {153--158}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398387}, doi = {10.1109/SOCC.2012.6398387}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KiaA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimNKLKRK12, author = {Susie Kim and Seung{-}In Na and Tae{-}Hoon Kim and Hyunjoong Lee and Sunkwon Kim and Cyuyeol Rhee and Suhwan Kim}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Neural recording system with low-noise analog front-end and comparator-based cyclic {ADC}}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {110--114}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398393}, doi = {10.1109/SOCC.2012.6398393}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimNKLKRK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimZL12, author = {Yongtae Kim and Yong Zhang and Peng Li}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A digital neuromorphic {VLSI} architecture with memristor crossbar synaptic array for machine learning}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {328--333}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398336}, doi = {10.1109/SOCC.2012.6398336}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimZL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LaiLC12, author = {Bi{-}Ting Lai and Tai{-}Hung Li and Tai{-}Chen Chen}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Native-conflict-avoiding track routing for double patterning technology}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {381--386}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398340}, doi = {10.1109/SOCC.2012.6398340}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LaiLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Li12, author = {Hai Li}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Memristor in neuromorphic computing}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {294}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398367}, doi = {10.1109/SOCC.2012.6398367}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Li12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinYHLCCHLLSWLH12, author = {Yung{-}Wei Lin and Hao{-}I Yang and Mao{-}Chih Hsia and Yi{-}Wei Lin and Chien{-}Hen Chen and Ching{-}Te Chuang and Wei Hwang and Nan{-}Chun Lien and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A 55nm 0.5V 128Kb cross-point 8T {SRAM} with data-aware dynamic supply Write-assist}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {218--223}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398351}, doi = {10.1109/SOCC.2012.6398351}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinYHLCCHLLSWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiuZ12, author = {Jiangjiang Liu and Jianyong Zhang}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Interconnect compression and its benefits for multi-core systems}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {165--170}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398389}, doi = {10.1109/SOCC.2012.6398389}, timestamp = {Tue, 10 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiuZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LondonoG12, author = {Sebastian M. Londono and Jos{\'{e}} Pineda de Gyvez}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A better-than-worst-case circuit design methodology using timing-error speculation and frequency adaptation}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {15--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398370}, doi = {10.1109/SOCC.2012.6398370}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LondonoG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LuCMS12, author = {Ye Lu and Changlin Chen and John V. McCanny and Sakir Sezer}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Design of interlock-free combined allocators for Networks-on-Chip}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {358--363}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398332}, doi = {10.1109/SOCC.2012.6398332}, timestamp = {Fri, 02 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LuCMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Marshall12, author = {Andrew Marshall}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Invited talk: Noise and mismatch in sub 28nm silicon processes}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {88--93}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398383}, doi = {10.1109/SOCC.2012.6398383}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Marshall12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MarvastiS12, author = {M. Binesh Marvasti and Ted H. Szymanski}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A power-area analysis of NoCs in FPGAs}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {295--300}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398325}, doi = {10.1109/SOCC.2012.6398325}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MarvastiS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MerkelKK12, author = {Cory E. Merkel and Dhireesha Kudithipudi and Andres Kwasinski}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Lightweight energy prediction framework for solar-powered wireless sensor networks}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {131--136}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398397}, doi = {10.1109/SOCC.2012.6398397}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MerkelKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Meyerson12, author = {Bernard S. Meyerson}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Keynote speaker: Driving innovation in the "post-silicon" world}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {1--2}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398342}, doi = {10.1109/SOCC.2012.6398342}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Meyerson12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MountrichasLS12, author = {Lampros Mountrichas and Th. Laopoulos and Stilianos Siskos}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A 1.7GS/s 6-bit Flash {A/D} converter with distributed offset cancelling sample-and-hold}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {51--56}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398379}, doi = {10.1109/SOCC.2012.6398379}, timestamp = {Sun, 03 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MountrichasLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MurrayPS12, author = {Jacob Murray and Partha Pratim Pande and Behrooz A. Shirazi}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {DVFS-enabled sustainable wireless NoC architecture}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {301--306}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398326}, doi = {10.1109/SOCC.2012.6398326}, timestamp = {Fri, 29 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MurrayPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MykytaPSRL12, author = {Alexander Mykyta and Dorin Patru and Eli Saber and Gene Roylance and Brad Larson}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Reconfigurable framework for high-bandwidth stream-oriented data processing}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {177--183}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398391}, doi = {10.1109/SOCC.2012.6398391}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MykytaPSRL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/OtsugaOIIMIY12, author = {Kazuo Otsuga and Masafumi Onouchi and Yasuto Igarashi and Toyohito Ikeya and Sadayuki Morita and Koichiro Ishibashi and Kazumasa Yanagisawa}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {An on-chip 250 mA 40 nm {CMOS} digital {LDO} using dynamic sampling clock frequency scaling with offset-free TDC-based voltage sensor}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {11--14}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398369}, doi = {10.1109/SOCC.2012.6398369}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/OtsugaOIIMIY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PanicBHPVT12, author = {Goran Panic and Thomas Basmer and Schomann Henry and Steffen Peter and Frank Vater and Klaus Tittelbach{-}Helmrich}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Design of a sensor node crypto processor for {IEEE} 802.15.4 applications}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {213--217}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398350}, doi = {10.1109/SOCC.2012.6398350}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PanicBHPVT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PatelIF12, author = {Ravi Patel and Engin Ipek and Eby G. Friedman}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {{STT-MRAM} memory cells with enhanced on/off ratio}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {148--152}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398400}, doi = {10.1109/SOCC.2012.6398400}, timestamp = {Mon, 07 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PatelIF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Perez-PuigdemontCM12, author = {Jordi Perez{-}Puigdemont and Antonio Calomarde and Francesc Moll}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Variation tolerant self-adaptive clock generation architecture based on a ring oscillator}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {387--392}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398341}, doi = {10.1109/SOCC.2012.6398341}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Perez-PuigdemontCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PoddarGMSR12, author = {Soumyajit Poddar and Prasun Ghosal and Priyajit Mukherjee and Suman Samui and Hafizur Rahaman}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Design of an NoC with on-chip photonic interconnects using adaptive {CDMA} links}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {352--357}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398331}, doi = {10.1109/SOCC.2012.6398331}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/PoddarGMSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Qiu12, author = {Qinru Qiu}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A massive parallel neuromorphic computing model for intelligent text recognition}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {293}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398366}, doi = {10.1109/SOCC.2012.6398366}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Qiu12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RaghebM12, author = {Tamer Ragheb and Andrew Marshall}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Calibration of propagation delay of flip-flops}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {376--380}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398339}, doi = {10.1109/SOCC.2012.6398339}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RaghebM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RenGN12, author = {Yuan Ren and Michael Gansen and Tobias G. Noll}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Low power 6T-SRAM with tree address decoder using a new equalizer precharge scheme}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {224--229}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398352}, doi = {10.1109/SOCC.2012.6398352}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RenGN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Rose12, author = {Garrett S. Rose}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Exploiting memristive device behavior for emerging digital logic and memory applications}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {292}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398365}, doi = {10.1109/SOCC.2012.6398365}, timestamp = {Fri, 29 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Rose12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RyanMSS12, author = {Christopher Ryan and Kris Monsen and Scott Smith and Henry So}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Multi-Clock {DFT} architecture for interface characterization and power}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {265--270}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398359}, doi = {10.1109/SOCC.2012.6398359}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/RyanMSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SadiS12, author = {Mehdi Sadi and Mircea Stan}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Design of near threshold All Digital Delay Locked Loops}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {137--142}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398398}, doi = {10.1109/SOCC.2012.6398398}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/SadiS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SatheeshS12, author = {Suhas M. Satheesh and Emre Salman}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Design space exploration for robust power delivery in {TSV} based 3-D systems-on-chip}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {307--311}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398327}, doi = {10.1109/SOCC.2012.6398327}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SatheeshS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SeoIYOABK12, author = {Jin{-}Cheol Seo and Sang{-}Soon Im and Kwan{-}Hee Yoon and Seung{-}Wook Oh and Taek{-}Joon An and Gi{-}Yeol Bae and Jin{-}Ku Kang}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A 1.62/2.7/5.4Gbps clock and data recovery circuit for DisplayPort 1.2}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {57--60}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398380}, doi = {10.1109/SOCC.2012.6398380}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SeoIYOABK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShakirS12, author = {Tahseen Shakir and Manoj Sachdev}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A read-assist write-back voltage sense amplifier for low voltage-operated SRAMs}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {66--71}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398382}, doi = {10.1109/SOCC.2012.6398382}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShakirS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SheshadriAA12, author = {Vijay Sheshadri and Vishwani D. Agrawal and Prathima Agrawal}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Optimal power-constrained SoC test schedules with customizable clock rates}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {271--276}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398360}, doi = {10.1109/SOCC.2012.6398360}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SheshadriAA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SoyataL12, author = {Tolga Soyata and John C. Liobe}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {pbCAM: Probabilistically-banked Content Addressable Memory}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {27--32}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398372}, doi = {10.1109/SOCC.2012.6398372}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SoyataL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SudalaiyandiVHNL12, author = {Shanthi Sudalaiyandi and Tuan Anh Vu and H{\aa}kon A. Hjortland and {\O}ivind N{\ae}ss and Tor Sverre Lande}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Continuous-time single-symbol {IR-UWB} symbol detection}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {198--201}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398347}, doi = {10.1109/SOCC.2012.6398347}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SudalaiyandiVHNL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Sur-Kolay12, author = {Susmita Sur{-}Kolay}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Intellectual property protection and security of SoCs - An embedded tutorial}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {289}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398363}, doi = {10.1109/SOCC.2012.6398363}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Sur-Kolay12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ToalSBXF12, author = {Ciaran Toal and Sakir Sezer and Dwayne Burns and Pei Xiao and Vincent F. Fusco}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A 1Gbps FPGA-based wireless baseband {MIMO} transceiver}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {202--207}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398348}, doi = {10.1109/SOCC.2012.6398348}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ToalSBXF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/VishnoiMN12, author = {Upasna Vishnoi and Michael Meixner and Tobias G. Noll}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {An approach for quantitative optimization of highly efficient dedicated {CORDIC} macros as SoC building blocks}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {242--247}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398355}, doi = {10.1109/SOCC.2012.6398355}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/VishnoiMN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WachterM12, author = {Eduardo Weber W{\"{a}}chter and Fernando Gehm Moraes}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {{MAZENOC:} Novel approach for fault-tolerant {NOC} routing}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {364--369}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398333}, doi = {10.1109/SOCC.2012.6398333}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WachterM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangWQ12, author = {Gang Wang and Jian Wang and Zi{-}Chu Qi}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A testability-aware low power architecture}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {184--189}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398392}, doi = {10.1109/SOCC.2012.6398392}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangWQ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuTSKYK12, author = {Yuejian Wu and Sandy Thomson and Han Sun and David Krause and Song Yu and George Kurio}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {"Free" Razor: {A} novel adaptive voltage scaling low power technique for data path SoC designs}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {33--38}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398373}, doi = {10.1109/SOCC.2012.6398373}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuTSKYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XiaW12, author = {Tian Xia and Guoan Wang}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A scalable electrical characterization method for inter-strata interconnects in 3-D ICs}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {312--316}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398328}, doi = {10.1109/SOCC.2012.6398328}, timestamp = {Wed, 22 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XiaW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XieML12, author = {Tao Xie and Wolfgang M{\"{u}}ller and Florian Letombe}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Mutation-analysis driven functional verification of a soft microprocessor}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {283--288}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398362}, doi = {10.1109/SOCC.2012.6398362}, timestamp = {Thu, 30 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/XieML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YanC12, author = {Jin{-}Tai Yan and Zhi{-}Wei Chen}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Direction-constrained layer assignment for rectangle escape routing}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {254--259}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398357}, doi = {10.1109/SOCC.2012.6398357}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YanC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangS12, author = {Xin Yang and Sakir Sezer}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Implementation of a network flow lookup circuit for next-generation packet classifiers}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {208--212}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398349}, doi = {10.1109/SOCC.2012.6398349}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Yavatkar12, author = {Raj Yavatkar}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Plenary speaker: Era of SoCs: What is next?}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398344}, doi = {10.1109/SOCC.2012.6398344}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Yavatkar12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YinDHL12, author = {Hang Yin and Weitao Du and Yu Hen Hu and Rui Lv}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A novel flexible foldable systolic architecture {FIR} filters generator}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {334--339}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398337}, doi = {10.1109/SOCC.2012.6398337}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YinDHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YoonCC12, author = {Young{-}Jin Yoon and Nicola Concer and Luca P. Carloni}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Ventti: {A} vertically integrated framework for simulation and optimization of networks-on-Chip}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {171--176}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398390}, doi = {10.1109/SOCC.2012.6398390}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YoonCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhangL12, author = {Jun Zhang and Huihua Liu}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A wide tuning range {QCCO} based on {CMOS} active inductors}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {120--124}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398395}, doi = {10.1109/SOCC.2012.6398395}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhangL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhaoW12, author = {Dan Zhao and Yi Wang}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Design of a scalable {RF} microarchitecture for heterogeneous MPSoCs}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {346--351}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398330}, doi = {10.1109/SOCC.2012.6398330}, timestamp = {Wed, 28 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ZhaoW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhengB12, author = {Li Zheng and Muhannad S. Bakir}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Electrical and fluidic microbumps and interconnects for 3D-IC and silicon interposer}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {159--164}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398388}, doi = {10.1109/SOCC.2012.6398388}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhengB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZoniF12, author = {Davide Zoni and William Fornaciari}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A sensor-less {NBTI} mitigation methodology for NoC architectures}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {340--345}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398329}, doi = {10.1109/SOCC.2012.6398329}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ZoniF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2012, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6387373/proceeding}, isbn = {978-1-4673-1294-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Abraham11, author = {Jacob A. Abraham}, title = {Tutorial: "Manufacturing test of systems-on-a-chip (SoCs)"}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {272}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085148}, doi = {10.1109/SOCC.2011.6085148}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/Abraham11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ArumugamSBV11, author = {Thianantha Arumugam and Sakir Sezer and Dwayne Burns and Vishalini Vasu}, title = {High performance multi-engine regular expression processing}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {347--352}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085117}, doi = {10.1109/SOCC.2011.6085117}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ArumugamSBV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AungLS11, author = {Yan Lin Aung and Siew Kei Lam and Thambipillai Srikanthan}, title = {Compiler-assisted technique for rapid performance estimation of FPGA-based processors}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {341--346}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085116}, doi = {10.1109/SOCC.2011.6085116}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AungLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BrandstatterH11, author = {Siegfried Brandst{\"{a}}tter and Mario Huemer}, title = {{VFSMC} - a core for cycle accurate multithreaded processing in hard real-time Systems-on-Chip}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {312--317}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085111}, doi = {10.1109/SOCC.2011.6085111}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BrandstatterH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Burns11, author = {Jeffrey L. Burns}, title = {Technology trends and implications on SoC design}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {386}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085094}, doi = {10.1109/SOCC.2011.6085094}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Burns11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/CaoB11, author = {Yuan Cao and Amine Bermak}, title = {An analog gamma correction method for high dynamic range applications}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {318--322}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085112}, doi = {10.1109/SOCC.2011.6085112}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/CaoB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Chakrabarty11, author = {Krishnendu Chakrabarty}, title = {Design and optimization methods for digital microfluidic biochips: {A} vision for functional diversity and more than moore}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085141}, doi = {10.1109/SOCC.2011.6085141}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Chakrabarty11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChangJ11, author = {Mu{-}Tien Chang and Bruce L. Jacob}, title = {An analytical model to estimate {PCM} failure probability due to process variations}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {174--177}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085128}, doi = {10.1109/SOCC.2011.6085128}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChangJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChaoCT11, author = {An{-}Sheng Chao and Soon{-}Jyh Chang and Hsin{-}Wen Ting}, title = {A {SAR} {ADC} {BIST} for simplified linearity test}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {146--149}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085122}, doi = {10.1109/SOCC.2011.6085122}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChaoCT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChaoYLW11, author = {Chih{-}Hao Chao and Tsu{-}Chu Yin and Shu{-}Yen Lin and An{-}Yeu Wu}, title = {Transport Layer Assisted Routing for Non-Stationary Irregular mesh of thermal-aware 3D Network-on-Chip systems}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {284--289}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085086}, doi = {10.1109/SOCC.2011.6085086}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChaoYLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenCL11, author = {Yi{-}Rong Chen and Hung{-}Ming Chen and Shih{-}Ying Liu}, title = {TSV-based 3D-IC placement for timing optimization}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {290--295}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085087}, doi = {10.1109/SOCC.2011.6085087}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenWHL11, author = {Yen{-}An Chen and Chun{-}Yao Wang and Ching{-}Yi Huang and Hsiu{-}Yi Lin}, title = {A register-transfer level testability analyzer}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {219--224}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085107}, doi = {10.1109/SOCC.2011.6085107}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenWHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChengHLL11, author = {Chang{-}Hsin Cheng and Chun{-}Lung Hsu and Chung{-}Kai Liu and Shih{-}Yin Lin}, title = {High reliability built-in self-detection and self-correction design for {DCT/IDCT} application}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {213--218}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085106}, doi = {10.1109/SOCC.2011.6085106}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChengHLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Chiras11, author = {Stefanie Chiras}, title = {The pending arrival of Phase Change Memory: The implications on the memory-storage hierarchy and on future systems development}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {387}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085095}, doi = {10.1109/SOCC.2011.6085095}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Chiras11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Chiueh11, author = {Tzi{-}Dar Chiueh}, title = {Baseband signal processing in {SDR}}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {258}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085144}, doi = {10.1109/SOCC.2011.6085144}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Chiueh11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/CuiPCZLZG11, author = {Ying Cui and Xiao Peng and Zhixiang Chen and Xiongxin Zhao and Yichao Lu and Dajiang Zhou and Satoshi Goto}, title = {Ultra low power {QC-LDPC} decoder with high parallelism}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {142--145}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085136}, doi = {10.1109/SOCC.2011.6085136}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/CuiPCZLZG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DeivasigamaniTMIALJ11, author = {Meganathan Deivasigamani and Shaghayeghsadat Tabatabaei and Naveed Ul Mustafa and Hamza Ijaz and Haris Bin Aslam and Shaoteng Liu and Axel Jantsch}, title = {Concept and design of exhaustive-parallel search algorithm for Network-on-Chip}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {150--155}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085123}, doi = {10.1109/SOCC.2011.6085123}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DeivasigamaniTMIALJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DengLR11, author = {Shin{-}Liang Deng and Chun{-}Yi Li and Robert Rieger}, title = {Double-differential recording and {AGC} using amplifier {ASIC}}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {105--108}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085121}, doi = {10.1109/SOCC.2011.6085121}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DengLR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DeyB11, author = {Abhisek Dey and Tarun Kanti Bhattacharyya}, title = {Low power 120 {KSPS} 12bit {SAR} {ADC} with a novel switch control method for internal {CDAC}}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {76--80}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085099}, doi = {10.1109/SOCC.2011.6085099}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DeyB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DuCYH11, author = {Wei{-}Hung Du and Ming{-}Hung Chang and Hao{-}Yi Yang and Wei Hwang}, title = {An energy-efficient 10T SRAM-based {FIFO} memory operating in near-/sub-threshold regions}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {19--23}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085069}, doi = {10.1109/SOCC.2011.6085069}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DuCYH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FischerR11, author = {Godi Fischer and H. Thomas Rossby}, title = {A silicon core for an acoustic archival tag}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {63--69}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085097}, doi = {10.1109/SOCC.2011.6085097}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FischerR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GongTWS11, author = {Na Gong and Geng Tang and Jinhui Wang and Ramalingam Sridhar}, title = {Novel adaptive keeper {LBL} technique for low power and high performance register files}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {30--35}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085071}, doi = {10.1109/SOCC.2011.6085071}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GongTWS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GongTWS11a, author = {Na Gong and Geng Tang and Jinhui Wang and Ramalingam Sridhar}, title = {Low power tri-state register files design for modern out-of-order processors}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {323--328}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085113}, doi = {10.1109/SOCC.2011.6085113}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GongTWS11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GongWS11, author = {Na Gong and Jinhui Wang and Ramalingam Sridhar}, title = {{PVT} variations aware optimal sleep vector determination of dual {VT} domino {OR} circuits}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {359--364}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085092}, doi = {10.1109/SOCC.2011.6085092}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GongWS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuderianFWF11, author = {Falko Guderian and Erik Fischer and Markus Winter and Gerhard P. Fettweis}, title = {Fair rate packet arbitration in Network-on-Chip}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {278--283}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085085}, doi = {10.1109/SOCC.2011.6085085}, timestamp = {Wed, 15 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GuderianFWF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuoYZWT11, author = {Liucheng Guo and Jiangfang Yi and Liang Zhang and Xiaoyin Wang and Dong Tong}, title = {{CGA:} Combining cluster analysis with genetic algorithm for regression suite reduction of microprocessors}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {207--212}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085105}, doi = {10.1109/SOCC.2011.6085105}, timestamp = {Mon, 15 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/GuoYZWT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HsiehYLLC11, author = {Wen{-}Tsan Hsieh and Jen{-}Chieh Yeh and Shih{-}Che Lin and Hsing{-}Chuang Liu and Yi{-}Siou Chen}, title = {System power analysis with {DVFS} on {ESL} virtual platform}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {93--98}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085102}, doi = {10.1109/SOCC.2011.6085102}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HsiehYLLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HsinCCLW11, author = {Hsien{-}Kai Hsin and En{-}Jui Chang and Chih{-}Hao Chao and Shu{-}Yen Lin and An{-}Yeu Wu}, title = {Multi-Pheromone ACO-based routing in Network-on-Chip system inspired by economic phenomenon}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {273--277}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085084}, doi = {10.1109/SOCC.2011.6085084}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HsinCCLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HsuKKT11, author = {Jen{-}Yuan Hsu and Chien{-}Yu Kao and Ping{-}Heng Kuo and Pangan Ting}, title = {Configurable baseband designs and implementations of WiMAX/LTE dual systems based on multi-core {DSP}}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {265--271}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085146}, doi = {10.1109/SOCC.2011.6085146}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HsuKKT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangCH11, author = {Po{-}Tsang Huang and Yung Chang and Wei Hwang}, title = {On-demand memory sub-system for multi-core SoCs}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {122--127}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085132}, doi = {10.1109/SOCC.2011.6085132}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangCLW11, author = {Chien{-}Chih Huang and Jwu{-}E Chen and Pei{-}Wen Luo and Chin{-}Long Wey}, title = {Yield-award placement optimization for Switched-Capacitor analog integrated circuits}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {170--173}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085127}, doi = {10.1109/SOCC.2011.6085127}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangCLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangLCH11, author = {Tsung{-}Wei Huang and Yan{-}You Lin and Jia{-}Wen Chang and Tsung{-}Yi Ho}, title = {Recent research and emerging challenges in design and optimization for digital microfluidic biochips}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {12--17}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085143}, doi = {10.1109/SOCC.2011.6085143}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangLCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Intrater11, author = {Gideon D. Intrater}, title = {Plenary: Boosting performance efficiency in multiprocessor systems through multi-threading}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {178}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085140}, doi = {10.1109/SOCC.2011.6085140}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Intrater11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiaF11, author = {Xiaoyan Jia and Gerhard P. Fettweis}, title = {Integration of code optimization and hardware exploration for a {VLIW} architecture by using fuzzy control system}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {36--41}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085072}, doi = {10.1109/SOCC.2011.6085072}, timestamp = {Wed, 15 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/JiaF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JiaoK11, author = {Hailong Jiao and Volkan Kursun}, title = {Sleep signal slew rate modulation for mode transition noise suppression in ground gated integrated circuits}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {365--370}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085093}, doi = {10.1109/SOCC.2011.6085093}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JiaoK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KaushikSS11, author = {Samarth Kaushik and Amit Kumar Singh and Thambipillai Srikanthan}, title = {Computation and communication aware run-time mapping for NoC-based MPSoC platforms}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {185--190}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085078}, doi = {10.1109/SOCC.2011.6085078}, timestamp = {Mon, 14 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KaushikSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KawashimaOTS11, author = {Junya Kawashima and Hiroyuki Ochi and Hiroshi Tsutsui and Takashi Sato}, title = {A design strategy for sub-threshold circuits considering energy-minimization and yield-maximization}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {57--62}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085076}, doi = {10.1109/SOCC.2011.6085076}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KawashimaOTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KoppulaBS11, author = {Rajaram Mohan Roy Koppula and Sakkarapani Balagopal and Vishal Saxena}, title = {Efficient design and synthesis of decimation filters for wideband delta-sigma ADCs}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {380--385}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085120}, doi = {10.1109/SOCC.2011.6085120}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KoppulaBS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KuoSZ11, author = {Po{-}Yu Kuo and Siwat Saibua and Dian Zhou}, title = {A novel approach to estimate the impact of analog circuit performance based on the small signal model under process variations}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {70--75}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085098}, doi = {10.1109/SOCC.2011.6085098}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KuoSZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Lee11, author = {Jri Lee}, title = {Tutorial: "Design of high-speed wireline transceivers"}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {353}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085149}, doi = {10.1109/SOCC.2011.6085149}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Lee11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinS11, author = {Yi{-}Li Lin and Alvin W. Y. Su}, title = {Functional verifications for SoC software/hardware co-design: From virtual platform to physical platform}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {201--206}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085104}, doi = {10.1109/SOCC.2011.6085104}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LuMS11, author = {Ye Lu and John V. McCanny and Sakir Sezer}, title = {Exploring Virtual-Channel architecture in {FPGA} based Networks-on-Chip}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {302--307}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085089}, doi = {10.1109/SOCC.2011.6085089}, timestamp = {Fri, 02 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LuMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MajiM11, author = {Supriyo Maji and Pradip Mandal}, title = {A {CAD} methodology for automatic topology selection {\&} sizing}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {87--92}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085101}, doi = {10.1109/SOCC.2011.6085101}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MajiM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MauricioMA11, author = {Joan Mauricio and Francesc Moll and Josep Altet}, title = {Monitor strategies for variability reduction considering correlation between power and timing variability}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {225--230}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085081}, doi = {10.1109/SOCC.2011.6085081}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MauricioMA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MesgarzadehZA11, author = {Behzad Mesgarzadeh and Iman Esmaeil Zadeh and Atila Alvandpour}, title = {A multi-segment clocking scheme to reduce on-chip {EMI}}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {251--255}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085110}, doi = {10.1109/SOCC.2011.6085110}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MesgarzadehZA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MezhibovskyTF11, author = {Janna Mezhibovsky and Adam Teman and Alexander Fish}, title = {Low voltage SRAMs and the scalability of the 9T Supply Feedback {SRAM}}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {136--141}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085135}, doi = {10.1109/SOCC.2011.6085135}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MezhibovskyTF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MoreiraOPC11, author = {Matheus T. Moreira and Bruno Cruz de Oliveira and Julian J. H. Pontes and Ney Calazans}, title = {A 65nm standard cell set and flow dedicated to automated asynchronous circuits design}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {99--104}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085103}, doi = {10.1109/SOCC.2011.6085103}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MoreiraOPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/MouMYMT11, author = {Shouxian Mou and Kaixue Ma and Kiat Seng Yeo and Nagarajan Mahalingam and Bharatha Kumar Thangarasu}, title = {A low power wide tuning range {VCO} with coupled {LC} tanks}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {52--56}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085075}, doi = {10.1109/SOCC.2011.6085075}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/MouMYMT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NaqviDKB11, author = {Syed R. Naqvi and Ilker Deligoz and Sayfe Kiaei and Bertan Bakkaloglu}, title = {Dynamic calibration of feedback {DAC} non-linearity for a 4\({}^{\mbox{th}}\) order {CT} sigma delta for digital hearing aids}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {109--113}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085129}, doi = {10.1109/SOCC.2011.6085129}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NaqviDKB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PandaAV11, author = {Amayika Panda and Annie Avakian and Ranga Vemuri}, title = {Configurable workload generators for multicore architectures}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {179--184}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085077}, doi = {10.1109/SOCC.2011.6085077}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PandaAV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PonsMRAVG11, author = {Marc Pons and Francesc Moll and Antonio Rubio and Jaume Abella and Xavier Vera and Antonio Gonz{\'{a}}lez}, title = {Design of complex circuits using the Via-Configurable transistor array regular layout fabric}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {166--169}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085126}, doi = {10.1109/SOCC.2011.6085126}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PonsMRAVG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PopMM11, author = {Paul Pop and Elena Maftei and Jan Madsen}, title = {Recent research and emerging challenges in the System-Level Design of digital microfluidic biochips}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {6--11}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085142}, doi = {10.1109/SOCC.2011.6085142}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/PopMM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/PrakashLCS11, author = {Alok Prakash and Siew Kei Lam and Christopher T. Clarke and Thambipillai Srikanthan}, title = {Instruction set customization for area-constrained {FPGA} designs}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {329--334}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085114}, doi = {10.1109/SOCC.2011.6085114}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/PrakashLCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/RashedEI11, author = {Maher Rashed and Mohamed A. Abd El{-}Ghany and Mohammed Ismail}, title = {Power characteristics of Asynchronous Networks-on-Chip}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {160--165}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085125}, doi = {10.1109/SOCC.2011.6085125}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/RashedEI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SalemAHEEDNA11, author = {Rami F. Salem and Ahmed Arafa and Sherif Hany and Abdelrahman ElMously and Haitham Eissa and Mohamed Dessouky and David Nairn and Mohab H. Anis}, title = {A parametric {DFM} solution for analog circuits: Electrical driven hot spot detection, analysis and correction flow}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {231--236}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085082}, doi = {10.1109/SOCC.2011.6085082}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SalemAHEEDNA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SanusiB11, author = {Azeez Sanusi and Magdy A. Bayoumi}, title = {De-Cache: {A} novel caching scheme for large-scale NoC based multiprocessor systems-on-chips}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {191--196}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085079}, doi = {10.1109/SOCC.2011.6085079}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SanusiB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShiT11, author = {Kaijian Shi and David Tester}, title = {Well tapping methodologies in power-gating design}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {128--131}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085133}, doi = {10.1109/SOCC.2011.6085133}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShiT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShiehFTY11, author = {Ming{-}Der Shieh and Shih{-}Hao Fang and Shing{-}Chung Tang and Der{-}Wei Yang}, title = {{VLSI} design of area-efficient memory access architectures for quasi-cyclic {LDPC} codes}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {242--246}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085108}, doi = {10.1109/SOCC.2011.6085108}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShiehFTY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShihCSTL11, author = {Tzu{-}Chun Shih and Tsan{-}Wen Chen and Wei{-}Hao Sung and Ping{-}Yuan Tsai and Chen{-}Yi Lee}, title = {An energy-efficient OFDM-based baseband transceiver design for ubiquitous healthcare monitoring applications}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {371--375}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085118}, doi = {10.1109/SOCC.2011.6085118}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShihCSTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShinDLALNH11, author = {Jeonghee Shin and John A. Darringer and Guojie Luo and Merav Aharoni and Alexey Lvov and Gi{-}Joon Nam and Michael B. Healy}, title = {Floorplanning challenges in early chip planning}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {388--393}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085096}, doi = {10.1109/SOCC.2011.6085096}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShinDLALNH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SinghF11, author = {Virendra Singh and Masahiro Fujita}, title = {Tutorial: "Post silicon debug of {SOC} designs"}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {18}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085147}, doi = {10.1109/SOCC.2011.6085147}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SinghF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SuHLCSC11, author = {Yi{-}Pei Su and Wei{-}Yi Hu and Jia{-}Wei Lin and Yun{-}Chung Chen and Sakir Sezer and Sao{-}Jie Chen}, title = {Low power Gm-boosted differential Colpitts {VCO}}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {247--250}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085109}, doi = {10.1109/SOCC.2011.6085109}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/SuHLCSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TaiNK11, author = {Harry Tai and Peter Noel and Tad A. Kwasniewski}, title = {A reduced signal feed-through 6-tap pre-emphasis circuit for use in a 10GB/S backplane communications system}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {114--117}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085130}, doi = {10.1109/SOCC.2011.6085130}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TaiNK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ThirugnanamH11, author = {Rajesh Thirugnanam and Dong Sam Ha}, title = {Feasibility study for communication over Power Distribution Networks of microprocessors}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {118--121}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085131}, doi = {10.1109/SOCC.2011.6085131}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ThirugnanamH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ThomasRB11, author = {Alexander Thomas and Michael R{\"{u}}ckauer and J{\"{u}}rgen Becker}, title = {HoneyComb: {A} multi-grained dynamically reconfigurable runtime adaptive hardware architecture}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {335--340}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085115}, doi = {10.1109/SOCC.2011.6085115}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ThomasRB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TsaiCL11, author = {Ping{-}Yuan Tsai and Tsan{-}Wen Chen and Chen{-}Yi Lee}, title = {A low-power all-digital phase modulator pair for {LINC} transmitters}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {48--51}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085074}, doi = {10.1109/SOCC.2011.6085074}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TsaiCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TuLH11, author = {Wen{-}Pin Tu and Yen{-}Hsin Lee and Shih{-}Hsu Huang}, title = {{TSV} sharing through multiplexing for {TSV} count minimization in high-level synthesis}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {156--159}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085124}, doi = {10.1109/SOCC.2011.6085124}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/TuLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Wang11, author = {Laung{-}Terng Wang}, title = {Luncheon Speaker: "Introduction to SoC testing"}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {256--257}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085153}, doi = {10.1109/SOCC.2011.6085153}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Wang11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangOBBBP11, author = {Lei Wang and Markus Olbrich and Erich Barke and Thomas B{\"{u}}chner and Markus B{\"{u}}hler and Philipp V. Panitz}, title = {A gate sizing method for glitch power reduction}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {24--29}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085070}, doi = {10.1109/SOCC.2011.6085070}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangOBBBP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangYSH11, author = {Yi{-}Ming Wang and Jen{-}Tsung Yu and Yuandi Surya and Chung{-}Hsun Huang}, title = {A compact delay-recycled clock skew-compensation and/or duty-cycle-correction circuit}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {42--47}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085073}, doi = {10.1109/SOCC.2011.6085073}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangYSH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WenLWCTC11, author = {Shui{-}An Wen and Huang{-}Lun Lin and Chi Wu and Chun{-}Chin Chen and Kun{-}Hsien Tsai and Wei{-}Min Cheng}, title = {Power-aware design technique for {PAC} Duo based embedded system}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {132--135}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085134}, doi = {10.1109/SOCC.2011.6085134}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WenLWCTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YamajiW11, author = {Yuichiro Yamaji and Minoru Watanabe}, title = {A 144-configuration context {MEMS} optically reconfigurable gate array}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {237--241}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085083}, doi = {10.1109/SOCC.2011.6085083}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YamajiW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YanSC11, author = {Jin{-}Tai Yan and Tung{-}Yen Sung and Zhi{-}Wei Chen}, title = {Simultaneous escape routing based on routability-driven net ordering}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {81--86}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085100}, doi = {10.1109/SOCC.2011.6085100}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YanSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangCCCCWH11, author = {Chih{-}Chyau Yang and Nien{-}Hsiang Chang and Shih{-}Lun Chen and Wei{-}De Chien and Chi{-}Shi Chen and Chien{-}Ming Wu and Chun{-}Ming Huang}, title = {A novel methodology for Multi-Project System-on-a-Chip}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {308--311}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085090}, doi = {10.1109/SOCC.2011.6085090}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangCCCCWH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangYHLLCCLCCHJLLLSWLH11, author = {Hao{-}I Yang and Shih{-}Chi Yang and Mao{-}Chih Hsia and Yung{-}Wei Lin and Yi{-}Wei Lin and Chien{-}Hen Chen and Chi{-}Shin Chang and Geng{-}Cing Lin and Yin{-}Nien Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Nan{-}Chun Lien and Hung{-}Yu Li and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, title = {A high-performance low {VMIN} 55nm 512Kb disturb-free 8T {SRAM} with adaptive {VVSS} control}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {197--200}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085080}, doi = {10.1109/SOCC.2011.6085080}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangYHLLCCLCCHJLLLSWLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YaoGYS11, author = {Kai{-}Wen Yao and Cihun{-}Siyong Alex Gong and Shan{-}Ci Yang and Muh{-}Tian Shiue}, title = {Design of a neural recording amplifier with tunable pseudo resistors}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {376--379}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085119}, doi = {10.1109/SOCC.2011.6085119}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YaoGYS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhaoTYZM11, author = {Yan Zhao and Nianxiong Tan and Kun Yang and Shupeng Zhong and Changyou Men}, title = {A single-phase energy metering SoC with {IAS-DSP} and ultra low power metering mode}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {354--358}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085091}, doi = {10.1109/SOCC.2011.6085091}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhaoTYZM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhengKCHCL11, author = {Yi{-}Xue Zheng and Po{-}Ping Kan and Liang{-}Bi Chen and Kai{-}Yang Hsieh and Bo{-}Chuan Cheng and Katherine Shu{-}Min Li}, title = {Fault tolerant application-specific NoC topology synthesis for three-dimensional integrated circuits}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {296--301}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085088}, doi = {10.1109/SOCC.2011.6085088}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhengKCHCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhouLZW11, author = {Ruolin Zhou and Xue Li and Jian Zhang and Zhiqiang Wu}, title = {Software defined radio based frequency domain chaotic cognitive radio}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {259--264}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085145}, doi = {10.1109/SOCC.2011.6085145}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhouLZW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2011, title = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6076821/proceeding}, isbn = {978-1-4577-1616-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AbdallahE10, author = {Mohammed A. S. Abdallah and Omar S. Elkeelany}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A multi-channel frequency detection and monitoring system}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {309--312}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784652}, doi = {10.1109/SOCC.2010.5784652}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AbdallahE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AbdullaNSA10, author = {Shakeel S. Abdulla and Haewoon Nam and Earl E. Swartzlander Jr. and Jacob A. Abraham}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {High speed recursion-free {CORDIC} architecture}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {65--70}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784666}, doi = {10.1109/SOCC.2010.5784666}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AbdullaNSA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AoyamaW10, author = {Yuji Aoyama and Minoru Watanabe}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Estimation of characteristic variation of photodiodes and its compensation method in an optically reconfigurable gate array}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {243--247}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784753}, doi = {10.1109/SOCC.2010.5784753}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AoyamaW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/AppiahHDM10, author = {Kofi Appiah and Andrew Hunter and Patrick Dickinson and Hongying Meng}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Binary object recognition system on {FPGA} with bSOM}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {254--259}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784755}, doi = {10.1109/SOCC.2010.5784755}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/AppiahHDM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BrandstatterNH10, author = {Siegfried Brandst{\"{a}}tter and Burkhard Neurauter and Mario Huemer}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A novel architectural approach for control architectures in {RF} transceivers}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {407--412}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784667}, doi = {10.1109/SOCC.2010.5784667}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/BrandstatterNH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Buchner10, author = {Thomas B{\"{u}}chner}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A holistic view on low power design}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {55}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784643}, doi = {10.1109/SOCC.2010.5784643}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Buchner10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/CararaM10, author = {Everton Carara and Fernando Moraes}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Flow oriented routing for {NOCS}}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {367--370}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784697}, doi = {10.1109/SOCC.2010.5784697}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/CararaM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/Carothers10, author = {Jo Dale Carothers}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {What You Need to Know About Patent Litigation}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {403}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784685}, doi = {10.1109/SOCC.2010.5784685}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/Carothers10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChangHLL10, author = {Huai{-}Chung Chang and Pei{-}Yu Huang and Ting{-}Jung Li and Yu{-}Min Lee}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Statistical electro-thermal analysis with high compatibility of leakage power models}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {139--144}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784747}, doi = {10.1109/SOCC.2010.5784747}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChangHLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenCTFF10, author = {Chiu{-}Kuo Chen and Ericson Chua and Shao{-}Yen Tseng and Chih{-}Chung Fu and Wai{-}Chi Fang}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Implementation of a hardware-efficient {EEG} processor for brain monitoring systems}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {164--168}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784735}, doi = {10.1109/SOCC.2010.5784735}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenCTFF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenHCL10, author = {Yiou Chen and Jianhao Hu and Gengsheng Chen and Xiang Ling}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Energy and delay-aware mapping for real-time digital processing system on network on chip platforms}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {375--378}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784699}, doi = {10.1109/SOCC.2010.5784699}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChenHCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenLJC10, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Handling shared variable synchronization in multi-core Network-on-Chips with distributed memory}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {467--472}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784680}, doi = {10.1109/SOCC.2010.5784680}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenLJC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenLTZ10, author = {Gang Chen and Yifei Luo and Jiayin Tian and Kuan Zhou}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A 10B 200MHz pipeline {ADC} with minimal feedback penalty and 0.35pJ/conversion-step}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {59--64}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784665}, doi = {10.1109/SOCC.2010.5784665}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenLTZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChoiL10, author = {Won Ha Choi and Xun Liu}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Case study: Runtime reduction of a buffer insertion algorithm using {GPU} parallel programming}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {121--126}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784744}, doi = {10.1109/SOCC.2010.5784744}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChoiL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChoiPKKC10, author = {Soonwoo Choi and Jason Jong Kyu Park and Moonmo Koo and Daewoong Kim and Soo{-}Ik Chae}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A 40 Mbps {H.264/AVC} {CAVLC} decoder using a 64-bit multiple-issue video parsing coprocessor}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {105--108}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784729}, doi = {10.1109/SOCC.2010.5784729}, timestamp = {Fri, 01 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ChoiPKKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DebCGP10, author = {Sujay Deb and Kevin Chang and Amlan Ganguly and Partha Pratim Pande}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Comparative performance evaluation of wireless and optical NoC architectures}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {487--492}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784675}, doi = {10.1109/SOCC.2010.5784675}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DebCGP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/EkekonMMC10, author = {Osman Kubilay Ekekon and Samed Maltabas and Martin Margala and Ugur {\c{C}}ilingiroglu}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Power minimization methodology for {VCTL} topologies}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {330--333}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784688}, doi = {10.1109/SOCC.2010.5784688}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/EkekonMMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/El-GhanyRKI10, author = {Mohamed A. Abd El{-}Ghany and Gursharan Reehal and Darek Korzec and Mohammed Ismail}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Power analysis for Asynchronous CLICH{\'{E}} Network-on-Chip}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {499--504}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784677}, doi = {10.1109/SOCC.2010.5784677}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/El-GhanyRKI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FarahH10, author = {Rana Farah and Haidar Harmanani}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A method for efficient NoC test scheduling using deterministic routing}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {363--366}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784696}, doi = {10.1109/SOCC.2010.5784696}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FarahH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FengLJLZ10, author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and Jinwen Li and Minxuan Zhang}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {FoN: Fault-on-Neighbor aware routing algorithm for Networks-on-Chip}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {441--446}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784672}, doi = {10.1109/SOCC.2010.5784672}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FengLJLZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FuSHSWY10, author = {Fangfa Fu and Siyue Sun and Xin'an Hu and Junjie Song and Jinxiang Wang and Mingyan Yu}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {{MMPI:} {A} flexible and efficient multiprocessor message passing interface for NoC-based MPSoC}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {359--362}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784695}, doi = {10.1109/SOCC.2010.5784695}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FuSHSWY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GongS10, author = {Na Gong and Ramalingam Sridhar}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Optimization and predication of leakage current characteristics in wide domino {OR} gates under {PVT} variation}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {19--24}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784663}, doi = {10.1109/SOCC.2010.5784663}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GongS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuangNT10, author = {Liang Guang and Ethiopia Nigussie and Hannu Tenhunen}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Run-time communication bypassing for energy-efficient, low-latency per-core {DVFS} on Network-on-Chip}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {481--486}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784674}, doi = {10.1109/SOCC.2010.5784674}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuangNT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GyselHL10, author = {Oliver E. Gysel and Paul J. Hurst and Stephen H. Lewis}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Highly programmable switched-capacitor filters using biquads with nonuniform internal clocks}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {33--38}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784636}, doi = {10.1109/SOCC.2010.5784636}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GyselHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HanK10, author = {Sangwoo Han and Juho Kim}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {NBTI-aware statistical timing analysis framework}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {158--163}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784734}, doi = {10.1109/SOCC.2010.5784734}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HanK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HeCM10, author = {Weifeng He and Weiwei Chen and Zhigang Mao}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {An efficient {VLSI} architecture for extended variable block sizes motion estimation}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {347--350}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784692}, doi = {10.1109/SOCC.2010.5784692}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HeCM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HolzerBGR10, author = {Markus Holzer and Ruben Bartholom{\"{a}} and Thomas Greiner and Wolfgang Rosenstiel}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Orthogonal shift level comparison reuse for structuring element shape independent VLSI-Architectures of 2D morphological operations}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {113--118}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784731}, doi = {10.1109/SOCC.2010.5784731}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HolzerBGR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuKA10, author = {Jiaping Hu and Yong{-}Bin Kim and Joseph Ayers}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A 65nm {CMOS} ultra low power and low noise 131M front-end transimpedance amplifier}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {281--284}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784645}, doi = {10.1109/SOCC.2010.5784645}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuKA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HuangYL10, author = {Yu{-}Jen Huang and Yun{-}Chao You and Jin{-}Fu Li}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Enhanced {IEEE} 1500 test wrapper for testing small RAMs in SOCs}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {236--240}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784742}, doi = {10.1109/SOCC.2010.5784742}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/HuangYL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ImagawaHOS10, author = {Takashi Imagawa and Masayuki Hiromoto and Hiroyuki Ochi and Takashi Sato}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A routing architecture exploration for coarse-grained reconfigurable architecture with automated seu-tolerance evaluation}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {248--253}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784754}, doi = {10.1109/SOCC.2010.5784754}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ImagawaHOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.