default search action
Search dblp for Publications
export results for "toc:db/conf/iccad/iccad2002.bht:"
@inproceedings{DBLP:conf/iccad/AbabeiSBK02, author = {Cristinel Ababei and Navaratnasothie Selvakkumaran and Kia Bazargan and George Karypis}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Multi-objective circuit partitioning for cutsize and path-based delay minimization}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {181--185}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774599}, doi = {10.1145/774572.774599}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AbabeiSBK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AloulRMS02, author = {Fadi A. Aloul and Arathi Ramani and Igor L. Markov and Karem A. Sakallah}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Generic {ILP} versus specialized 0-1 {ILP:} an update}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {450--457}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774638}, doi = {10.1145/774572.774638}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AloulRMS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AlpertNV02, author = {Charles J. Alpert and Gi{-}Joon Nam and Paul Villarrubia}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Free space management for cut-based placement}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {746--751}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774682}, doi = {10.1145/774572.774682}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AlpertNV02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BaidyaM02, author = {Bikram Baidya and Tamal Mukherjee}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Extraction and {LVS} for mixed-domain integrated {MEMS} layouts}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {361--366}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774626}, doi = {10.1145/774572.774626}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BaidyaM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BalasaMK02, author = {Florin Balasa and Sarat C. Maruvada and Karthik Krishnamoorthy}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Efficient solution space exploration based on segment trees in analog placement with symmetry constraints}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {497--502}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774645}, doi = {10.1145/774572.774645}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BalasaMK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BatterywalaSNZ02, author = {Shabbir H. Batterywala and Narendra V. Shenoy and William Nicholls and Hai Zhou}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Track assignment: a desirable intermediate step between global routing and detailed routing}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {59--66}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774581}, doi = {10.1145/774572.774581}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BatterywalaSNZ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BergamaschiC02, author = {Reinaldo A. Bergamaschi and John M. Cohn}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {The {A} to {Z} of SoCs}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {790--798}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774689}, doi = {10.1145/774572.774689}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BergamaschiC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhardwajVB02, author = {Sarvesh Bhardwaj and Sarma B. K. Vrudhula and David T. Blaauw}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Estimation of signal arrival times in the presence of delay noise}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {418--422}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774634}, doi = {10.1145/774572.774634}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhardwajVB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BiagettiOSTCA02, author = {Giorgio Biagetti and Simone Orcioni and L. Signoracci and Claudio Turchetti and Paolo Crippa and Michele Alessandrini}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {SiSMA: a statistical simulator for mismatch analysis of {MOS} ICs}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {490--496}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774644}, doi = {10.1145/774572.774644}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BiagettiOSTCA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BiereK02, author = {Armin Biere and Wolfgang Kunz}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {{SAT} and {ATPG:} Boolean engines for formal hardware verification}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {782--785}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774687}, doi = {10.1145/774572.774687}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BiereK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BrodersenHMNS02, author = {Robert W. Brodersen and Mark Horowitz and Dejan Markovic and Borivoje Nikolic and Vladimir Stojanovic}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Methods for true power minimization}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {35--42}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774578}, doi = {10.1145/774572.774578}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BrodersenHMNS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ButtsDG02, author = {Michael Butts and Andr{\'{e}} DeHon and Seth Copen Goldstein}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Molecular electronics: devices, systems and tools for gigagate, gigabit chips}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {433--440}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774636}, doi = {10.1145/774572.774636}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ButtsDG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Cao02, author = {Lipeng Cao}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Circuit power estimation using pattern recognition techniques}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {412--417}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774633}, doi = {10.1145/774572.774633}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Cao02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangM02, author = {Chih{-}Wei Jim Chang and Malgorzata Marek{-}Sadowska}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {ATPG-based logic synthesis: an overview}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {786--789}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774688}, doi = {10.1145/774572.774688}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenH02, author = {Xiaoding Chen and Michael S. Hsiao}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Characteristic faults and spectral information for logic {BIST}}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {294--298}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774616}, doi = {10.1145/774572.774616}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenKK02, author = {Pinhong Chen and Yuji Kukimoto and Kurt Keutzer}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Refining switching window by time slots for crosstalk noise calculation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {583--586}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774658}, doi = {10.1145/774572.774658}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenLKC02, author = {Tsung{-}Hao Chen and Clement Luk and Hyungsuk Kim and Charlie Chung{-}Ping Chen}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {{INDUCTWISE:} inductance-wise interconnect simulator and extractor}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {215--220}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774604}, doi = {10.1145/774572.774604}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenLKC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoiDCP02, author = {Kihwan Choi and Karthik Dantu and Wei{-}Chung Cheng and Massoud Pedram}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Frame-based dynamic voltage and frequency scaling for a {MPEG} decoder}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {732--737}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774680}, doi = {10.1145/774572.774680}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoiDCP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Cocchini02, author = {Pasquale Cocchini}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Concurrent flip-flop and repeater insertion for high performance integrated circuits}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {268--273}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774612}, doi = {10.1145/774572.774612}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Cocchini02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CoelhoPS02, author = {Carlos P. Coelho and Joel R. Phillips and Lu{\'{\i}}s Miguel Silveira}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Optimization based passive constrained fitting}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {775--780}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774686}, doi = {10.1145/774572.774686}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CoelhoPS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongLL02, author = {Jason Cong and Joey Y. Lin and Wangning Long}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A new enhanced {SPFD} rewiring algorithm}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {672--678}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774671}, doi = {10.1145/774572.774671}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongLL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongXZ02, author = {Jason Cong and Min Xie and Yan Zhang}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {An enhanced multilevel routing system}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {51--58}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774580}, doi = {10.1145/774572.774580}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongXZ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CotterellV02, author = {Susan Cotterell and Frank Vahid}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Synthesis of customized loop caches for core-based embedded systems}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {655--662}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774669}, doi = {10.1145/774572.774669}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CotterellV02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DanielSW02, author = {Luca Daniel and Alberto L. Sangiovanni{-}Vincentelli and Jacob K. White}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Proximity templates for modeling of skin and proximity effects on packages and high frequency interconnect}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {326--333}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774621}, doi = {10.1145/774572.774621}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DanielSW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DingBM02, author = {Li Ding and David T. Blaauw and Pinaki Mazumder}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Efficient crosstalk noise modeling using aggressor and tree reductions}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {595--600}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774660}, doi = {10.1145/774572.774660}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DingBM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/EscovarS02, author = {Rafael Escovar and Roberto Suaya}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Transmission line design of clock trees}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {334--340}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774622}, doi = {10.1145/774572.774622}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/EscovarS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Fallah02, author = {Farzan Fallah}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Binary time-frame expansion}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {458--464}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774639}, doi = {10.1145/774572.774639}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Fallah02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FranckenVMG02, author = {Kenneth Francken and Martin Vogels and Ewout Martens and Georges G. E. Gielen}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A behavioral simulation tool for continuous-time delta sigma modulators}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {234--239}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774607}, doi = {10.1145/774572.774607}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FranckenVMG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HassounAT02, author = {Soha Hassoun and Charles J. Alpert and Meera Thiagarajan}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Optimal buffered routing path constructions for single and multiple clock domain systems}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {247--253}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774609}, doi = {10.1145/774572.774609}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HassounAT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Hershenson02, author = {Maria del Mar Hershenson}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Design of pipeline analog-to-digital converters via geometric programming}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {317--324}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774620}, doi = {10.1145/774572.774620}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Hershenson02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HettiaratchiCC02, author = {Sambuddhi Hettiaratchi and Peter Y. K. Cheung and Thomas J. W. Clarke}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Energy efficient address assignment through minimized memory row switching}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {577--581}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774657}, doi = {10.1145/774572.774657}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HettiaratchiCC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuBZGZPS02, author = {Haitian Hu and David T. Blaauw and Vladimir Zolotov and Kaushik Gala and Min Zhao and Rajendran Panda and Sachin S. Sapatnekar}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A precorrected-FFT method for simulating on-chip inductance}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {221--227}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774605}, doi = {10.1145/774572.774605}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuBZGZPS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuM02, author = {Bo Hu and Malgorzata Marek{-}Sadowska}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Congestion minimization during placement without estimation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {739--745}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774681}, doi = {10.1145/774572.774681}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangRRJ02, author = {Chao Huang and Srivaths Ravi and Anand Raghunathan and Niraj K. Jha}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {High-level synthesis of distributed logic-memory architectures}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {564--571}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774655}, doi = {10.1145/774572.774655}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuangRRJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Ismail02, author = {Yehea I. Ismail}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Efficient model order reduction via multi-node moment matching}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {767--774}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774685}, doi = {10.1145/774572.774685}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Ismail02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/IyerM02, author = {Anoop Iyer and Diana Marculescu}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Power efficiency of voltage scaling in multiple clock, multiple voltage cores}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {379--386}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774629}, doi = {10.1145/774572.774629}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/IyerM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JingMF02, author = {Qi Jing and Tamal Mukherjee and Gary K. Fedder}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Schematic-based lumped parameterized behavioral modeling for suspended {MEMS}}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {367--373}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774627}, doi = {10.1145/774572.774627}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JingMF02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngLM02, author = {Andrew B. Kahng and Bao Liu and Ion I. Mandoiu}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Non-tree routing for reliability and yield improvement}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {260--266}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774611}, doi = {10.1145/774572.774611}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KahngLM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KaoNC02, author = {James T. Kao and Siva G. Narendra and Anantha P. Chandrakasan}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Subthreshold leakage modeling and reduction techniques}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {141--148}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774593}, doi = {10.1145/774572.774593}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KaoNC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KarnikBD02, author = {Tanay Karnik and Shekhar Borkar and Vivek De}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Sub-90nm technologies: challenges and opportunities for {CAD}}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {203--206}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774602}, doi = {10.1145/774572.774602}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KarnikBD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KetkarS02, author = {Mahesh Ketkar and Sachin S. Sapatnekar}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Standby power optimization via transistor sizing and dual threshold voltage assignment}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {375--378}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774628}, doi = {10.1145/774572.774628}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KetkarS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimuraHNK02, author = {Shinji Kimura and Takashi Horiyama and Masaki Nakanishi and Hirotsugu Kajihara}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Folding of logic functions and its application to look up table compaction}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {694--697}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774674}, doi = {10.1145/774572.774674}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimuraHNK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Kong02, author = {Tim (Tianming) Kong}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A novel net weighting algorithm for timing-driven placement}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {172--176}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774597}, doi = {10.1145/774572.774597}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Kong02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KoukabBD02, author = {Adil Koukab and Kaustav Banerjee and Michel J. Declercq}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Analysis and optimization of substrate noise coupling in single-chip {RF} transceiver design}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {309--316}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774619}, doi = {10.1145/774572.774619}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KoukabBD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KravetsS02, author = {Victor N. Kravets and Karem A. Sakallah}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Resynthesis of multi-level circuits under tight constraints using symbolic optimization}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {687--693}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774673}, doi = {10.1145/774572.774673}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KravetsS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KudvaSD02, author = {Prabhakar Kudva and Andrew Sullivan and William E. Dougherty}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Metrics for structural logic synthesis}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {551--556}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774653}, doi = {10.1145/774572.774653}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KudvaSD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KuoABTW02, author = {Shihhsien S. Kuo and Michael D. Altman and Jaydeep P. Bardhan and Bruce Tidor and Jacob K. White}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Fast methods for simulation of biomolecule electrostatics}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {466--473}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774640}, doi = {10.1145/774572.774640}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KuoABTW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Kuroda02, author = {Tadahiro Kuroda}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Optimization and control of \emph{V}\({}_{\mbox{DD}}\) and \emph{V}\({}_{\mbox{TH}}\) for low-power, high-speed {CMOS} design}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {28--34}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774577}, doi = {10.1145/774572.774577}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Kuroda02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KwakMKS02, author = {Hee{-}Hwan Kwak and In{-}Ho Moon and James H. Kukula and Thomas R. Shiple}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Combinational equivalence checking through function transformation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {526--533}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774650}, doi = {10.1145/774572.774650}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KwakMKS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LackeyZBSGC02, author = {David E. Lackey and Paul S. Zuchowski and Thomas R. Bednar and Douglas W. Stout and Scott W. Gould and John M. Cohn}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Managing power and performance for System-on-Chip designs using Voltage Islands}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {195--202}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774601}, doi = {10.1145/774572.774601}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LackeyZBSGC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LavinL02, author = {Mark A. Lavin and Lars Liebmann}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {{CAD} computation for manufacturability: can we save {VLSI} technology from itself?}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {424--431}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774635}, doi = {10.1145/774572.774635}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LavinL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeCD02, author = {Jong{-}eun Lee and Kiyoung Choi and Nikil D. Dutt}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Efficient instruction encoding for automatic instruction set design of configurable ASIPs}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {649--654}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774668}, doi = {10.1145/774572.774668}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeCD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LemkeHB02, author = {Andreas C. Lemke and Lars Hedrich and Erich Barke}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Analog circuit sizing based on formal methods using affine arithmetic}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {486--489}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774643}, doi = {10.1145/774572.774643}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LemkeHB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiA02, author = {Gang Li and Narayan R. Aluru}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Efficient mixed-domain analysis of electrostatic {MEMS}}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {474--477}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774641}, doi = {10.1145/774572.774641}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiCYORC02, author = {Hongmei Li and Jorge Carballido and Harry H. Yu and Vladimir I. Okhmatovski and Elyse Rosenbaum and Andreas C. Cangellaris}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Comprehensive frequency-dependent substrate noise analysis using boundary element methods}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {2--9}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774573}, doi = {10.1145/774572.774573}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiCYORC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiaoBH02, author = {Weiping Liao and Joseph M. Basile and Lei He}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Leakage power modeling and reduction with data retention}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {714--719}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774677}, doi = {10.1145/774572.774677}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiaoBH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinC02, author = {Shih{-}Ping Lin and Yao{-}Wen Chang}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A novel framework for multilevel routing considering routability and performance}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {44--50}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774579}, doi = {10.1145/774572.774579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinP02, author = {Tao Lin and Lawrence T. Pileggi}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Throughput-driven {IC} communication fabric synthesis}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {274--279}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774613}, doi = {10.1145/774572.774613}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiouWC02, author = {Jing{-}Jia Liou and Li{-}C. Wang and Kwang{-}Ting Cheng}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {On theoretical and practical considerations of path selection for delay fault testing}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {94--100}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774586}, doi = {10.1145/774572.774586}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiouWC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuKA02, author = {Frank Liu and Chandramouli V. Kashyap and Charles J. Alpert}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A delay metric for {RC} circuits based on the Weibull distribution}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {620--624}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774664}, doi = {10.1145/774572.774664}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuKA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuP02, author = {Xun Liu and Marios C. Papaefthymiou}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A Markov chain sequence generator for power macromodeling}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {404--411}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774632}, doi = {10.1145/774572.774632}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LyuhKK02, author = {Chun{-}Gi Lyuh and Taewhan Kim and Ki{-}Wook Kim}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Coupling-aware high-level interconnect synthesis for low power}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {609--613}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774662}, doi = {10.1145/774572.774662}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LyuhKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ManolacheEP02, author = {Sorin Manolache and Petru Eles and Zebo Peng}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Schedulability analysis of multiprocessor real-time applications with stochastic task execution times}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {699--706}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774675}, doi = {10.1145/774572.774675}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ManolacheEP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MartinFMB02, author = {Steven M. Martin and Kriszti{\'{a}}n Flautner and Trevor N. Mudge and David T. Blaauw}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {721--725}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774678}, doi = {10.1145/774572.774678}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MartinFMB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MassoudW02, author = {Yehia Massoud and Jacob White}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {FastMag: a 3-D magnetostatic inductance extraction program for structures with permeable materials}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {478--484}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774642}, doi = {10.1145/774572.774642}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MassoudW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/McMurchieS02, author = {Larry McMurchie and Carl Sechen}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {{WTA:} waveform-based timing analysis for deep submicron circuits}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {625--631}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774665}, doi = {10.1145/774572.774665}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/McMurchieS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MishchenkoB02, author = {Alan Mishchenko and Robert K. Brayton}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Simplification of non-deterministic multi-valued networks}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {557--562}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774654}, doi = {10.1145/774572.774654}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MishchenkoB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MoB02, author = {Fan Mo and Robert K. Brayton}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Whirlpool PLAs: a regular logic structure and their synthesis}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {543--550}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774652}, doi = {10.1145/774572.774652}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MoB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MochockiHQ02, author = {Bren Mochocki and Xiaobo Sharon Hu and Gang Quan}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A realistic variable voltage scheduling model for real-time applications}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {726--731}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774679}, doi = {10.1145/774572.774679}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MochockiHQ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MolinaMH02, author = {Mar{\'{\i}}a C. Molina and Jos{\'{e}} M. Mend{\'{\i}}as and Rom{\'{a}}n Hermida}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Bit-level scheduling of heterogeneous behavioural specifications}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {602--608}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774661}, doi = {10.1145/774572.774661}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MolinaMH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NovakovskySH02, author = {Sasha Novakovsky and Shy Shyman and Ziyad Hanna}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {High capacity and automatic functional extraction tool for industrial {VLSI} circuit designs}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {520--525}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774649}, doi = {10.1145/774572.774649}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NovakovskySH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OhKW02, author = {Nahmsuk Oh and Rohit Kapur and Thomas W. Williams}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Fast seed computation for reseeding shift register in test pattern compression}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {76--81}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774583}, doi = {10.1145/774572.774583}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OhKW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Pacelli02, author = {Andrea Pacelli}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A local circuit topology for inductive parasitics}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {208--214}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774603}, doi = {10.1145/774572.774603}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Pacelli02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PandaC02, author = {Preeti Ranjan Panda and Lakshmikantam Chitturi}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {An energy-conscious algorithm for memory port allocation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {572--576}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774656}, doi = {10.1145/774572.774656}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PandaC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PasseroneAHS02, author = {Roberto Passerone and Luca de Alfaro and Thomas A. Henzinger and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Convertibility verification and converter synthesis: two faces of the same coin}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {132--139}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774592}, doi = {10.1145/774572.774592}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PasseroneAHS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PomeranzR02, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {On undetectable faults in partial scan circuits}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {82--86}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774584}, doi = {10.1145/774572.774584}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PomeranzR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RadeckaZ02, author = {Katarzyna Radecka and Zeljko Zilic}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Specifying and verifying imprecise sequential datapaths by Arithmetic Transforms}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {128--131}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774591}, doi = {10.1145/774572.774591}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RadeckaZ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RamnathNHN02, author = {Sanjay Ramnath and Frederic Neuveux and Mokhtar Hirech and Felix Ng}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Test-model based hierarchical {DFT} synthesis}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {286--293}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774615}, doi = {10.1145/774572.774615}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RamnathNHN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RongP02, author = {Peng Rong and Massoud Pedram}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Battery-aware power management based on Markovian decision processes}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {707--713}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774676}, doi = {10.1145/774572.774676}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RongP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Roychowdhury02, author = {Jaijeet S. Roychowdhury}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Making Fourier-envelope simulation robust}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {240--245}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774608}, doi = {10.1145/774572.774608}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Roychowdhury02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Sakurai02, author = {Takayasu Sakurai}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Minimizing power across multiple technology and design levels}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {24--27}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774576}, doi = {10.1145/774572.774576}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Sakurai02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SchrikDM02, author = {Eelco Schrik and Patrick M. Dewilde and N. P. van der Meijs}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Theoretical and practical validation of combined {BEM/FEM} substrate resistance modeling}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {10--15}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774574}, doi = {10.1145/774572.774574}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SchrikDM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShahSBASD02, author = {Harshit K. Shah and Pun H. Shiu and Brian Bell and Mamie Aldredge and Namarata Sopory and Jeff Davis}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Repeater insertion and wire sizing optimization for throughput-centric {VLSI} global interconnects}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {280--284}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774614}, doi = {10.1145/774572.774614}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShahSBASD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShaoWGYC02, author = {Muzhou Shao and D. F. Wong and Youxin Gao and Li{-}Pen Yuan and Huijing Cao}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Shaping interconnect for uniform current density}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {254--259}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774610}, doi = {10.1145/774572.774610}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShaoWGYC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShendePMH02, author = {Vivek V. Shende and Aditya K. Prasad and Igor L. Markov and John P. Hayes}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Reversible logic circuit synthesis}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {353--360}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774625}, doi = {10.1145/774572.774625}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShendePMH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinanogluO02, author = {Ozgur Sinanoglu and Alex Orailoglu}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A novel scan architecture for power-efficient, rapid test}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {299--303}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774617}, doi = {10.1145/774572.774617}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinanogluO02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Singh02, author = {Satnam Singh}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Interface specification for reconfigurable components}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {102--109}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774587}, doi = {10.1145/774572.774587}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Singh02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinghB02, author = {Deshanand P. Singh and Stephen Dean Brown}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Incremental placement for layout driven optimizations on FPGAs}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {752--759}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774683}, doi = {10.1145/774572.774683}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SinghB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinhaMB02, author = {Subarnarekha Sinha and Alan Mishchenko and Robert K. Brayton}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Topologically constrained logic synthesis}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {679--686}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774672}, doi = {10.1145/774572.774672}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinhaMB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SitaramZS02, author = {Dipak Sitaram and Yu Zheng and Kenneth L. Shepard}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Implicit treatment of substrate and power-ground losses in return-limited inductance extraction}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {16--22}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774575}, doi = {10.1145/774572.774575}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SitaramZS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SrivastavaS02, author = {Ankur Srivastava and Majid Sarrafzadeh}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Predictability: definition, ananlysis and optimization}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {118--121}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774589}, doi = {10.1145/774572.774589}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SrivastavaS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/StittV02, author = {Greg Stitt and Frank Vahid}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Hardware/software partitioning of software binaries}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {164--170}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774596}, doi = {10.1145/774572.774596}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/StittV02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SunRRJ02, author = {Fei Sun and Srivaths Ravi and Anand Raghunathan and Niraj K. Jha}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Synthesis of custom processors based on extensible platforms}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {641--648}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774667}, doi = {10.1145/774572.774667}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SunRRJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TennakoonS02, author = {Hiran Tennakoon and Carl Sechen}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {395--402}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774631}, doi = {10.1145/774572.774631}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TennakoonS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TianYTW02, author = {Ruiqi Tian and Ronggang Yu and Xiaoping Tang and D. F. Wong}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {On mask layout partitioning for electron projection lithography}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {514--518}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774648}, doi = {10.1145/774572.774648}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TianYTW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/UmKK02, author = {Junhyung Um and Jae{-}Hoon Kim and Taewhan Kim}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Layout-driven resource sharing in high-level synthesis}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {614--618}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774663}, doi = {10.1145/774572.774663}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/UmKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/UnnikrishnanCKM02, author = {Priya Unnikrishnan and Guangyu Chen and Mahmut T. Kandemir and D. R. Mudgett}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Dynamic compilation for energy adaptation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {158--163}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774595}, doi = {10.1145/774572.774595}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/UnnikrishnanCKM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VanasscheGS02, author = {Piet Vanassche and Georges G. E. Gielen and Willy M. C. Sansen}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {On the difference between two widely publicized methods for analyzing oscillator phase behavior}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {229--233}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774606}, doi = {10.1145/774572.774606}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VanasscheGS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VancorenlandCCLS02, author = {Peter J. Vancorenland and Philippe Coppejans and Wouter De Cock and Paul Leroux and Michiel Steyaert}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Optimization of a fully integrated low power {CMOS} {GPS} receiver}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {305--308}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774618}, doi = {10.1145/774572.774618}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VancorenlandCCLS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VujkovicS02, author = {Miodrag Vujkovic and Carl Sechen}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Optimized power-delay curve generation for standard cell ICs}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {387--394}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774630}, doi = {10.1145/774572.774630}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VujkovicS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangRPLR02, author = {Chen Wang and Sudhakar M. Reddy and Irith Pomeranz and Xijiang Lin and Janusz Rajski}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Conflict driven techniques for improving deterministic test pattern generation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {87--93}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774585}, doi = {10.1145/774572.774585}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangRPLR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XiangCW02, author = {Hua Xiang and Kai{-}Yuan Chao and D. F. Wong}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {{ECO} algorithms for removing overlaps between power rails and signal wires}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {67--74}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774582}, doi = {10.1145/774572.774582}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/XiangCW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XiongCMH02, author = {Jinjun Xiong and Jun Chen and James D. Z. Ma and Lei He}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Post global routing {RLC} crosstalk budgeting}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {504--509}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774646}, doi = {10.1145/774572.774646}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/XiongCMH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangCS02, author = {Xiaojian Yang and Bo{-}Kyung Choi and Majid Sarrafzadeh}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Timing-driven placement using design hierarchy guided constraint generation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {177--180}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774598}, doi = {10.1145/774572.774598}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangCS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangG02, author = {Jin Yang and Amit Goel}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {{GSTE} through a case study}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {534--541}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774651}, doi = {10.1145/774572.774651}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuanAAP02, author = {Jun Yuan and Ken Albin and Adnan Aziz and Carl Pixley}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Simplifying Boolean constraint solving for random simulation-vector generation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {123--127}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774590}, doi = {10.1145/774572.774590}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YuanAAP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZejdaF02, author = {Jindrich Zejda and Paul Frain}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {General framework for removal of clock network pessimism}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {632--639}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774666}, doi = {10.1145/774572.774666}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZejdaF02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhanFWCGW02, author = {Rouying Zhan and Haigang Feng and Qiong Wu and Guang Chen and Xiaokang Guan and Albert Z. Wang}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A technology-independent {CAD} tool for {ESD} protection device extraction: ESDExtractor}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {510--513}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774647}, doi = {10.1145/774572.774647}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhanFWCGW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangM02, author = {Lintao Zhang and Sharad Malik}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Conflict driven learning in a quantified Boolean Satisfiability solver}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {442--449}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774637}, doi = {10.1145/774572.774637}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhengP02, author = {Hui Zheng and Lawrence T. Pileggi}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Robust and passive model order reduction for circuits containing susceptance elements}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {761--766}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774684}, doi = {10.1145/774572.774684}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhengP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhongJ02, author = {Lin Zhong and Niraj K. Jha}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Interconnect-aware high-level synthesis for low power}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {110--117}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774588}, doi = {10.1145/774572.774588}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhongJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhongKR02, author = {Guoan Zhong and Cheng{-}Kok Koh and Kaushik Roy}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {On-chip interconnect modeling by wire duplication}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {341--346}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774623}, doi = {10.1145/774572.774623}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhongKR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Zhu02, author = {Jianwen Zhu}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Symbolic pointer analysis}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {150--157}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774594}, doi = {10.1145/774572.774594}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Zhu02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuM02, author = {Xinping Zhu and Sharad Malik}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A hierarchical modeling framework for on-chip communication architectures}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {663--671}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774670}, doi = {10.1145/774572.774670}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhuM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZieglerS02, author = {Matthew M. Ziegler and Mircea R. Stan}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A Case for CMOS/nano co-design}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {348--352}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774624}, doi = {10.1145/774572.774624}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZieglerS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZolotovBSBOPGL02, author = {Vladimir Zolotov and David T. Blaauw and Supamas Sirichotiyakul and Murat R. Becer and Chanhee Oh and Rajendran Panda and Amir Grinshpon and Rafi Levy}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Noise propagation and failure criteria for {VLSI} designs}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {587--594}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774659}, doi = {10.1145/774572.774659}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZolotovBSBOPGL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZuchowskiRGDCT02, author = {Paul S. Zuchowski and Christopher B. Reynolds and Richard J. Grupp and Shelly G. Davis and Brendan Cremen and Bill Troxel}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {A hybrid {ASIC} and {FPGA} architecture}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {187--194}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774600}, doi = {10.1145/774572.774600}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZuchowskiRGDCT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2002, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {http://dl.acm.org/citation.cfm?id=774572}, isbn = {0-7803-7607-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.