Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Hong-Min Chu"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/apin/LiWZLLPL24, author = {Mingjie Li and Gaihua Wang and Minghao Zhu and Chunzheng Li and Hong Liu and Xuran Pan and Qian Long}, title = {DFAMNet: dual fusion attention multi-modal network for semantic segmentation on LiDAR point clouds}, journal = {Appl. Intell.}, volume = {54}, number = {4}, pages = {3169--3180}, year = {2024}, url = {https://doi.org/10.1007/s10489-024-05302-7}, doi = {10.1007/S10489-024-05302-7}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/LiWZLLPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bigdatama/LinWQZHCL24, author = {Heng Lin and Zhiyong Wang and Shipeng Qi and Xiaowei Zhu and Chuntao Hong and Wenguang Chen and Yingwei Luo}, title = {Building a High-Performance Graph Storage on Top of Tree-Structured Key-Value Stores}, journal = {Big Data Min. Anal.}, volume = {7}, number = {1}, pages = {156--170}, year = {2024}, url = {https://doi.org/10.26599/bdma.2023.9020015}, doi = {10.26599/BDMA.2023.9020015}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bigdatama/LinWQZHCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/FengOYCLHLGLTZYZHWMGHSLY24, author = {Chunyue Feng and Kok Haur Ong and David M. Young and Bingxian Chen and Longjie Li and Xinmi Huo and Haoda Lu and Weizhong Gu and Fei Liu and Hongfeng Tang and Manli Zhao and Min Yang and Kun Zhu and Limin Huang and Qiang Wang and Gabriel Pik Liang Marini and Kun Gui and Hao Han and Stephan J. Sanders and Lin Li and Weimiao Yu and Jianhua Mao}, title = {Artificial intelligence-assisted quantification and assessment of whole slide images for pediatric kidney disease diagnosis}, journal = {Bioinform.}, volume = {40}, number = {1}, year = {2024}, url = {https://doi.org/10.1093/bioinformatics/btad740}, doi = {10.1093/BIOINFORMATICS/BTAD740}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/FengOYCLHLGLTZYZHWMGHSLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/QiuYZZXLLBCCCCDDDDFFGGHHHHHHHHIK24, author = {Hao Qiu and Zhihao Yu and Tiange Zhao and Qi Zhang and Mingsheng Xu and Peifeng Li and Taotao Li and Wenzhong Bao and Yang Chai and Shula Chen and Yiqi Chen and Hui{-}Ming Cheng and Daoxin Dai and Zengfeng Di and Zhuo Dong and Xidong Duan and Yuhan Feng and Yu Fu and Jingshu Guo and Pengwen Guo and Yue Hao and Jun He and Xiao He and Jingyi Hu and Weida Hu and Zehua Hu and Xinyue Huang and Ziyang Huang and Ali Imran and Ziqiang Kong and Jia Li and Qian Li and Weisheng Li and Lei Liao and Bilu Liu and Can Liu and Chunsen Liu and Guanyu Liu and Kaihui Liu and Liwei Liu and Sheng Liu and Yuan Liu and Donglin Lu and Likuan Ma and Feng Miao and Zhenhua Ni and Jing Ning and Anlian Pan and Tian{-}Ling Ren and Haowen Shu and Litao Sun and Yue Sun and Quanyang Tao and Ziao Tian and Dong Wang and Hao Wang and Haomin Wang and Jialong Wang and Junyong Wang and Wenhui Wang and Xingjun Wang and Yeliang Wang and Yuwei Wang and Zhenyu Wang and Yao Wen and Haidi Wu and Hongzhao Wu and Jiangbin Wu and Yanqing Wu and Longfei Xia and Baixu Xiang and Luwen Xing and Qihua Xiong and Xiong Xiong and Jeffrey Xu and Tao Xu and Yang Xu and Liu Yang and Yi Yang and Yuekun Yang and Lei Ye and Yu Ye and Bin Yu and Ting Yu and Hui Zeng and Guangyu Zhang and Hongyun Zhang and Jincheng Zhang and Kai Zhang and Tao Zhang and Xinbo Zhang and Yanfeng Zhang and Chunsong Zhao and Yuda Zhao and Ting Zheng and Peng Zhou and Shaohua Kevin Zhou and Yuxuan Zhu and Deren Yang and Yi Shi and Han Wang and Xinran Wang}, title = {Two-dimensional materials for future information technology: status and prospects}, journal = {Sci. China Inf. Sci.}, volume = {67}, number = {6}, year = {2024}, url = {https://doi.org/10.1007/s11432-024-4033-8}, doi = {10.1007/S11432-024-4033-8}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/QiuYZZXLLBCCCCDDDDFFGGHHHHHHHHIK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/WeiLMDSZCZ24, author = {Kang Wei and Jun Li and Chuan Ma and Ming Ding and Feng Shu and Haitao Zhao and Wen Chen and Hongbo Zhu}, title = {Gradient sparsification for efficient wireless federated learning with differential privacy}, journal = {Sci. China Inf. Sci.}, volume = {67}, number = {4}, year = {2024}, url = {https://doi.org/10.1007/s11432-023-3918-9}, doi = {10.1007/S11432-023-3918-9}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/WeiLMDSZCZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChienYCCLHYLYLWHCC24, author = {Shuo{-}Chen Chien and Chia{-}Ming Yen and Yu{-}Hung Chang and Ying{-}Erh Chen and Chia{-}Chun Liu and Yu{-}Ping Hsiao and Ping{-}Yen Yang and Hong{-}Ming Lin and Tsung{-}En Yang and Xing{-}Hua Lu and I{-}Chien Wu and Chih{-}Cheng Hsu and Hung{-}Yi Chiou and Ren{-}Hua Chung}, title = {Using large language model {(LLM)} to identify high-burden informal caregivers in long-term care}, journal = {Comput. Methods Programs Biomed.}, volume = {255}, pages = {108329}, year = {2024}, url = {https://doi.org/10.1016/j.cmpb.2024.108329}, doi = {10.1016/J.CMPB.2024.108329}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ChienYCCLHYLYLWHCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/XuDNKXMHJKSLP24, author = {Minrui Xu and Hongyang Du and Dusit Niyato and Jiawen Kang and Zehui Xiong and Shiwen Mao and Zhu Han and Abbas Jamalipour and Dong In Kim and Xuemin Shen and Victor C. M. Leung and H. Vincent Poor}, title = {Unleashing the Power of Edge-Cloud Generative {AI} in Mobile Networks: {A} Survey of {AIGC} Services}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {26}, number = {2}, pages = {1127--1170}, year = {2024}, url = {https://doi.org/10.1109/COMST.2024.3353265}, doi = {10.1109/COMST.2024.3353265}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsur/XuDNKXMHJKSLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csl/WangKLZW24, author = {Hongru Wang and Wai{-}Chung Kwan and Min Li and Zimo Zhou and Kam{-}Fai Wong}, title = {KddRES: {A} Multi-level Knowledge-driven Dialogue Dataset for Restaurant Towards Customized Dialogue System}, journal = {Comput. Speech Lang.}, volume = {87}, pages = {101637}, year = {2024}, url = {https://doi.org/10.1016/j.csl.2024.101637}, doi = {10.1016/J.CSL.2024.101637}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csl/WangKLZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/YinLSQL24, author = {Min Yin and Jince Li and Yilin Shi and Chu Qi and Hongguang Li}, title = {Fusing logic rule-based hybrid variable graph neural network approaches to fault diagnosis of industrial processes}, journal = {Expert Syst. Appl.}, volume = {238}, number = {Part {D}}, pages = {121753}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.121753}, doi = {10.1016/J.ESWA.2023.121753}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/YinLSQL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/WangWLHMBLXZYWGTHZLYMJYLGCJD24, author = {Binzhong Wang and Bin Wu and Xueqing Liu and Yacheng Hu and Yao Ming and Mingzhou Bai and Juanjuan Liu and Kan Xiao and Qingkai Zeng and Jing Yang and Hongqi Wang and Baifu Guo and Chun Tan and Zixuan Hu and Xun Zhao and Yanhong Li and Zhen Yue and Junpu Mei and Wei Jiang and Yuanjin Yang and Zhiyuan Li and Yong Gao and Lei Chen and Jianbo Jian and Hejun Du}, title = {Whole-genome Sequencing Reveals Autooctoploidy in Chinese Sturgeon and Its Evolutionary Trajectories}, journal = {Genom. Proteom. Bioinform.}, volume = {22}, number = {1}, year = {2024}, url = {https://doi.org/10.1093/gpbjnl/qzad002}, doi = {10.1093/GPBJNL/QZAD002}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/WangWLHMBLXZYWGTHZLYMJYLGCJD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hisas/ZhuLSJSLWZ24, author = {Chuanzhen Zhu and Honglun Li and Zhiwei Song and Minbo Jiang and Limei Song and Lin Li and Xuan Wang and Qiang Zheng}, title = {Jointly constrained group sparse connectivity representation improves early diagnosis of Alzheimer's disease on routinely acquired T1-weighted imaging-based brain network}, journal = {Health Inf. Sci. Syst.}, volume = {12}, number = {1}, pages = {19}, year = {2024}, url = {https://doi.org/10.1007/s13755-023-00269-0}, doi = {10.1007/S13755-023-00269-0}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hisas/ZhuLSJSLWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeejas/LiLLLC24, author = {Meilin Li and Yue Long and Tieshan Li and Hongjing Liang and C. L. Philip Chen}, title = {Dynamic Event-Triggered Consensus Control for Input Constrained Multi-Agent Systems with a Designable Minimum Inter-Event Time}, journal = {{IEEE} {CAA} J. Autom. Sinica}, volume = {11}, number = {3}, pages = {649--660}, year = {2024}, url = {https://doi.org/10.1109/JAS.2023.123582}, doi = {10.1109/JAS.2023.123582}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeejas/LiLLLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cvi/XueJYYGL24, author = {Cuihong Xue and Jingli Jia and Ming Yu and Gang Yan and Yingchun Guo and Yuehao Liu}, title = {Continuous sign language recognition based on hierarchical memory sequence network}, journal = {{IET} Comput. Vis.}, volume = {18}, number = {2}, pages = {247--259}, year = {2024}, url = {https://doi.org/10.1049/cvi2.12240}, doi = {10.1049/CVI2.12240}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cvi/XueJYYGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/SunLCPWSSPJZWWKZWKY24, author = {Nengyuan Sun and Wenrui Liu and Jiafeng Cheng and Zhaokang Peng and Chunyang Wang and Caiban Sun and Heng Sha and Zhiyuan Pan and Ming Jin and Hongyang Zhao and Jinghe Wang and Yiming Wen and Pengliang Kong and Yunfeng Zhao and Yaoqiang Wang and Sel{\c{c}}uk K{\"{o}}se and Weize Yu}, title = {A novel {SM4} cryptographic architecture against higher order power analysis attacks}, journal = {Int. J. Circuit Theory Appl.}, volume = {52}, number = {8}, pages = {4184--4198}, year = {2024}, url = {https://doi.org/10.1002/cta.3962}, doi = {10.1002/CTA.3962}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/SunLCPWSSPJZWWKZWKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/YanTWLZ24, author = {Chao Yan and Peng Tao and Hongxi Wang and Chunrui Li and Yushuai Zhang}, title = {Research on low voltage current transformer power measurement technology in the context of cloud computing}, journal = {Int. J. Data Min. Bioinform.}, volume = {28}, number = {3/4}, pages = {287--302}, year = {2024}, url = {https://doi.org/10.1504/IJDMB.2024.139457}, doi = {10.1504/IJDMB.2024.139457}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/YanTWLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/DengLTHZ24, author = {Zhihong Deng and Taotao Li and Chunming Tang and Debiao He and Zibin Zheng}, title = {{PSSC:} Practical and Secure Sidechain Construction for Heterogeneous Blockchains Orienting IoT}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {3}, pages = {4600--4613}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3302291}, doi = {10.1109/JIOT.2023.3302291}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/DengLTHZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/DengWJXLZY24, author = {Liping Deng and Chun Wu and Hong Jiang and He Xiao and Ying Luo and Qiuyun Zhang and Changqing Ye}, title = {Completion Time Minimization for Multiantenna UAV-Enabled Multicasting With Rank-Two Multicast Beamforming}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {11}, pages = {19549--19563}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3367454}, doi = {10.1109/JIOT.2024.3367454}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/DengWJXLZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LiYXHH24, author = {Shuang Li and Hong{-}Chuan Yang and Fang Xu and Huimin Hu and Fengye Hu}, title = {Energy-Efficient Relay Transmission for {WBAN:} Energy Consumption Minimizing Design With Hybrid Supervised/Reinforcement Learning}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {10}, pages = {17770--17779}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3361772}, doi = {10.1109/JIOT.2024.3361772}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LiYXHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiaoCMLHL24, author = {Huming Liao and Hongmei Chen and Yong Mi and Chuan Luo and Shi{-}Jinn Horng and Tianrui Li}, title = {Sparse orthogonal supervised feature selection with global redundancy minimization, label scaling, and robustness}, journal = {Inf. Sci.}, volume = {666}, pages = {120454}, year = {2024}, url = {https://doi.org/10.1016/j.ins.2024.120454}, doi = {10.1016/J.INS.2024.120454}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LiaoCMLHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/XuLHWZ24, author = {Jing Xu and Chuandong Li and Xing He and Hongsong Wen and Xiaoyu Zhang}, title = {A fixed-time converging neurodynamic approach with time-varying coefficients for \emph{l}\({}_{\mbox{1}}\)-minimization problem}, journal = {Inf. Sci.}, volume = {654}, pages = {119876}, year = {2024}, url = {https://doi.org/10.1016/j.ins.2023.119876}, doi = {10.1016/J.INS.2023.119876}, timestamp = {Thu, 07 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/XuLHWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itl/YangFWWWR24, author = {Ming Yang and Honglin Feng and Xin Wang and Xiaoming Wu and Yunfei Wang and Chuanxu Ren}, title = {Data pricing with privacy loss compensation for cyber-physical systems: {A} Stackelberg game based approach}, journal = {Internet Technol. Lett.}, volume = {7}, number = {3}, year = {2024}, url = {https://doi.org/10.1002/itl2.443}, doi = {10.1002/ITL2.443}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itl/YangFWWWR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/LiuSCHZZWLK24, author = {Hongyan Liu and Xi Sun and Xiang Chen and Qun Huang and Yu{-}Dong Zhang and Haifeng Zhou and Chunming Wu and Xuan Liu and Muhammad Khurram Khan}, title = {Terra: Low-latency and reliable event collection in network measurement}, journal = {J. Netw. Comput. Appl.}, volume = {228}, pages = {103904}, year = {2024}, url = {https://doi.org/10.1016/j.jnca.2024.103904}, doi = {10.1016/J.JNCA.2024.103904}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/LiuSCHZZWLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuSCHRCWCLHLSCLWLLHTC24, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Ho{-}Yu Chen and Chen{-}Hsun Lin and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chih{-}I Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {An 8b-Precision 6T {SRAM} Computing-in-Memory Macro Using Time-Domain Incremental Accumulation for {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {7}, pages = {2297--2309}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3343669}, doi = {10.1109/JSSC.2023.3343669}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WuSCHRCWCLHLSCLWLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuSHRCCKHLSLCLLHTC24, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Li{-}Yang Hong and Jin{-}Sheng Ren and Chih{-}Han Chien and Ho{-}Yu Chen and Chao{-}En Ke and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A Floating-Point 6T {SRAM} In-Memory-Compute Macro Using Hybrid-Domain Structure for Advanced {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {196--207}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3309966}, doi = {10.1109/JSSC.2023.3309966}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/WuSHRCCKHLSLCLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YueLFHWYZLSCWHCSDLLY24, author = {Jinshan Yue and Yongpan Liu and Xiaoyu Feng and Yifan He and Jingyu Wang and Zhe Yuan and Mingtao Zhan and Jiaxin Liu and Jian{-}Wei Su and Yen{-}Lin Chung and Ping{-}Chun Wu and Li{-}Yang Hong and Meng{-}Fan Chang and Nan Sun and Chunmeng Dou and Xueqing Li and Ming Liu and Huazhong Yang}, title = {An Energy-Efficient Computing-in-Memory {NN} Processor With Set-Associate Blockwise Sparsity and Ping-Pong Weight Update}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {5}, pages = {1612--1627}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3324954}, doi = {10.1109/JSSC.2023.3324954}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YueLFHWYZLSCWHCSDLLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jtaer/NgYC24, author = {Wai{-}Kit Ng and Cheng{-}Ming Yang and Chun{-}Liang Chen}, title = {Value Co-Creation on {TV} Talent Shows: Cases from Mainland China, Taiwan and Hong Kong}, journal = {J. Theor. Appl. Electron. Commer. Res.}, volume = {19}, number = {1}, pages = {249--271}, year = {2024}, url = {https://doi.org/10.3390/jtaer19010014}, doi = {10.3390/JTAER19010014}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jtaer/NgYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/SunGGZGLD24, author = {Chuanhou Sun and Yongshun Gong and Ying Guo and Long Zhao and Hongjiao Guan and Xinwang Liu and Xiangjun Dong}, title = {{SN-RNSP:} Mining self-adaptive nonoverlapping repetitive negative sequential patterns in transaction sequences}, journal = {Knowl. Based Syst.}, volume = {287}, pages = {111449}, year = {2024}, url = {https://doi.org/10.1016/j.knosys.2024.111449}, doi = {10.1016/J.KNOSYS.2024.111449}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/SunGGZGLD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ZhangFLFWZMWYLZW24, author = {Ming Zhang and Ruimin Feng and Zhenghao Li and Jie Feng and Qing Wu and Zhiyong Zhang and Chengxin Ma and Jinsong Wu and Fuhua Yan and Chunlei Liu and Yuyao Zhang and Hongjiang Wei}, title = {A subject-specific unsupervised deep learning method for quantitative susceptibility mapping using implicit neural representation}, journal = {Medical Image Anal.}, volume = {95}, pages = {103173}, year = {2024}, url = {https://doi.org/10.1016/j.media.2024.103173}, doi = {10.1016/J.MEDIA.2024.103173}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/ZhangFLFWZMWYLZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/DangWTHH24, author = {Lanxue Dang and Chunyu Wang and Ming{-}Hsiang Tsou and Yan{-}E. Hou and Hongyu Han}, title = {Sentiment analysis of {COVID-19} related social distancing using twitter data based on deep learning}, journal = {Multim. Tools Appl.}, volume = {83}, number = {11}, pages = {32587--32612}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-17011-3}, doi = {10.1007/S11042-023-17011-3}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/DangWTHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/SongLYW24, author = {Chuanming Song and Shuang Liu and Xiaohong Yan and Xianghai Wang}, title = {An image quality-aware approach with adaptive scattering coefficients for single image dehazing}, journal = {Multim. Tools Appl.}, volume = {83}, number = {9}, pages = {25519--25542}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-16288-8}, doi = {10.1007/S11042-023-16288-8}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/SongLYW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/ThwalNTKTH24, author = {Chu Myaet Thwal and Minh N. H. Nguyen and Ye Lin Tun and Seong Tae Kim and My T. Thai and Choong Seon Hong}, title = {OnDev-LCT: On-Device Lightweight Convolutional Transformers towards federated learning}, journal = {Neural Networks}, volume = {170}, pages = {635--649}, year = {2024}, url = {https://doi.org/10.1016/j.neunet.2023.11.044}, doi = {10.1016/J.NEUNET.2023.11.044}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/ThwalNTKTH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/HuangHGCWZG24, author = {Yixiang Huang and Hongyu Hao and Weichao Ge and Yang Cao and Ming Wu and Chuang Zhang and Jun Guo}, title = {Relation fusion propagation network for transductive few-shot learning}, journal = {Pattern Recognit.}, volume = {151}, pages = {110367}, year = {2024}, url = {https://doi.org/10.1016/j.patcog.2024.110367}, doi = {10.1016/J.PATCOG.2024.110367}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/HuangHGCWZG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LiuFQXXCGSY0CT024, author = {Yuanzhi Liu and Yujia Fu and Minghui Qin and Yufeng Xu and Baoxin Xu and Fengdong Chen and Bart Goossens and Poly Z. H. Sun and Hongwei Yu and Chun Liu and Long Chen and Wei Tao and Hui Zhao}, title = {BotanicGarden: {A} High-Quality Dataset for Robot Navigation in Unstructured Natural Environments}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {3}, pages = {2798--2805}, year = {2024}, url = {https://doi.org/10.1109/LRA.2024.3359548}, doi = {10.1109/LRA.2024.3359548}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LiuFQXXCGSY0CT024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rcim/WangPS24, author = {Chuang Wang and Quan{-}Ke Pan and Hongyan Sang}, title = {The cascaded flowshop joint scheduling problem: {A} mathematical model and population-based iterated greedy algorithm to minimize total tardiness}, journal = {Robotics Comput. Integr. Manuf.}, volume = {88}, pages = {102747}, year = {2024}, url = {https://doi.org/10.1016/j.rcim.2024.102747}, doi = {10.1016/J.RCIM.2024.102747}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rcim/WangPS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HuangCSWZYWTNHH24, author = {Chaoqing Huang and Bin Chen and Chuanzhun Sun and Yuan Wang and Junye Zhang and Huan Yang and Shengbiao Wu and Peiyue Tu and MinhThu Nguyen and Song Hong and Chao He}, title = {Synergistic Application of Multiple Machine Learning Algorithms and Hyperparameter Optimization Strategies for Net Ecosystem Productivity Prediction in Southeast Asia}, journal = {Remote. Sens.}, volume = {16}, number = {1}, pages = {17}, year = {2024}, url = {https://doi.org/10.3390/rs16010017}, doi = {10.3390/RS16010017}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/HuangCSWZYWTNHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/HongWWRWLHS24, author = {Chong Hong and Yingdan Wu and Che Wang and Ziyu Ren and Chunxiang Wang and Zemin Liu and Wenqi Hu and Metin Sitti}, title = {Wireless flow-powered miniature robot capable of traversing tubular structures}, journal = {Sci. Robotics}, volume = {9}, number = {88}, year = {2024}, url = {https://doi.org/10.1126/scirobotics.adi5155}, doi = {10.1126/SCIROBOTICS.ADI5155}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/HongWWRWLHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/WangWNCJWSJWIKLCYZ24, author = {Qinglong Wang and Qianqian Wang and Zhipeng Ning and Kai Fung Chan and Jialin Jiang and Yuqiong Wang and Lin Su and Shuai Jiang and Ben Wang and Bonaventure Yiu Ming Ip and Ho Ko and Thomas Wai Hong Leung and Philip Wai Yan Chiu and Simon Chun{-}Ho Yu and Li Zhang}, title = {Tracking and navigation of a microswarm under laser speckle contrast imaging for targeted delivery}, journal = {Sci. Robotics}, volume = {9}, number = {87}, year = {2024}, url = {https://doi.org/10.1126/scirobotics.adh1978}, doi = {10.1126/SCIROBOTICS.ADH1978}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/WangWNCJWSJWIKLCYZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SuLZHYHW24, author = {Te{-}Jen Su and Wei{-}Hong Lin and Qian{-}Yi Zhuang and Ya{-}Chung Hung and Wen{-}Rong Yang and Bo{-}Jun He and Shih{-}Ming Wang}, title = {Application of Independent Component Analysis and Nelder-Mead Particle Swarm Optimization Algorithm in Non-Contact Blood Pressure Estimation}, journal = {Sensors}, volume = {24}, number = {11}, pages = {3544}, year = {2024}, url = {https://doi.org/10.3390/s24113544}, doi = {10.3390/S24113544}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SuLZHYHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/ZhangZZCCSZW24, author = {Cheng Zhang and Ru Zhang and Liru Zhang and Mingsheng Chen and Hong Cheng and Chuan Shen and Quanbin Zhang and Sui Wei}, title = {Spatio-temporal coherent snapshot compressed imaging with time-varying composite phase masks}, journal = {Signal Process.}, volume = {218}, pages = {109358}, year = {2024}, url = {https://doi.org/10.1016/j.sigpro.2023.109358}, doi = {10.1016/J.SIGPRO.2023.109358}, timestamp = {Mon, 20 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/ZhangZZCCSZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/ZhangLFXTL24, author = {Botao Zhang and Jacqueline Ty Lo and Hongqiang Fang and Chuan{-}Zhi Xie and Tie{-}Qiao Tang and Siuming Lo}, title = {Coupled simulation-optimization model for pedestrian evacuation guidance planning}, journal = {Simul. Model. Pract. Theory}, volume = {134}, pages = {102922}, year = {2024}, url = {https://doi.org/10.1016/j.simpat.2024.102922}, doi = {10.1016/J.SIMPAT.2024.102922}, timestamp = {Fri, 05 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simpra/ZhangLFXTL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/WangPSJ24, author = {Chuang Wang and Quan{-}Ke Pan and Hong{-}yan Sang and Xue{-}Lei Jing}, title = {A cascaded flowshop joint scheduling problem with makespan minimization: {A} mathematical model and shifting iterated greedy algorithm}, journal = {Swarm Evol. Comput.}, volume = {86}, pages = {101489}, year = {2024}, url = {https://doi.org/10.1016/j.swevo.2024.101489}, doi = {10.1016/J.SWEVO.2024.101489}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swevo/WangPSJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChoiJKKPPY24, author = {Suhyeong Choi and Jinwook Jung and Andrew B. Kahng and Minsoo Kim and Chul{-}Hong Park and Bodhisatta Pramanik and Dooseok Yoon}, title = {{PROBE3.0:} {A} Systematic Framework for Design-Technology Pathfinding With Improved Design Enablement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {4}, pages = {1218--1231}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3334591}, doi = {10.1109/TCAD.2023.3334591}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChoiJKKPPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/XiuDLLWZ24, author = {Yang Xiu and Hongbin Deng and Dongfang Li and Rob Law and Edmond Qi Wu and Limin Zhu}, title = {Collision Avoidance Regulation-Compliant Orientation Guidance and Maneuvering Control Approach for Snake Robots}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {9}, pages = {10955--10965}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3342304}, doi = {10.1109/TIE.2023.3342304}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/XiuDLLWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/FengMSYJ24, author = {Yi{-}Fei Feng and Hong{-}Yu Ma and Li{-}Yong Shen and Chun{-}Ming Yuan and Xin Jiang}, title = {Real-Time Tool-Path Planning Using Deep Learning for Subtractive Manufacturing}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {4}, pages = {5979--5988}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3342474}, doi = {10.1109/TII.2023.3342474}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/FengMSYJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/LiuFZZH24, author = {Zemin Liu and Yuan Fang and Wentao Zhang and Xinming Zhang and Steven C. H. Hoi}, title = {Locality-Aware Tail Node Embeddings on Homogeneous and Heterogeneous Networks}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {36}, number = {6}, pages = {2517--2532}, year = {2024}, url = {https://doi.org/10.1109/TKDE.2023.3313355}, doi = {10.1109/TKDE.2023.3313355}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/LiuFZZH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhaoZLHWF24, author = {Chuang Zhao and Hongke Zhao and Xiaomeng Li and Ming He and Jiahui Wang and Jianping Fan}, title = {Cross-Domain Recommendation via Progressive Structural Alignment}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {36}, number = {6}, pages = {2401--2415}, year = {2024}, url = {https://doi.org/10.1109/TKDE.2023.3324912}, doi = {10.1109/TKDE.2023.3324912}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/ZhaoZLHWF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/ChenCLHHL24, author = {Yu{-}Ming Chen and Kuan{-}Yu Chang and Chien Liu and Tsu{-}Ching Hsiao and Zhang{-}Wei Hong and Chun{-}Yi Lee}, title = {Composing Synergistic Macro Actions for Reinforcement Learning Agents}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {35}, number = {5}, pages = {7251--7258}, year = {2024}, url = {https://doi.org/10.1109/TNNLS.2022.3213606}, doi = {10.1109/TNNLS.2022.3213606}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/ChenCLHHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/LiZLLJL24, author = {Ziqi Li and Heli Zhang and Chunyu Liu and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Online Service Deployment on Mega-LEO Satellite Constellations for End-to-End Delay Optimization}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {11}, number = {1}, pages = {1214--1226}, year = {2024}, url = {https://doi.org/10.1109/TNSE.2023.3321644}, doi = {10.1109/TNSE.2023.3321644}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnse/LiZLLJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/ChenLHZZWL24, author = {Xiang Chen and Hongyan Liu and Qun Huang and Yudong Zhang and Haifeng Zhou and Chunming Wu and Xuan Liu}, title = {Toward Scalable and Low-Cost Traffic Testing for Evaluating DDoS Defense Solutions}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {32}, number = {1}, pages = {191--206}, year = {2024}, url = {https://doi.org/10.1109/TNET.2023.3281449}, doi = {10.1109/TNET.2023.3281449}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/ChenLHZZWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LiZLJL24, author = {Ziqi Li and Heli Zhang and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Distributed Task Scheduling for MEC-Assisted Virtual Reality: {A} Fully-Cooperative Multiagent Perspective}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {7}, pages = {10572--10586}, year = {2024}, url = {https://doi.org/10.1109/TVT.2024.3365476}, doi = {10.1109/TVT.2024.3365476}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LiZLJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/YuJY24, author = {Hyemin Yu and MinChul Ju and Hong{-}Chuan Yang}, title = {Aggregate Throughput Maximization for UAV-Enabled Relay Networks With Wireless Power Transfer: Joint Trajectory and Power Optimization}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {6}, pages = {8253--8265}, year = {2024}, url = {https://doi.org/10.1109/TVT.2024.3353735}, doi = {10.1109/TVT.2024.3353735}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/YuJY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/XuZLYJL24, author = {Yueqiang Xu and Heli Zhang and Xi Li and F. Richard Yu and Hong Ji and Victor C. M. Leung}, title = {Blockchain-Based Edge Collaboration With Incentive Mechanism for MEC-Enabled {VR} Systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {23}, number = {4}, pages = {3706--3720}, year = {2024}, url = {https://doi.org/10.1109/TWC.2023.3310477}, doi = {10.1109/TWC.2023.3310477}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/XuZLYJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/LiSXCZ24, author = {Zhicheng Li and Hong Shen and Wei Xu and Dong Chen and Chunming Zhao}, title = {Deep Learning-Based Adaptive Phase Shift Compression and Feedback in IRS-Assisted Communication Systems}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {13}, number = {3}, pages = {766--770}, year = {2024}, url = {https://doi.org/10.1109/LWC.2023.3342900}, doi = {10.1109/LWC.2023.3342900}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/LiSXCZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangRZL024, author = {Yan Wang and Chuan{-}Xian Ren and Yi{-}Ming Zhai and You{-}Wei Luo and Hong Yan}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Probability-Polarized Optimal Transport for Unsupervised Domain Adaptation}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {15653--15661}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i14.29493}, doi = {10.1609/AAAI.V38I14.29493}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangRZL024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhangLWSWWC24, author = {Chenrui Zhang and Lin Liu and Chuyuan Wang and Xiao Sun and Hongyu Wang and Jinpeng Wang and Mingchen Cai}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {{PREFER:} Prompt Ensemble Learning via Feedback-Reflect-Refine}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {19525--19532}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i17.29924}, doi = {10.1609/AAAI.V38I17.29924}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhangLWSWWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/HongKCL24, author = {Tzung{-}Pei Hong and Meng{-}Jui Kuo and Chun{-}Hao Chen and Katherine Shu{-}Min Li}, editor = {Ngoc Thanh Nguyen and Richard Chbeir and Yannis Manolopoulos and Hamido Fujita and Tzung{-}Pei Hong and Minh Le Nguyen and Krystian Wojtkiewicz}, title = {Federated Erasable-Itemset Mining with Quasi-Erasable Itemsets}, booktitle = {Intelligent Information and Database Systems - 16th Asian Conference, {ACIIDS} 2024, Ras Al Khaimah, UAE, April 15-18, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14795}, pages = {299--307}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-97-4982-9\_24}, doi = {10.1007/978-981-97-4982-9\_24}, timestamp = {Wed, 07 Aug 2024 16:56:30 +0200}, biburl = {https://dblp.org/rec/conf/aciids/HongKCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LaiLYHCTL24, author = {Shih{-}Yu Lai and Dai{-}En Liu and Zong{-}Fan Yeh and Chun{-}Che Hsu and Fu{-}Yao Chang and Ming{-}Zhi Tsai and Ruei{-}Hong Lin}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Footprints of Travel: AIoT and {AR} Enhanced Tourist Gaming Experience in Unmanned Cultural Sites}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {633:1--633:6}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3648626}, doi = {10.1145/3613905.3648626}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LaiLYHCTL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/DingHY24, author = {Chuyao Ding and Yu Hong and Jianmin Yao}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {{SGCM:} Salience-Guided Context Modeling for Question Generation}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {14755--14762}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.1285}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/DingHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ZhangLL0XZ24, author = {Hongxiao Zhang and Mingtong Liu and Chunyou Li and Yufeng Chen and Jinan Xu and Ming Zhou}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {A Reinforcement Learning Approach to Improve Low-Resource Machine Translation Leveraging Domain Monolingual Data}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {1486--1497}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.132}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/ZhangLL0XZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ZhaoXYJL24, author = {Honggang Zhao and Chunling Xiao and Jiayi Yang and Guozhu Jin and Mingyong Li}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {MccSTN: Multi-Scale Contrast and Fine-Grained Feature Fusion Networks for Subject-driven Style Transfer}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {11090--11100}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.967}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/ZhaoXYJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/TsengSHLC24, author = {Yu{-}Wen Tseng and Hong{-}Han Shuai and Ching{-}Chun Huang and Yung{-}Hui Li and Wen{-}Huang Cheng}, title = {Language-Guided Negative Sample Mining for Open-Vocabulary Object Detection}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457133}, doi = {10.1109/ICEIC61013.2024.10457133}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/TsengSHLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/ChungSH24, author = {Claris Yee Seung Chung and David Sundaram and Yvonne Hong}, editor = {Tung X. Bui}, title = {Introduction to the Minitrack on Digitization of the Individual - Personal Decision Analytics}, booktitle = {57th Hawaii International Conference on System Sciences, {HICSS} 2024, Hilton Hawaiian Village Waikiki Beach Resort, Hawaii, USA, January 3-6, 2024}, pages = {1231}, publisher = {ScholarSpace}, year = {2024}, url = {https://hdl.handle.net/10125/106527}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/ChungSH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LiDWGYZKX24, author = {Qiao Li and Hongyang Dang and Zheng Wan and Congming Gao and Min Ye and Jie Zhang and Tei{-}Wei Kuo and Chun Jason Xue}, title = {Midas Touch: Invalid-Data Assisted Reliability and Performance Boost for 3d High-Density Flash}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {657--670}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00057}, doi = {10.1109/HPCA57654.2024.00057}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LiDWGYZKX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HsuLWHL024, author = {Hung Chun Hsu and Ting{-}Le Lin and Bo{-}Jun Wu and Ming{-}Yi Hong and Che Lin and Chih{-}Yu Wang}, title = {FincGAN: {A} Gan Framework of Imbalanced Node Classification on Heterogeneous Graph Neural Network}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {5750--5754}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10448064}, doi = {10.1109/ICASSP48485.2024.10448064}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HsuLWHL024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WangCZJHZCCLZXZ24, author = {Yan Wang and Zhixuan Chu and Tao Zhou and Caigao Jiang and Hongyan Hao and Minjie Zhu and Xindong Cai and Qing Cui and Longfei Li and James Y. Zhang and Siqiao Xue and Jun Zhou}, title = {Enhancing Event Sequence Modeling with Contrastive Relational Inference}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {6145--6149}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446896}, doi = {10.1109/ICASSP48485.2024.10446896}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WangCZJHZCCLZXZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YuHHL24, author = {Chu{-}Chun Yu and Ming{-}Yi Hong and Chiok{-}Yew Ho and Che Lin}, title = {Push4Rec: Temporal and Contextual Trend-Aware Transformer Push Notification Recommender}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {6625--6629}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10447336}, doi = {10.1109/ICASSP48485.2024.10447336}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YuHHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccae/ChiuALH24, author = {Chui{-}Hong Chiu and Lia Astuti and Yu{-}Chen Lin and Ming{-}Ku Hung}, title = {Dual-Attention Mechanism for Monocular Depth Estimation}, booktitle = {16th International Conference on Computer and Automation Engineering, {ICCAE} 2024, Melbourne, Australia, March 14-16, 2024}, pages = {456--460}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCAE59995.2024.10569356}, doi = {10.1109/ICCAE59995.2024.10569356}, timestamp = {Wed, 24 Jul 2024 13:32:26 +0200}, biburl = {https://dblp.org/rec/conf/iccae/ChiuALH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/Hu0ZQ0CLY24, author = {Chuhan Hu and Ming Zhong and Yuanyuan Zhu and Tieyun Qian and Ting Yu and Hongyang Chen and Mengchi Liu and Jeffrey Xu Yu}, title = {Querying Cohesive Subgraph Regarding Span-Constrained Triangles on Temporal Graphs}, booktitle = {40th {IEEE} International Conference on Data Engineering, {ICDE} 2024, Utrecht, The Netherlands, May 13-16, 2024}, pages = {3338--3350}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICDE60146.2024.00258}, doi = {10.1109/ICDE60146.2024.00258}, timestamp = {Mon, 29 Jul 2024 14:11:15 +0200}, biburl = {https://dblp.org/rec/conf/icde/Hu0ZQ0CLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/BansalCSSGGG24, author = {Arpit Bansal and Hong{-}Min Chu and Avi Schwarzschild and Soumyadip Sengupta and Micah Goldblum and Jonas Geiping and Tom Goldstein}, title = {Universal Guidance for Diffusion Models}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=pzpWBbnwiJ}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/BansalCSSGGG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/HongW0YH0YGL24, author = {Ziming Hong and Zhenyi Wang and Li Shen and Yu Yao and Zhuo Huang and Shiming Chen and Chuanwu Yang and Mingming Gong and Tongliang Liu}, title = {Improving Non-Transferable Representation Learning by Harnessing Content and Style}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=FYKVPOHCpE}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/HongW0YH0YGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/JainCWKCSBKSSGG24, author = {Neel Jain and Ping{-}yeh Chiang and Yuxin Wen and John Kirchenbauer and Hong{-}Min Chu and Gowthami Somepalli and Brian R. Bartoldson and Bhavya Kailkhura and Avi Schwarzschild and Aniruddha Saha and Micah Goldblum and Jonas Geiping and Tom Goldstein}, title = {NEFTune: Noisy Embeddings Improve Instruction Finetuning}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=0bMmZ3fkCk}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/JainCWKCSBKSSGG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ParkDSYHKL24, author = {Minyoung Park and Mirae Do and YeonJae Shin and Jaeseok Yoo and Jongkwang Hong and Joongrock Kim and Chul Lee}, title = {{H2O-SDF:} Two-phase Learning for 3D Indoor Reconstruction using Object Surface Fields}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=P1ANzoGg3W}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ParkDSYHKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and Sunghoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangLZGLYHLYYLDLL24, author = {Linfang Wang and Weizeng Li and Zhidao Zhou and Hanghang Gao and Zhi Li and Wang Ye and Hongyang Hu and Jing Liu and Jinshan Yue and Jianguo Yang and Qing Luo and Chunmeng Dou and Qi Liu and Ming Liu}, title = {34.9 {A} Flash-SRAM-ADC-Fused Plastic Computing-in-Memory Macro for Learning in Neural Networks in a Standard 14nm FinFET Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {582--584}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454372}, doi = {10.1109/ISSCC49657.2024.10454372}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WangLZGLYHLYYLDLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ksem/HongCXMCZ24, author = {Zixuan Hong and Weipeng Cao and Zhiwu Xu and Zhong Ming and Chuqing Cao and Liang Zheng}, editor = {Cungeng Cao and Huajun Chen and Liang Zhao and Junaid Arshad and A. Taufiq Asyhari and Yonghao Wang}, title = {{DSCVSR:} {A} Lightweight Video Super-Resolution for Arbitrary Magnification}, booktitle = {Knowledge Science, Engineering and Management - 17th International Conference, {KSEM} 2024, Birmingham, UK, August 16-18, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14884}, pages = {112--123}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-97-5492-2\_9}, doi = {10.1007/978-981-97-5492-2\_9}, timestamp = {Thu, 08 Aug 2024 08:55:14 +0200}, biburl = {https://dblp.org/rec/conf/ksem/HongCXMCZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/HongCXCTMCZ24, author = {Zixuan Hong and Weipeng Cao and Zhiwu Xu and Zhenru Chen and Xi Tao and Zhong Ming and Chuqing Cao and Liang Zheng}, editor = {Stevan Rudinac and Alan Hanjalic and Cynthia C. S. Liem and Marcel Worring and Bj{\"{o}}rn {\TH}{\'{o}}r J{\'{o}}nsson and Bei Liu and Yoko Yamakata}, title = {MetaVSR: {A} Novel Approach to Video Super-Resolution for Arbitrary Magnification}, booktitle = {MultiMedia Modeling - 30th International Conference, {MMM} 2024, Amsterdam, The Netherlands, January 29 - February 2, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14554}, pages = {300--313}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-53305-1\_23}, doi = {10.1007/978-3-031-53305-1\_23}, timestamp = {Sat, 02 Mar 2024 21:19:05 +0100}, biburl = {https://dblp.org/rec/conf/mmm/HongCXCTMCZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KhanHHWLCC24, author = {Zuhaib Khan and Chung{-}Yu Hong and Ming{-}Che Hsieh and Chun{-}I Wu and Long{-}Yi Lin and Chun{-}Chieh Chen and David Cheng}, title = {Ultra-Thin Bottom-Emission VCSEL-Based Optoelectronic Flexible Printed Circuit Module for High-Speed Transmission}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526684}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KhanHHWLCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/YangZWZXSZ24, author = {Weijie Yang and Chunyu Zhang and Danshi Wang and Hong Zhu and Xinxing Xu and Degang Shi and Min Zhang}, title = {Data Labeling Using Unsupervised Cascaded Pre-training with Fused Multi-port Data for Optical Failure Management}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526783}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/YangZWZXSZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/ChenXL000HZ0024, author = {Xiang Chen and Qingjiang Xiao and Hongyan Liu and Qun Huang and Dong Zhang and Xuan Liu and Longbing Hu and Haifeng Zhou and Chunming Wu and Kui Ren}, title = {Eagle: Toward Scalable and Near-Optimal Network-Wide Sketch Deployment in Network Measurement}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2024 Conference, {ACM} {SIGCOMM} 2024, Sydney, NSW, Australia, August 4-8, 2024}, pages = {291--310}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3651890.3672244}, doi = {10.1145/3651890.3672244}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/ChenXL000HZ0024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ParkKYHYCCC24, author = {Chung Park and Taesan Kim and Hyungjun Yoon and Junui Hong and Yelim Yu and Mincheol Cho and Minsung Choi and Jaegul Choo}, editor = {Grace Hui Yang and Hongning Wang and Sam Han and Claudia Hauff and Guido Zuccon and Yi Zhang}, title = {Pacer and Runner: Cooperative Learning Framework between Single- and Cross-Domain Sequential Recommendation}, booktitle = {Proceedings of the 47th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2024, Washington DC, USA, July 14-18, 2024}, pages = {2071--2080}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626772.3657710}, doi = {10.1145/3626772.3657710}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ParkKYHYCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/SunW0WFZF024, author = {Peijie Sun and Yifan Wang and Min Zhang and Chuhan Wu and Yan Fang and Hong Zhu and Yuan Fang and Meng Wang}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Roy Ka{-}Wei Lee and Ravi Kumar and Hady W. Lauw}, title = {Collaborative-Enhanced Prediction of Spending on Newly Downloaded Mobile Games under Consumption Uncertainty}, booktitle = {Companion Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, Singapore, May 13-17, 2024}, pages = {10--19}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589335.3648297}, doi = {10.1145/3589335.3648297}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/SunW0WFZF024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ZhangSWFMXLYS24, author = {Mengmei Zhang and Mingwei Sun and Peng Wang and Shen Fan and Yanhu Mo and Xiaoxiao Xu and Hong Liu and Cheng Yang and Chuan Shi}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Ravi Kumar and Hady W. Lauw and Roy Ka{-}Wei Lee}, title = {GraphTranslator: Aligning Graph Model to Large Language Model for Open-ended Tasks}, booktitle = {Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, May 13-17, 2024}, pages = {1003--1014}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589334.3645682}, doi = {10.1145/3589334.3645682}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/ZhangSWFMXLYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/SunWZWFZFW24, author = {Peijie Sun and Yifan Wang and Min Zhang and Chuhan Wu and Yan Fang and Hong Zhu and Yuan Fang and Meng Wang}, title = {Collaborative-Enhanced Prediction of Spending on Newly Downloaded Mobile Games under Consumption Uncertainty (Version 1)}, publisher = {Zenodo}, year = {2024}, month = mar, howpublished = {\url{https://doi.org/10.5281/zenodo.10775846}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.10775846}, doi = {10.5281/ZENODO.10775846}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/SunWZWFZFW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-01117, author = {Chunyi Li and Haoning Wu and Zicheng Zhang and Hongkun Hao and Kaiwei Zhang and Lei Bai and Xiaohong Liu and Xiongkuo Min and Weisi Lin and Guangtao Zhai}, title = {Q-Refine: {A} Perceptual Quality Refiner for AI-Generated Image}, journal = {CoRR}, volume = {abs/2401.01117}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.01117}, doi = {10.48550/ARXIV.2401.01117}, eprinttype = {arXiv}, eprint = {2401.01117}, timestamp = {Thu, 30 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-01117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-11647, author = {Ye Lin Tun and Chu Myaet Thwal and Le Quang Huy and Minh N. H. Nguyen and Choong Seon Hong}, title = {LW-FedSSL: Resource-efficient Layer-wise Federated Self-supervised Learning}, journal = {CoRR}, volume = {abs/2401.11647}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.11647}, doi = {10.48550/ARXIV.2401.11647}, eprinttype = {arXiv}, eprint = {2401.11647}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-11647.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-11652, author = {Chu Myaet Thwal and Minh N. H. Nguyen and Ye Lin Tun and Seong Tae Kim and My T. Thai and Choong Seon Hong}, title = {OnDev-LCT: On-Device Lightweight Convolutional Transformers towards federated learning}, journal = {CoRR}, volume = {abs/2401.11652}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.11652}, doi = {10.48550/ARXIV.2401.11652}, eprinttype = {arXiv}, eprint = {2401.11652}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-11652.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-13898, author = {Huy Q. Le and Chu Myaet Thwal and Yu Qiao and Ye Lin Tun and Minh N. H. Nguyen and Choong Seon Hong}, title = {Cross-Modal Prototype based Multimodal Federated Learning under Severely Missing Modality}, journal = {CoRR}, volume = {abs/2401.13898}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.13898}, doi = {10.48550/ARXIV.2401.13898}, eprinttype = {arXiv}, eprint = {2401.13898}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-13898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01440, author = {Xingtong Yu and Yuan Fang and Zemin Liu and Yuxia Wu and Zhihao Wen and Jianyuan Bo and Xinming Zhang and Steven C. H. Hoi}, title = {Few-Shot Learning on Graphs: from Meta-learning to Pre-training and Prompting}, journal = {CoRR}, volume = {abs/2402.01440}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01440}, doi = {10.48550/ARXIV.2402.01440}, eprinttype = {arXiv}, eprint = {2402.01440}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01440.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-02526, author = {Quang Pham and Giang Do and Huy Nguyen and TrungTin Nguyen and Chenghao Liu and Mina Sartipi and Binh T. Nguyen and Savitha Ramasamy and Xiaoli Li and Steven C. H. Hoi and Nhat Ho}, title = {CompeteSMoE - Effective Training of Sparse Mixture of Experts via Competition}, journal = {CoRR}, volume = {abs/2402.02526}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.02526}, doi = {10.48550/ARXIV.2402.02526}, eprinttype = {arXiv}, eprint = {2402.02526}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-02526.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-07197, author = {Mengmei Zhang and Mingwei Sun and Peng Wang and Shen Fan and Yanhu Mo and Xiaoxiao Xu and Hong Liu and Cheng Yang and Chuan Shi}, title = {GraphTranslator: Aligning Graph Model to Large Language Model for Open-ended Tasks}, journal = {CoRR}, volume = {abs/2402.07197}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.07197}, doi = {10.48550/ARXIV.2402.07197}, eprinttype = {arXiv}, eprint = {2402.07197}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-07197.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08138, author = {Minyoung Park and Mirae Do and YeonJae Shin and Jaeseok Yoo and Jongkwang Hong and Joongrock Kim and Chul Lee}, title = {{H2O-SDF:} Two-phase Learning for 3D Indoor Reconstruction using Object Surface Fields}, journal = {CoRR}, volume = {abs/2402.08138}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08138}, doi = {10.48550/ARXIV.2402.08138}, eprinttype = {arXiv}, eprint = {2402.08138}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08138.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09288, author = {Ayush K. Tarun and Vikram S. Chundawat and Murari Mandal and Hong Ming Tan and Bowei Chen and Mohan S. Kankanhalli}, title = {EcoVal: An Efficient Data Valuation Framework for Machine Learning}, journal = {CoRR}, volume = {abs/2402.09288}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09288}, doi = {10.48550/ARXIV.2402.09288}, eprinttype = {arXiv}, eprint = {2402.09288}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09288.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09679, author = {Jian Chen and Mingcong Chen and Qingxiang Zhao and Shuai Wang and Yihe Wang and Ying Xiao and Jian Hu and Danny Tat Ming Chan and Kam Tong Leo Yeung and David Yuen Chung Chan and Hongbin Liu}, title = {Design and Visual Servoing Control of a Hybrid Dual-Segment Flexible Neurosurgical Robot for Intraventricular Biopsy}, journal = {CoRR}, volume = {abs/2402.09679}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09679}, doi = {10.48550/ARXIV.2402.09679}, eprinttype = {arXiv}, eprint = {2402.09679}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09679.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-15321, author = {Francis Engelmann and Ay{\c{c}}a Takmaz and Jonas Schult and Elisabetta Fedele and Johanna Wald and Songyou Peng and Xi Wang and Or Litany and Siyu Tang and Federico Tombari and Marc Pollefeys and Leonidas J. Guibas and Hongbo Tian and Chunjie Wang and Xiaosheng Yan and Bingwen Wang and Xuanyang Zhang and Xiao Liu and Phuc Nguyen and Khoi Nguyen and Anh Tran and Cuong Pham and Zhening Huang and Xiaoyang Wu and Xi Chen and Hengshuang Zhao and Lei Zhu and Joan Lasenby}, title = {OpenSUN3D: 1st Workshop Challenge on Open-Vocabulary 3D Scene Understanding}, journal = {CoRR}, volume = {abs/2402.15321}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.15321}, doi = {10.48550/ARXIV.2402.15321}, eprinttype = {arXiv}, eprint = {2402.15321}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-15321.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-00261, author = {Jiahao Hong and Jialong Zuo and Chuchu Han and Ruochen Zheng and Ming Tian and Changxin Gao and Nong Sang}, title = {Spatial Cascaded Clustering and Weighted Memory for Unsupervised Person Re-identification}, journal = {CoRR}, volume = {abs/2403.00261}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.00261}, doi = {10.48550/ARXIV.2403.00261}, eprinttype = {arXiv}, eprint = {2403.00261}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-00261.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-08857, author = {Minbin Huang and Yanxin Long and Xinchi Deng and Ruihang Chu and Jiangfeng Xiong and Xiaodan Liang and Hong Cheng and Qinglin Lu and Wei Liu}, title = {DialogGen: Multi-modal Interactive Dialogue System for Multi-turn Text-to-Image Generation}, journal = {CoRR}, volume = {abs/2403.08857}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.08857}, doi = {10.48550/ARXIV.2403.08857}, eprinttype = {arXiv}, eprint = {2403.08857}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-08857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-15828, author = {Zemin Sun and Geng Sun and Qingqing Wu and Long He and Shuang Liang and Hongyang Pan and Dusit Niyato and Chau Yuen and Victor C. M. Leung}, title = {{TJCCT:} {A} Two-timescale Approach for UAV-assisted Mobile Edge Computing}, journal = {CoRR}, volume = {abs/2403.15828}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.15828}, doi = {10.48550/ARXIV.2403.15828}, eprinttype = {arXiv}, eprint = {2403.15828}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-15828.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00610, author = {Chi{-}Min Chan and Chunpu Xu and Ruibin Yuan and Hongyin Luo and Wei Xue and Yike Guo and Jie Fu}, title = {{RQ-RAG:} Learning to Refine Queries for Retrieval Augmented Generation}, journal = {CoRR}, volume = {abs/2404.00610}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00610}, doi = {10.48550/ARXIV.2404.00610}, eprinttype = {arXiv}, eprint = {2404.00610}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-07721, author = {Yi Sun and Hong Shen and Bingqing Li and Wei Xu and Pengcheng Zhu and Nan Hu and Chunming Zhao}, title = {Trainable Joint Channel Estimation, Detection and Decoding for {MIMO} {URLLC} Systems}, journal = {CoRR}, volume = {abs/2404.07721}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.07721}, doi = {10.48550/ARXIV.2404.07721}, eprinttype = {arXiv}, eprint = {2404.07721}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-07721.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08301, author = {Peijie Sun and Yifan Wang and Min Zhang and Chuhan Wu and Yan Fang and Hong Zhu and Yuan Fang and Meng Wang}, title = {Collaborative-Enhanced Prediction of Spending on Newly Downloaded Mobile Games under Consumption Uncertainty}, journal = {CoRR}, volume = {abs/2404.08301}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08301}, doi = {10.48550/ARXIV.2404.08301}, eprinttype = {arXiv}, eprint = {2404.08301}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08301.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09790, author = {Zheng Chen and Zongwei Wu and Eduard Zamfir and Kai Zhang and Yulun Zhang and Radu Timofte and Xiaokang Yang and Hongyuan Yu and Cheng Wan and Yuxin Hong and Zhijuan Huang and Yajun Zou and Yuan Huang and Jiamin Lin and Bingnan Han and Xianyu Guan and Yongsheng Yu and Daoan Zhang and Xuanwu Yin and Kunlong Zuo and Jinhua Hao and Kai Zhao and Kun Yuan and Ming Sun and Chao Zhou and Hongyu An and Xinfeng Zhang and Zhiyuan Song and Ziyue Dong and Qing Zhao and Xiaogang Xu and Pengxu Wei and Zhi{-}Chao Dou and Gui{-}Ling Wang and Chih{-}Chung Hsu and Chia{-}Ming Lee and Yi{-}Shiuan Chou and Cansu Korkmaz and A. Murat Tekalp and Yubin Wei and Xiaole Yan and Binren Li and Haonan Chen and Siqi Zhang and Sihan Chen and Amogh Joshi and Nikhil Akalwadi and Sampada Malagi and Palani Yashaswini and Chaitra Desai and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi and Anjali Sarvaiya and Pooja Choksy and Jagrit Joshi and Shubh Kawa and Kishor P. Upla and Sushrut Patwardhan and Raghavendra Ramachandra and Sadat Hossain and Geongi Park and S. M. Nadim Uddin and Hao Xu and Yanhui Guo and Aman Urumbekov and Xingzhuo Yan and Wei Hao and Minghan Fu and Isaac Orais and Samuel Smith and Ying Liu and Wangwang Jia and Qisheng Xu and Kele Xu and Weijun Yuan and Zhan Li and Wenqing Kuang and Ruijin Guan and Ruting Deng and Zhao Zhang and Bo Wang and Suiyi Zhao and Yan Luo and Yanyan Wei and Asif Hussain Khan and Christian Micheloni and Niki Martinel}, title = {{NTIRE} 2024 Challenge on Image Super-Resolution ({\unicode{10761}}4): Methods and Results}, journal = {CoRR}, volume = {abs/2404.09790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09790}, doi = {10.48550/ARXIV.2404.09790}, eprinttype = {arXiv}, eprint = {2404.09790}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10343, author = {Bin Ren and Yawei Li and Nancy Mehta and Radu Timofte and Hongyuan Yu and Cheng Wan and Yuxin Hong and Bingnan Han and Zhuoyuan Wu and Yajun Zou and Yuqing Liu and Jizhe Li and Keji He and Chao Fan and Heng Zhang and Xiaolin Zhang and Xuanwu Yin and Kunlong Zuo and Bohao Liao and Peizhe Xia and Long Peng and Zhibo Du and Xin Di and Wangkai Li and Yang Wang and Wei Zhai and Renjing Pei and Jiaming Guo and Songcen Xu and Yang Cao and Zhengjun Zha and Yan Wang and Yi Liu and Qing Wang and Gang Zhang and Liou Zhang and Shijie Zhao and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Xin Liu and Min Yan and Qian Wang and Menghan Zhou and Yiqiang Yan and Yixuan Liu and Wensong Chan and Dehua Tang and Dong Zhou and Li Wang and Lu Tian and Barsoum Emad and Bohan Jia and Junbo Qiao and Yunshuai Zhou and Yun Zhang and Wei Li and Shaohui Lin and Shenglong Zhou and Binbin Chen and Jincheng Liao and Suiyi Zhao and Zhao Zhang and Bo Wang and Yan Luo and Yanyan Wei and Feng Li and Mingshen Wang and Yawei Li and Jinhan Guan and Dehua Hu and Jiawei Yu and Qisheng Xu and Tao Sun and Long Lan and Kele Xu and Xin Lin and Jingtong Yue and Lehan Yang and Shiyi Du and Lu Qi and Chao Ren and Zeyu Han and Yuhan Wang and Chaolin Chen and Haobo Li and Mingjun Zheng and Zhongbao Yang and Lianhong Song and Xingzhuo Yan and Minghan Fu and Jingyi Zhang and Baiang Li and Qi Zhu and Xiaogang Xu and Dan Guo and Chunle Guo and Jiadi Chen and Huanhuan Long}, title = {The Ninth {NTIRE} 2024 Efficient Super-Resolution Challenge Report}, journal = {CoRR}, volume = {abs/2404.10343}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10343}, doi = {10.48550/ARXIV.2404.10343}, eprinttype = {arXiv}, eprint = {2404.10343}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10575, author = {Chung{-}Yiu Yau and Hoi{-}To Wai and Parameswaran Raman and Soumajyoti Sarkar and Mingyi Hong}, title = {EMC\({}^{\mbox{2}}\): Efficient {MCMC} Negative Sampling for Contrastive Learning with Global Convergence}, journal = {CoRR}, volume = {abs/2404.10575}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10575}, doi = {10.48550/ARXIV.2404.10575}, eprinttype = {arXiv}, eprint = {2404.10575}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10575.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-11343, author = {Sein Kim and Hongseok Kang and Seungyoon Choi and Donghyun Kim and Min{-}Chul Yang and Chanyoung Park}, title = {Large Language Models meet Collaborative Filtering: An Efficient All-round LLM-based Recommender System}, journal = {CoRR}, volume = {abs/2404.11343}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.11343}, doi = {10.48550/ARXIV.2404.11343}, eprinttype = {arXiv}, eprint = {2404.11343}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-11343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-13627, author = {Chunkit Chan and Cheng Jiayang and Yauwai Yim and Zheye Deng and Wei Fan and Haoran Li and Xin Liu and Hongming Zhang and Weiqi Wang and Yangqiu Song}, title = {NegotiationToM: {A} Benchmark for Stress-testing Machine Theory of Mind on Negotiation Surrounding}, journal = {CoRR}, volume = {abs/2404.13627}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.13627}, doi = {10.48550/ARXIV.2404.13627}, eprinttype = {arXiv}, eprint = {2404.13627}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-13627.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18319, author = {Fan Yao and Yiming Liao and Mingzhe Wu and Chuanhao Li and Yan Zhu and James Yang and Qifan Wang and Haifeng Xu and Hongning Wang}, title = {User Welfare Optimization in Recommender Systems with Competing Content Creators}, journal = {CoRR}, volume = {abs/2404.18319}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18319}, doi = {10.48550/ARXIV.2404.18319}, eprinttype = {arXiv}, eprint = {2404.18319}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18319.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-05288, author = {Nian Liu and Shen Fan and Ting Bai and Peng Wang and Mingwei Sun and Yanhu Mo and Xiaoxiao Xu and Hong Liu and Chuan Shi}, title = {Learning Social Graph for Inactive User Recommendation}, journal = {CoRR}, volume = {abs/2405.05288}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.05288}, doi = {10.48550/ARXIV.2405.05288}, eprinttype = {arXiv}, eprint = {2405.05288}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-05288.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-08816, author = {Lingdong Kong and Shaoyuan Xie and Hanjiang Hu and Yaru Niu and Wei Tsang Ooi and Benoit R. Cottereau and Lai Xing Ng and Yuexin Ma and Wenwei Zhang and Liang Pan and Kai Chen and Ziwei Liu and Weichao Qiu and Wei Zhang and Xu Cao and Hao Lu and Ying{-}Cong Chen and Caixin Kang and Xinning Zhou and Chengyang Ying and Wentao Shang and Xingwei Wang and Yinpeng Dong and Bo Yang and Shengyin Jiang and Zeliang Ma and Dengyi Ji and Haiwen Li and Xingliang Huang and Yu Tian and Genghua Kou and Fan Jia and Yingfei Liu and Tiancai Wang and Ying Li and Xiaoshuai Hao and Yifan Yang and Hui Zhang and Mengchuan Wei and Yi Zhou and Haimei Zhao and Jing Zhang and Jinke Li and Xiao He and Xiaoqiang Cheng and Bingyang Zhang and Lirong Zhao and Dianlei Ding and Fangsheng Liu and Yixiang Yan and Hongming Wang and Nanfei Ye and Lun Luo and Yubo Tian and Yiwei Zuo and Zhe Cao and Yi Ren and Yunfan Li and Wenjie Liu and Xun Wu and Yifan Mao and Ming Li and Jian Liu and Jiayang Liu and Zihan Qin and Cunxi Chu and Jialei Xu and Wenbo Zhao and Junjun Jiang and Xianming Liu and Ziyan Wang and Chiwei Li and Shilong Li and Chendong Yuan and Songyue Yang and Wentao Liu and Peng Chen and Bin Zhou and Yubo Wang and Chi Zhang and Jianhang Sun and Hai Chen and Xiao Yang and Lizhong Wang and Dongyi Fu and Yongchun Lin and Huitong Yang and Haoang Li and Yadan Luo and Xianjing Cheng and Yong Xu}, title = {The RoboDrive Challenge: Drive Anytime Anywhere in Any Condition}, journal = {CoRR}, volume = {abs/2405.08816}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.08816}, doi = {10.48550/ARXIV.2405.08816}, eprinttype = {arXiv}, eprint = {2405.08816}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-08816.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-19730, author = {Shaohua Wang and Xing Xie and Yong Li and Danhuai Guo and Zhi Cai and Yu Liu and Yang Yue and Xiao Pan and Feng Lu and Huayi Wu and Zhipeng Gui and Zhiming Ding and Bolong Zheng and Fuzheng Zhang and Tao Qin and Jingyuan Wang and Chuang Tao and Zhengchao Chen and Hao Lu and Jiayi Li and Hongyang Chen and Peng Yue and Wenhao Yu and Yao Yao and Leilei Sun and Yong Zhang and Longbiao Chen and Xiaoping Du and Xiang Li and Xueying Zhang and Kun Qin and Zhaoya Gong and Weihua Dong and Xiaofeng Meng}, title = {Research on Foundation Model for Spatial Data Intelligence: China's 2024 White Paper on Strategic Development of Spatial Data Intelligence}, journal = {CoRR}, volume = {abs/2405.19730}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.19730}, doi = {10.48550/ARXIV.2405.19730}, eprinttype = {arXiv}, eprint = {2405.19730}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-19730.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-20092, author = {Jingchang Chen and Hongxuan Tang and Zheng Chu and Qianglong Chen and Zekun Wang and Ming Liu and Bing Qin}, title = {Divide-and-Conquer Meets Consensus: Unleashing the Power of Functions in Code Generation}, journal = {CoRR}, volume = {abs/2405.20092}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.20092}, doi = {10.48550/ARXIV.2405.20092}, eprinttype = {arXiv}, eprint = {2405.20092}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-20092.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09317, author = {Meng Wang and Tian Lin and Aidi Lin and Kai Yu and Yuanyuan Peng and Lianyu Wang and Cheng Chen and Ke Zou and Huiyu Liang and Man Chen and Xue Yao and Meiqin Zhang and Binwei Huang and Chaoxin Zheng and Peixin Zhang and Wei Chen and Yilong Luo and Yifan Chen and Honghe Xia and Tingkun Shi and Qi Zhang and Jinming Guo and Xiaolin Chen and Jingcheng Wang and Yih Chung Tham and Dianbo Liu and Wendy Wong and Sahil Thakur and Beau Fenner and Danqi Fang and Siying Liu and Qingyun Liu and Yuqiang Huang and Hongqiang Zeng and Yanda Meng and Yukun Zhou and Zehua Jiang and Minghui Qiu and Changqing Zhang and Xinjian Chen and Sophia Y. Wang and Cecilia S. Lee and Lucia Sobrin and Carol Y. Cheung and Chi Pui Pang and Pearse A Keane and Ching{-}Yu Cheng and Haoyu Chen and Huazhu Fu}, title = {Common and Rare Fundus Diseases Identification Using Vision-Language Foundation Model with Knowledge of Over 400 Diseases}, journal = {CoRR}, volume = {abs/2406.09317}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09317}, doi = {10.48550/ARXIV.2406.09317}, eprinttype = {arXiv}, eprint = {2406.09317}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-10591, author = {Ruibo Fu and Shuchen Shi and Hongming Guo and Tao Wang and Chunyu Qiang and Zhengqi Wen and Jianhua Tao and Xin Qi and Yi Lu and Xiaopeng Wang and Zhiyong Wang and Yukun Liu and Xuefei Liu and Shuai Zhang and Guanjun Li}, title = {{MINT:} a Multi-modal Image and Narrative Text Dubbing Dataset for Foley Audio Content Planning and Generation}, journal = {CoRR}, volume = {abs/2406.10591}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.10591}, doi = {10.48550/ARXIV.2406.10591}, eprinttype = {arXiv}, eprint = {2406.10591}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-10591.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-10744, author = {Ying Fu and Yu Li and Shaodi You and Boxin Shi and Jose Alvarez and Coert Van Gemeren and Linwei Chen and Yunhao Zou and Zichun Wang and Yichen Li and Yuze Han and Yingkai Zhang and Jianan Wang and Qinglin Liu and Wei Yu and Xiaoqian Lv and Jianing Li and Shengping Zhang and Xiangyang Ji and Yuanpei Chen and Yuhan Zhang and Weihang Peng and Liwen Zhang and Zhe Xu and Dingyong Gou and Cong Li and Senyan Xu and Yunkang Zhang and Siyuan Jiang and Xiaoqiang Lu and Licheng Jiao and Fang Liu and Xu Liu and Lingling Li and Wenping Ma and Shuyuan Yang and Haiyang Xie and Jian Zhao and Shihuang Huang and Peng Cheng and Xi Shen and Zheng Wang and Shuai An and Caizhi Zhu and Xuelong Li and Tao Zhang and Liang Li and Yu Liu and Chenggang Yan and Gengchen Zhang and Linyan Jiang and Bingyi Song and Zhuoyu An and Haibo Lei and Qing Luo and Jie Song and Yuan Liu and Qihang Li and Haoyuan Zhang and Lingfeng Wang and Wei Chen and Aling Luo and Cheng Li and Jun Cao and Shu Chen and Zifei Dou and Xinyu Liu and Jing Zhang and Kexin Zhang and Yuting Yang and Xuejian Gou and Qinliang Wang and Yang Liu and Shizhan Zhao and Yanzhao Zhang and Libo Yan and Yuwei Guo and Guoxin Li and Qiong Gao and Chenyue Che and Long Sun and Xiang Chen and Hao Li and Jinshan Pan and Chuanlong Xie and Hongming Chen and Mingrui Li and Tianchen Deng and Jingwei Huang and Yufeng Li and Fei Wan and Bingxin Xu and Jian Cheng and Hongzhe Liu and Cheng Xu and Yuxiang Zou and Weiguo Pan and Songyin Dai and Sen Jia and Junpei Zhang}, title = {Technique Report of {CVPR} 2024 {PBDL} Challenges}, journal = {CoRR}, volume = {abs/2406.10744}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.10744}, doi = {10.48550/ARXIV.2406.10744}, eprinttype = {arXiv}, eprint = {2406.10744}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-10744.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-11519, author = {Di Wang and Meiqi Hu and Yao Jin and Yuchun Miao and Jiaqi Yang and Yichu Xu and Xiaolei Qin and Jiaqi Ma and Lingyu Sun and Chenxing Li and Chuan Fu and Hongruixuan Chen and Chengxi Han and Naoto Yokoya and Jing Zhang and Minqiang Xu and Lin Liu and Lefei Zhang and Chen Wu and Bo Du and Dacheng Tao and Liangpei Zhang}, title = {HyperSIGMA: Hyperspectral Intelligence Comprehension Foundation Model}, journal = {CoRR}, volume = {abs/2406.11519}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.11519}, doi = {10.48550/ARXIV.2406.11519}, eprinttype = {arXiv}, eprint = {2406.11519}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-11519.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-12449, author = {Rui Yang and Yilin Ning and Emilia Keppo and Mingxuan Liu and Chuan Hong and Danielle S. Bitterman and Jasmine Chiat Ling Ong and Daniel Shu Wei Ting and Nan Liu}, title = {Retrieval-Augmented Generation for Generative Artificial Intelligence in Medicine}, journal = {CoRR}, volume = {abs/2406.12449}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.12449}, doi = {10.48550/ARXIV.2406.12449}, eprinttype = {arXiv}, eprint = {2406.12449}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-12449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-15222, author = {Yujian Hu and Yilang Xiang and Yan{-}Jie Zhou and Yangyan He and Shifeng Yang and Xiaolong Du and Chunlan Den and Youyao Xu and Gaofeng Wang and Zhengyao Ding and Jingyong Huang and Wenjun Zhao and Xuejun Wu and Donglin Li and Qianqian Zhu and Zhenjiang Li and Chenyang Qiu and Ziheng Wu and Yunjun He and Chen Tian and Yihui Qiu and Zuodong Lin and Xiaolong Zhang and Yuan He and Zhenpeng Yuan and Xiaoxiang Zhou and Rong Fan and Ruihan Chen and Wenchao Guo and Jianpeng Zhang and Tony C. W. Mok and Zi Li and Le Lu and Dehai Lang and Xiaoqiang Li and Guofu Wang and Wei Lu and Zhengxing Huang and Minfeng Xu and Hongkun Zhang}, title = {Rapid and Accurate Diagnosis of Acute Aortic Syndrome using Non-contrast {CT:} {A} Large-scale, Retrospective, Multi-center and AI-based Study}, journal = {CoRR}, volume = {abs/2406.15222}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.15222}, doi = {10.48550/ARXIV.2406.15222}, eprinttype = {arXiv}, eprint = {2406.15222}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-15222.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-16494, author = {Chuang Zhao and Hongke Zhao and Ming He and Xiaomeng Li and Jianping Fan}, title = {Cross-domain Transfer of Valence Preferences via a Meta-optimization Approach}, journal = {CoRR}, volume = {abs/2406.16494}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.16494}, doi = {10.48550/ARXIV.2406.16494}, eprinttype = {arXiv}, eprint = {2406.16494}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-16494.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-17475, author = {Zhichen Xiang and Hongke Zhao and Chuang Zhao and Ming He and Jianping Fan}, title = {Performative Debias with Fair-exposure Optimization Driven by Strategic Agents in Recommender Systems}, journal = {CoRR}, volume = {abs/2406.17475}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.17475}, doi = {10.48550/ARXIV.2406.17475}, eprinttype = {arXiv}, eprint = {2406.17475}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-17475.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-02855, author = {Zhexin Zhang and Junxiao Yang and Pei Ke and Shiyao Cui and Chujie Zheng and Hongning Wang and Minlie Huang}, title = {Safe Unlearning: {A} Surprisingly Effective and Generalizable Solution to Defend Against Jailbreak Attacks}, journal = {CoRR}, volume = {abs/2407.02855}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.02855}, doi = {10.48550/ARXIV.2407.02855}, eprinttype = {arXiv}, eprint = {2407.02855}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-02855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiJDZL23, author = {Hongwei Li and Mingxin Ji and Zhiwu Dou and Chunsheng Zhang and Xuemin Li}, title = {Research on Multi-Characteristic Enterprise Product Intelligent Pricing Method Based on {GSADF-TOPSIS-BP} Model}, journal = {{IEEE} Access}, volume = {11}, pages = {11570--11586}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3241239}, doi = {10.1109/ACCESS.2023.3241239}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LiJDZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiKKJLCB23, author = {Ji{-}Hong Li and Hyung{-}Joo Kang and Min{-}Gyu Kim and Hansol Jin and Munjik Lee and Gun Rae Cho and Chulhee Bae}, title = {Full Coverage of Confined Irregular Polygon Area for Marine Survey}, journal = {{IEEE} Access}, volume = {11}, pages = {92200--92208}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3308145}, doi = {10.1109/ACCESS.2023.3308145}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiKKJLCB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SheuMHLWC23, author = {Ming{-}Hwa Sheu and S. M. Salahuddin Morsalin and Chung{-}Chian Hsu and Shin{-}Chi Lai and Szu{-}Hong Wang and Chuan{-}Yu Chang}, title = {Improvement of Human Pose Estimation and Processing With the Intensive Feature Consistency Network}, journal = {{IEEE} Access}, volume = {11}, pages = {28045--28059}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3258417}, doi = {10.1109/ACCESS.2023.3258417}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SheuMHLWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/YangHCYL23, author = {Cheng{-}Hong Yang and Ming{-}Feng Hou and Li{-}Yeh Chuang and Cheng{-}San Yang and Yu{-}Da Lin}, title = {Dimensionality reduction approach for many-objective epistasis analysis}, journal = {Briefings Bioinform.}, volume = {24}, number = {1}, year = {2023}, url = {https://doi.org/10.1093/bib/bbac512}, doi = {10.1093/BIB/BBAC512}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/YangHCYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ZhangKLZSZHMHKZHLWLHWBSW23, author = {Xueli Zhang and Lingcong Kong and Shunming Liu and Xiayin Zhang and Xianwen Shang and Zhuoting Zhu and Yu Huang and Shuo Ma and Jason Ha and Katerina V. Kiburg and Chunwen Zheng and Yunyan Hu and Cong Li and Guanrong Wu and Yingying Liang and Mengxia He and Yan Wang and Xiaohe Bai and Danli Shi and Wei Wang and Chi Zhang and Ke Zhao and Haining Yuan and Guang Hu and Yijun Hu and Huiying Liang and Honghua Yu and Lei Zhang and Mingguang He}, title = {{EBD:} an eye biomarker database}, journal = {Bioinform.}, volume = {39}, number = {5}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad194}, doi = {10.1093/BIOINFORMATICS/BTAD194}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ZhangKLZSZHMHKZHLWLHWBSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/ZengXTPZWXZD23, author = {Hong Zeng and Nianzhang Xia and Ming Tao and Deng Pan and Haohao Zheng and Chu Wang and Feifan Xu and Wael Zakaria and Guojun Dai}, title = {{DCAE:} {A} dual conditional autoencoder framework for the reconstruction from {EEG} into image}, journal = {Biomed. Signal Process. Control.}, volume = {81}, pages = {104440}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2022.104440}, doi = {10.1016/J.BSPC.2022.104440}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/ZengXTPZWXZD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/NguyenHCC23, author = {Tran Hong Van Nguyen and Pei{-}Min Huang and Chen Fu Chien and Chung{-}Kai Chang}, title = {Digital transformation for cost estimation system via \emph{meta}-learning and an empirical study in aerospace industry}, journal = {Comput. Ind. Eng.}, volume = {184}, pages = {109558}, year = {2023}, url = {https://doi.org/10.1016/j.cie.2023.109558}, doi = {10.1016/J.CIE.2023.109558}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/NguyenHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/ZhaoZZXYWLL0FCH23, author = {Keyang Zhao and Xiaozhong Zhu and Mingzi Zhang and Zhaozhi Xie and Xu Yan and Sheng{-}Hui Wu and Peng Liao and Hongtao Lu and Wei Shen and Chicheng Fu and Haoyang Cui and Chuan He and Qu Fang and Jiong Mei}, title = {Radiologists with assistance of deep learning can achieve overall accuracy of benign-malignant differentiation of musculoskeletal tumors comparable with that of pre-surgical biopsies in the literature}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {18}, number = {8}, pages = {1451--1458}, year = {2023}, url = {https://doi.org/10.1007/s11548-023-02838-w}, doi = {10.1007/S11548-023-02838-W}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/ZhaoZZXYWLL0FCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/JeonKMKLCHCA23, author = {Hong{-}Bae Jeon and Soo{-}Min Kim and Hyung{-}Joo Moon and Do{-}Hoon Kwon and Joon{-}Woo Lee and Jong{-}Moon Chung and Sang{-}Kook Han and Chan{-}Byoung Chae and Mohamed{-}Slim Alouini}, title = {Free-Space Optical Communications for 6G Wireless Networks: Challenges, Opportunities, and Prototype Validation}, journal = {{IEEE} Commun. Mag.}, volume = {61}, number = {4}, pages = {116--121}, year = {2023}, url = {https://doi.org/10.1109/MCOM.001.2200220}, doi = {10.1109/MCOM.001.2200220}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/JeonKMKLCHCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsis/HongCK23, author = {Minsung Hong and Namho Chung and Chulmo Koo}, title = {Tourism recommendation based on word embedding from card transaction data}, journal = {Comput. Sci. Inf. Syst.}, volume = {20}, number = {3}, pages = {911--931}, year = {2023}, url = {https://doi.org/10.2298/csis220620002h}, doi = {10.2298/CSIS220620002H}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsis/HongCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcan/JiZXLLHW23, author = {Baofeng Ji and Mingkun Zhang and Ling Xing and Xiaoli Li and Chunguo Li and Congzheng Han and Hong Wen}, title = {Research on optimal intelligent routing algorithm for IoV with machine learning and smart contract}, journal = {Digit. Commun. Networks}, volume = {9}, number = {1}, pages = {47--55}, year = {2023}, url = {https://doi.org/10.1016/j.dcan.2022.06.012}, doi = {10.1016/J.DCAN.2022.06.012}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dcan/JiZXLLHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/HanWZL23, author = {Guangjie Han and Min Wang and Hongbo Zhu and Chuan Lin}, title = {MPDNet: An underwater image deblurring framework with stepwise feature refinement module}, journal = {Eng. Appl. Artif. Intell.}, volume = {126}, pages = {106822}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106822}, doi = {10.1016/J.ENGAPPAI.2023.106822}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/HanWZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/LongHTLNCS23, author = {Cu Kim Long and Pham Van Hai and Tran Manh Tuan and Luong Thi Hong Lan and Tran Thi Ngan and Pham Minh Chuan and Le Hoang Son}, title = {A novel Q-learning-based FKG-Pairs approach for extreme cases in decision making}, journal = {Eng. Appl. Artif. Intell.}, volume = {120}, pages = {105920}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.105920}, doi = {10.1016/J.ENGAPPAI.2023.105920}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/LongHTLNCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ZhouLTLXJ23, author = {Jun Zhou and Fei Li and Chong Teng and Yijiang Liu and Chunli Xiang and Donghong Ji}, title = {{MOIT:} {A} Novel task for mining opinions towards implicit targets}, journal = {Eng. Appl. Artif. Intell.}, volume = {126}, pages = {106841}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106841}, doi = {10.1016/J.ENGAPPAI.2023.106841}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ZhouLTLXJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/HauWCCN23, author = {Kit{-}Tai Hau and Wen Jie Wu and Wing Tung Chung and Sze Ching Chan and Ming Ho Ng}, title = {Emergency remote teaching technology and pedagogy at covid outbreak: different perspectives of students, parents, and teachers in Hong kong}, journal = {Educ. Inf. Technol.}, volume = {28}, number = {7}, pages = {8815--8836}, year = {2023}, url = {https://doi.org/10.1007/s10639-022-11526-2}, doi = {10.1007/S10639-022-11526-2}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eait/HauWCCN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/00200JC23, author = {Han Zhang and Kai Li and Zhao{-}hong Jia and Chengbin Chu}, title = {Minimizing total completion time on non-identical parallel batch machines with arbitrary release times using ant colony optimization}, journal = {Eur. J. Oper. Res.}, volume = {309}, number = {3}, pages = {1024--1046}, year = {2023}, url = {https://doi.org/10.1016/j.ejor.2023.02.015}, doi = {10.1016/J.EJOR.2023.02.015}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/00200JC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/TanWTOOLRTCGLCYOKLHLMTLTK23, author = {Geoffrey Chern{-}Yee Tan and Ziying Wang and Ethel Siew Ee Tan and Rachel Jing Min Ong and Pei En Ooi and Danan Lee and Nikita Rane and Sheryl Yu Xuan Tey and Si Ying Chua and Nicole Goh and Glynis Weibin Lam and Atlanta Chakraborty and Anthony Khye Loong Yew and Sin Kee Ong and Jin Lin Kee and Xin Ying Lim and Nawal Hashim and Sharon Huixian Lu and Michael Meany and Serenella Tolomeo and Christopher Asplund Lee and Hong Ming Tan and Jussi Keppo}, title = {Transdiagnostic clustering of self-schema from self-referential judgements identifies subtypes of healthy personality and depression}, journal = {Frontiers Neuroinformatics}, volume = {17}, year = {2023}, url = {https://doi.org/10.3389/fninf.2023.1244347}, doi = {10.3389/FNINF.2023.1244347}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fini/TanWTOOLRTCGLCYOKLHLMTLTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/HeCGHLZMDZYXBYCZWMSCWLWHLJYSLWXMCWB23, author = {Yukun He and Yanan Chu and Shuming Guo and Jiang Hu and Ran Li and Yali Zheng and Xinqian Ma and Zhenglin Du and Lili Zhao and Wenyi Yu and Jianbo Xue and Wenjie Bian and Feifei Yang and Xi Chen and Pingan Zhang and Rihan Wu and Yifan Ma and Changjun Shao and Jing Chen and Jian Wang and Jiwei Li and Jing Wu and Xiaoyi Hu and Qiuyue Long and Mingzheng Jiang and Hongli Ye and Shixu Song and Guangyao Li and Yue Wei and Yu Xu and Yanliang Ma and Yanwen Chen and Keqiang Wang and Jing Bao and Wen Xi and Fang Wang and Wentao Ni and Moqin Zhang and Yan Yu and Shengnan Li and Yu Kang and Zhancheng Gao}, title = {{T2T-YAO:} {A} Telomere-to-Telomere Assembled Diploid Reference Genome for Han Chinese}, journal = {Genom. Proteom. Bioinform.}, volume = {21}, number = {6}, pages = {1085--1100}, year = {2023}, url = {https://doi.org/10.1016/j.gpb.2023.08.001}, doi = {10.1016/J.GPB.2023.08.001}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/HeCGHLZMDZYXBYCZWMSCWLWHLJYSLWXMCWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/XingAWLLHWLWZZW23, author = {Xiumei Xing and Cheng Ai and Tianjiao Wang and Yang Li and Huitao Liu and Pengfei Hu and Guiwu Wang and Huamiao Liu and Hongliang Wang and Ranran Zhang and Junjun Zheng and Xiaobo Wang and Lei Wang and Yuxiao Chang and Qian Qian and Jinghua Yu and Lixin Tang and Shigang Wu and Xiujuan Shao and Alun Li and Peng Cui and Wei Zhan and Sheng Zhao and Zhichao Wu and Xiqun Shao and Yimeng Dong and Min Rong and Yihong Tan and Xuezhe Cui and Shuzhuo Chang and Xingchao Song and Tongao Yang and Limin Sun and Yan Ju and Pei Zhao and Huanhuan Fan and Ying Liu and Xinhui Wang and Wanyun Yang and Min Yang and Tao Wei and Shanshan Song and Jiaping Xu and Zhigang Yue and Qiqi Liang and Chunyi Li and Jue Ruan and Fuhe Yang}, title = {The First High-quality Reference Genome of Sika Deer Provides Insights into High-tannin Adaptation}, journal = {Genom. Proteom. Bioinform.}, volume = {21}, number = {1}, pages = {203--215}, year = {2023}, url = {https://doi.org/10.1016/j.gpb.2022.05.008}, doi = {10.1016/J.GPB.2022.05.008}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/XingAWLLHWLWZZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/Li00ZZ23, author = {Zhicheng Li and Hong Shen and Wei Xu and Pengcheng Zhu and Chunming Zhao}, title = {Resource Allocation for IRS-Assisted Uplink {URLLC} Systems}, journal = {{IEEE} Commun. Lett.}, volume = {27}, number = {6}, pages = {1540--1544}, year = {2023}, url = {https://doi.org/10.1109/LCOMM.2023.3267827}, doi = {10.1109/LCOMM.2023.3267827}, timestamp = {Mon, 10 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/Li00ZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/YueHVJZYC23, author = {Hongwei Yue and Yufeng Huang and Chi{-}Man Vong and Yingying Jin and Zhiqiang Zeng and Mingqi Yu and Chuangquan Chen}, title = {NRSTRNet: {A} Novel Network for Noise-Robust Scene Text Recognition}, journal = {Int. J. Comput. Intell. Syst.}, volume = {16}, number = {1}, pages = {5}, year = {2023}, url = {https://doi.org/10.1007/s44196-023-00181-1}, doi = {10.1007/S44196-023-00181-1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcisys/YueHVJZYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsa/HongCKK23, author = {Minsung Hong and Namho Chung and Chulmo Koo and Sun{-}Young Koh}, title = {{TPEDTR:} temporal preference embedding-based deep tourism recommendation with card transaction data}, journal = {Int. J. Data Sci. Anal.}, volume = {16}, number = {2}, pages = {147--162}, year = {2023}, url = {https://doi.org/10.1007/s41060-022-00380-7}, doi = {10.1007/S41060-022-00380-7}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsa/HongCKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/HeZZW23, author = {Yong He and Chuan{-}Ke Zhang and Hong{-}Bing Zeng and Min Wu}, title = {Additional functions of variable-augmented-based free-weighting matrices and application to systems with time-varying delay}, journal = {Int. J. Syst. Sci.}, volume = {54}, number = {5}, pages = {991--1003}, year = {2023}, url = {https://doi.org/10.1080/00207721.2022.2157198}, doi = {10.1080/00207721.2022.2157198}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/HeZZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SongJWWXCHH23, author = {Wei Song and Hong Jia and Min Wang and Yuezhong Wu and Wanli Xue and Chun Tung Chou and Jiankun Hu and Wen Hu}, title = {Pistis: Replay Attack and Liveness Detection for Gait-Based User Authentication System on Wearable Devices Using Vibration}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {9, May 1}, pages = {8155--8171}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3231381}, doi = {10.1109/JIOT.2022.3231381}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/SongJWWXCHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ZhengPZLHYD23, author = {Hongxia Zheng and Cunhua Pan and Chiya Zhang and Xingquan Li and Chunlong He and Yatao Yang and Ming Dai}, title = {Robust Transmission Design for RIS-Aided Wireless Communication With Both Imperfect {CSI} and Transceiver Hardware Impairments}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {5}, pages = {4621--4635}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3218549}, doi = {10.1109/JIOT.2022.3218549}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ZhengPZLHYD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isu/UyHTCPNPT23, author = {Tran Cao Uy and Hoang Dung Ha and Nguyen Ngoc Truyen and Nguyen Van Chung and Duong Ngoc Phuoc and Le Van Nam and Le Thi Hong Phuong and Cao Thi Thuyet}, title = {Mobile phone use for farm-related activities by ethnic minority farmers during the Covid-19 pandemic in Quang Tri Province, Central Vietnam}, journal = {Inf. Serv. Use}, volume = {43}, number = {1}, pages = {27--37}, year = {2023}, url = {https://doi.org/10.3233/ISU-230179}, doi = {10.3233/ISU-230179}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isu/UyHTCPNPT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LiNOCHXYLBCL23, author = {Siqi Li and Yilin Ning and Marcus Eng Hock Ong and Bibhas Chakraborty and Chuan Hong and Feng Xie and Han Yuan and Mingxuan Liu and Daniel M. Buckland and Yong Chen and Nan Liu}, title = {FedScore: {A} privacy-preserving framework for federated scoring system development}, journal = {J. Biomed. Informatics}, volume = {146}, pages = {104485}, year = {2023}, url = {https://doi.org/10.1016/j.jbi.2023.104485}, doi = {10.1016/J.JBI.2023.104485}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LiNOCHXYLBCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/WeiXLJLHWZPLWYFYZ23, author = {Lin Wei and Min Xu and Zhiqiang Liu and Chongguo Jiang and Xiaohua Lin and Yaogang Hu and Xiaoming Wen and Rongfeng Zou and Chunwang Peng and Hongrui Lin and Guo Wang and Lijun Yang and Lei Fang and Mingjun Yang and Peiyu Zhang}, title = {Hit Identification Driven by Combining Artificial Intelligence and Computational Chemistry Methods: {A} PI5P4K-{\(\beta\)} Case Study}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {16}, pages = {5341--5355}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c00543}, doi = {10.1021/ACS.JCIM.3C00543}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/WeiXLJLHWZPLWYFYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/ChangLDWH23, author = {Fei Chang and Chuandong Li and Hao Deng and Hongjuan Wu and Mingchen Huan}, title = {Exponential stability of delayed neural networks with delayed sampled-data inputs: An extended bilateral looped functional approach}, journal = {J. Frankl. Inst.}, volume = {360}, number = {13}, pages = {9974--9995}, year = {2023}, url = {https://doi.org/10.1016/j.jfranklin.2023.07.037}, doi = {10.1016/J.JFRANKLIN.2023.07.037}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfi/ChangLDWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/LiCYLS23, author = {Fuxue Li and Chuncheng Chi and Hong Yan and Beibei Liu and Mingzhi Shao}, title = {{STA:} An efficient data augmentation method for low-resource neural machine translation}, journal = {J. Intell. Fuzzy Syst.}, volume = {45}, number = {1}, pages = {121--132}, year = {2023}, url = {https://doi.org/10.3233/JIFS-230682}, doi = {10.3233/JIFS-230682}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/LiCYLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/WangTG23, author = {Mingke Wang and Chuanqi Tao and Hongjing Guo}, title = {{LCVD:} Loop-oriented code vulnerability detection via graph neural network}, journal = {J. Syst. Softw.}, volume = {202}, pages = {111706}, year = {2023}, url = {https://doi.org/10.1016/j.jss.2023.111706}, doi = {10.1016/J.JSS.2023.111706}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/WangTG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YeWZALGLYHXYLSZTDLL23, author = {Wang Ye and Linfang Wang and Zhidao Zhou and Junjie An and Weizeng Li and Hanghang Gao and Zhi Li and Jinshan Yue and Hongyang Hu and Xiaoxin Xu and Jianguo Yang and Jing Liu and Dashan Shang and Feng Zhang and Jinghui Tian and Chunmeng Dou and Qi Liu and Ming Liu}, title = {A 28-nm {RRAM} Computing-in-Memory Macro Using Weighted Hybrid 2T1R Cell Array and Reference Subtracting Sense Amplifier for {AI} Edge Inference}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2839--2850}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3280357}, doi = {10.1109/JSSC.2023.3280357}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YeWZALGLYHXYLSZTDLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/0005WMDS23, author = {Chuang Wang and Zidong Wang and Lifeng Ma and Hongli Dong and Weiguo Sheng}, title = {A novel contrastive adversarial network for minor-class data augmentation: Applications to pipeline fault diagnosis}, journal = {Knowl. Based Syst.}, volume = {271}, pages = {110516}, year = {2023}, url = {https://doi.org/10.1016/j.knosys.2023.110516}, doi = {10.1016/J.KNOSYS.2023.110516}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/0005WMDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kybernetes/GaoLLC23, author = {Hongming Gao and Hongwei Liu and Weizhen Lin and Chunfeng Chen}, title = {Graph-theoretic approach to detecting real-time intents within purchase conversion funnel using clickstream data}, journal = {Kybernetes}, volume = {52}, number = {11}, pages = {5139--5163}, year = {2023}, url = {https://doi.org/10.1108/K-06-2021-0473}, doi = {10.1108/K-06-2021-0473}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kybernetes/GaoLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mms/WangSCMYL23, author = {Bolin Wang and Yuanyuan Sun and Yonghe Chu and Changrong Min and Zhihao Yang and Hongfei Lin}, title = {Local discriminative graph convolutional networks for text classification}, journal = {Multim. Syst.}, volume = {29}, number = {4}, pages = {2363--2373}, year = {2023}, url = {https://doi.org/10.1007/s00530-023-01112-y}, doi = {10.1007/S00530-023-01112-Y}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mms/WangSCMYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/YenFACTHC23, author = {An{-}Zi Yen and Min{-}Huan Fu and Wei{-}Hong Ang and Tai{-}Te Chu and Ssu{-}Hao Tsai and Hen{-}Hsen Huang and Hsin{-}Hsi Chen}, title = {Visual lifelog retrieval: humans and machines interpretation on first-person images}, journal = {Multim. Tools Appl.}, volume = {82}, number = {24}, pages = {37757--37787}, year = {2023}, url = {https://doi.org/10.1007/s11042-023-14344-x}, doi = {10.1007/S11042-023-14344-X}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/YenFACTHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ChenLZHZWY23, author = {Xiang Chen and Hongyan Liu and Dong Zhang and Qun Huang and Haifeng Zhou and Chunming Wu and Qiang Yang}, title = {Empowering DDoS Attack Mitigation with Programmable Switches}, journal = {{IEEE} Netw.}, volume = {37}, number = {3}, pages = {112--117}, year = {2023}, url = {https://doi.org/10.1109/MNET.107.2100643}, doi = {10.1109/MNET.107.2100643}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/ChenLZHZWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/TunNTCH23, author = {Ye Lin Tun and Minh N. H. Nguyen and Chu Myaet Thwal and Jinwoo Choi and Choong Seon Hong}, title = {Contrastive encoder pre-training-based clustered federated learning for heterogeneous data}, journal = {Neural Networks}, volume = {165}, pages = {689--704}, year = {2023}, url = {https://doi.org/10.1016/j.neunet.2023.06.010}, doi = {10.1016/J.NEUNET.2023.06.010}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/TunNTCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ZhouLMZXWZS23, author = {Chulun Zhou and Yunlong Liang and Fandong Meng and Jie Zhou and Jinan Xu and Hongji Wang and Min Zhang and Jinsong Su}, title = {A Multi-Task Multi-Stage Transitional Training Framework for Neural Chat Translation}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {7}, pages = {7970--7985}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2022.3233226}, doi = {10.1109/TPAMI.2022.3233226}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/ZhouLMZXWZS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/NguyenCHKLPJ23, author = {Tra My Nguyen and Hong{-}Woo Chun and Myunggwon Hwang and Lee Nam Kwon and Jae{-}Min Lee and Kanghee Park and Jason J. Jung}, title = {SocioPedia+: a visual analytics system for social knowledge graph-based event exploration}, journal = {PeerJ Comput. Sci.}, volume = {9}, pages = {e1277}, year = {2023}, url = {https://doi.org/10.7717/peerj-cs.1277}, doi = {10.7717/PEERJ-CS.1277}, timestamp = {Thu, 18 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/NguyenCHKLPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/WuHLYHHLD23, author = {Yang{-}Han Wu and Yu{-}An Huang and Jian{-}Qiang Li and Zhu{-}Hong You and Peng{-}Wei Hu and Lun Hu and Victor C. M. Leung and Zhihua Du}, title = {Knowledge graph embedding for profiling the interaction between transcription factors and their target genes}, journal = {PLoS Comput. Biol.}, volume = {19}, number = {6}, year = {2023}, url = {https://doi.org/10.1371/journal.pcbi.1011207}, doi = {10.1371/JOURNAL.PCBI.1011207}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/WuHLYHHLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/NohSULLCLHUCSKK23, author = {Dong{-}Ki Noh and Changki Sung and Teayoung Uhm and Wooju Lee and Hyungtae Lim and Jaeseok Choi and Kyuewang Lee and Dasol Hong and Daeho Um and Inseop Chung and Hochul Shin and Min{-}Jung Kim and Hyoung{-}Rock Kim and SeungMin Baek and Hyun Myung}, title = {{X-MAS:} Extremely Large-Scale Multi-Modal Sensor Dataset for Outdoor Surveillance in Real Environments}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {2}, pages = {1093--1100}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3236569}, doi = {10.1109/LRA.2023.3236569}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/NohSULLCLHUCSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rcim/QuWSZ23, author = {Xiang Qu and Min Wan and Chuan{-}Jing Shen and Wei{-}Hong Zhang}, title = {Profile error-oriented optimization of the feed direction and posture of the end-effector in robotic free-form milling}, journal = {Robotics Comput. Integr. Manuf.}, volume = {83}, pages = {102580}, year = {2023}, url = {https://doi.org/10.1016/j.rcim.2023.102580}, doi = {10.1016/J.RCIM.2023.102580}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rcim/QuWSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenMSLZBLB23, author = {Hongguang Chen and Fanhao Meng and Chula Sa and Min Luo and Huiting Zhang and Shanhu Bao and Guixiang Liu and Yuhai Bao}, title = {Synergistic Change and Driving Mechanisms of Hydrological Processes and Ecosystem Quality in a Typical Arid and Semi-Arid Inland River Basin, China}, journal = {Remote. Sens.}, volume = {15}, number = {7}, pages = {1785}, year = {2023}, url = {https://doi.org/10.3390/rs15071785}, doi = {10.3390/RS15071785}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenMSLZBLB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuSCHFCWL23, author = {Yiming Liu and Chong Shen and Xiaoyang Chen and Yingying Hong and Qi Fan and Pak Wai Chan and Chunlin Wang and Jing Lan}, title = {Satellite-Based Estimation of Roughness Length over Vegetated Surfaces and Its Utilization in {WRF} Simulations}, journal = {Remote. Sens.}, volume = {15}, number = {10}, pages = {2686}, year = {2023}, url = {https://doi.org/10.3390/rs15102686}, doi = {10.3390/RS15102686}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuSCHFCWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LongLXLWDZZL23, author = {Sichun Long and Maoqi Liu and Chaohui Xiong and Tao Li and Wenhao Wu and Hongjun Ding and Liya Zhang and Chuanguang Zhu and Shide Lu}, title = {Research on Prediction of Surface Deformation in Mining Areas Based on TPE-Optimized Integrated Models and Multi-Temporal InSAR}, journal = {Remote. Sens.}, volume = {15}, number = {23}, pages = {5546}, year = {2023}, url = {https://doi.org/10.3390/rs15235546}, doi = {10.3390/RS15235546}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LongLXLWDZZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkHS23, author = {Jae{-}Min Park and Sungchul Hong and Hyu{-}Soung Shin}, title = {Pilot Study of Low-Light Enhanced Terrain Mapping for Robotic Exploration in Lunar PSRs}, journal = {Remote. Sens.}, volume = {15}, number = {13}, pages = {3412}, year = {2023}, url = {https://doi.org/10.3390/rs15133412}, doi = {10.3390/RS15133412}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangSHMLGZYZS23, author = {Xiang Zhang and Chula Sa and Quansheng Hai and Fanhao Meng and Min Luo and Hongdou Gao and Haochen Zhang and Chaohua Yin and Yuhui Zhang and Hui Sun}, title = {Quantifying the Effects of Snow on the Beginning of Vegetation Growth in the Mongolian Plateau}, journal = {Remote. Sens.}, volume = {15}, number = {5}, pages = {1245}, year = {2023}, url = {https://doi.org/10.3390/rs15051245}, doi = {10.3390/RS15051245}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangSHMLGZYZS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChuWCL23, author = {Hung{-}Chi Chu and Chia{-}En Wong and Wei{-}Min Cheng and Hong{-}Cheng Lai}, title = {User QoS-Based Optimized Handover Algorithm for Wireless Networks}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4877}, year = {2023}, url = {https://doi.org/10.3390/s23104877}, doi = {10.3390/S23104877}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChuWCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GuoTZWZXZ23, author = {Hongchen Guo and Xiaolong Tao and Mingyang Zhao and Tong Wu and Chuan Zhang and Jingfeng Xue and Liehuang Zhu}, title = {Decentralized Policy-Hidden Fine-Grained Redaction in Blockchain-Based IoT Systems}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7105}, year = {2023}, url = {https://doi.org/10.3390/s23167105}, doi = {10.3390/S23167105}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/GuoTZWZXZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuWCSC23, author = {Shing{-}Hong Liu and Yan{-}Rong Wu and Wenxi Chen and Chun{-}Hung Su and Chiun{-}Li Chin}, title = {Using Ballistocardiogram and Impedance Plethysmogram for Minimal Contact Measurement of Blood Pressure Based on a Body Weight-Fat Scale}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2318}, year = {2023}, url = {https://doi.org/10.3390/s23042318}, doi = {10.3390/S23042318}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiuWCSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TianSZDSCCTLJFXLY23, author = {Qichen Tian and Yuanbin She and Yangguang Zhu and Dan Dai and Mingjiao Shi and Wubo Chu and Tao Cai and Hsu{-}Sheng Tsai and He Li and Nan Jiang and Li Fu and Hongyan Xia and Cheng{-}Te Lin and Chen Ye}, title = {Highly Sensitive and Selective Dopamine Determination in Real Samples Using Au Nanoparticles Decorated Marimo-like Graphene Microbead-Based Electrochemical Sensors}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2870}, year = {2023}, url = {https://doi.org/10.3390/s23052870}, doi = {10.3390/S23052870}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TianSZDSCCTLJFXLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangKHKCLJ23, author = {Seongjin Yang and Minjae Kim and Seong Kyung Hong and Suhyeon Kim and Wan Kyun Chung and Geunbae Lim and Hyungkook Jeon}, title = {Design of 3D Controller Using Nanocracking Structure-Based Stretchable Strain Sensor}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4941}, year = {2023}, url = {https://doi.org/10.3390/s23104941}, doi = {10.3390/S23104941}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangKHKCLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangMNZWW23, author = {Lin Yang and Hongwei Ma and Zhen Nie and Heng Zhang and Zhongyang Wang and Chuanwei Wang}, title = {3D LiDAR Point Cloud Registration Based on {IMU} Preintegration in Coal Mine Roadways}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3473}, year = {2023}, url = {https://doi.org/10.3390/s23073473}, doi = {10.3390/S23073473}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangMNZWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangCM23, author = {Chaozhu Zhang and Hongxing Chu and Mingyuan Ma}, title = {Decoding Algorithm of Motor Imagery Electroencephalogram Signal Based on CLRNet Network Model}, journal = {Sensors}, volume = {23}, number = {18}, pages = {7694}, year = {2023}, url = {https://doi.org/10.3390/s23187694}, doi = {10.3390/S23187694}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ZhangCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/JinCSYX23, author = {Kaidi Jin and Hongzhou Chai and Chuhan Su and Xiao Yin and Minzhi Xiang}, title = {A novel adaptive nonlinear Kalman filter scheme for DVL-aided {SINS} alignment in underwater vehicles}, journal = {Signal Process.}, volume = {209}, pages = {109045}, year = {2023}, url = {https://doi.org/10.1016/j.sigpro.2023.109045}, doi = {10.1016/J.SIGPRO.2023.109045}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/JinCSYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/ChengZXPLLYZXHWYZLLZTDZL23, author = {Ming Cheng and Yingying Zhang and Yixiang Xie and Yueran Pan and Xiao Li and Wenxing Liu and Chengyan Yu and Dong Zhang and Yu Xing and Xiaoqian Huang and Fang Wang and Cong You and Yuanyuan Zou and Yuchong Liu and Fengjing Liang and Huilin Zhu and Chun Tang and Hongzhu Deng and Xiaobing Zou and Ming Li}, title = {Computer-Aided Autism Spectrum Disorder Diagnosis With Behavior Signal Processing}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {14}, number = {4}, pages = {2982--3000}, year = {2023}, url = {https://doi.org/10.1109/TAFFC.2023.3238712}, doi = {10.1109/TAFFC.2023.3238712}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taffco/ChengZXPLLYZXHWYZLLZTDZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/HongWW23, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Hsin{-}Min Wang}, title = {Generalization Ability Improvement of Speaker Representation and Anti-Interference for Speaker Verification}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {31}, pages = {486--499}, year = {2023}, url = {https://doi.org/10.1109/TASLP.2022.3221042}, doi = {10.1109/TASLP.2022.3221042}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/HongWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/HongWW23a, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Hsin{-}Min Wang}, title = {Decomposition and Reorganization of Phonetic Information for Speaker Embedding Learning}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {31}, pages = {1745--1757}, year = {2023}, url = {https://doi.org/10.1109/TASLP.2023.3267833}, doi = {10.1109/TASLP.2023.3267833}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/HongWW23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/YangHHCL23, author = {Cheng{-}Hong Yang and Hsiu{-}Chen Huang and Ming{-}Feng Hou and Li{-}Yeh Chuang and Yu{-}Da Lin}, title = {Fuzzy-Based Multiobjective Multifactor Dimensionality Reduction for Epistasis Analysis}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {20}, number = {1}, pages = {378--387}, year = {2023}, url = {https://doi.org/10.1109/TCBB.2022.3144303}, doi = {10.1109/TCBB.2022.3144303}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/YangHHCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/ChenLZMHZWLY23, author = {Xiang Chen and Hongyan Liu and Dong Zhang and Zili Meng and Qun Huang and Haifeng Zhou and Chunming Wu and Xuan Liu and Qiang Yang}, title = {Automatic Performance-Optimal Offloading of Network Functions on Programmable Switches}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {11}, number = {2}, pages = {1591--1607}, year = {2023}, url = {https://doi.org/10.1109/TCC.2022.3149817}, doi = {10.1109/TCC.2022.3149817}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/ChenLZMHZWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/GaoCXXYX23, author = {Chengxi Gao and Shuhui Chu and Hong Xu and Minxian Xu and Kejiang Ye and Chengzhong Xu}, title = {Flash: Joint Flow Scheduling and Congestion Control in Data Center Networks}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {11}, number = {1}, pages = {1038--1049}, year = {2023}, url = {https://doi.org/10.1109/TCC.2021.3129511}, doi = {10.1109/TCC.2021.3129511}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/GaoCXXYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ChenTTLCHLST23, author = {Tsai{-}Min Chen and Yuan{-}Hong Tsai and Huan{-}Hsin Tseng and Kai{-}Chun Liu and Jhih{-}Yu Chen and Chih{-}Han Huang and Guo{-}Yuan Li and Chun{-}Yen Shen and Yu Tsao}, title = {{SRECG:} {ECG} Signal Super-Resolution Framework for Portable/Wearable Devices in Cardiac Arrhythmias Classification}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {69}, number = {3}, pages = {250--260}, year = {2023}, url = {https://doi.org/10.1109/TCE.2023.3237715}, doi = {10.1109/TCE.2023.3237715}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/ChenTTLCHLST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tci/GanSHMZ23, author = {Hongping Gan and Minghe Shen and Yi Hua and Chunyan Ma and Tao Zhang}, title = {From Patch to Pixel: {A} Transformer-Based Hierarchical Framework for Compressive Image Sensing}, journal = {{IEEE} Trans. Computational Imaging}, volume = {9}, pages = {133--146}, year = {2023}, url = {https://doi.org/10.1109/TCI.2023.3244396}, doi = {10.1109/TCI.2023.3244396}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tci/GanSHMZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/SunSXHZ23, author = {Yi Sun and Hong Shen and Wei Xu and Nan Hu and Chunming Zhao}, title = {Robust {MIMO} Detection With Imperfect {CSI:} {A} Neural Network Solution}, journal = {{IEEE} Trans. Commun.}, volume = {71}, number = {10}, pages = {5877--5892}, year = {2023}, url = {https://doi.org/10.1109/TCOMM.2023.3299974}, doi = {10.1109/TCOMM.2023.3299974}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/SunSXHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/LuoLHHCL23, author = {Chuanwen Luo and Ning Liu and Yunan Hou and Yi Hong and Zhibo Chen and Deying Li}, title = {Trajectory optimization of laser-charged {UAV} to minimize the average age of information for wireless rechargeable sensor network}, journal = {Theor. Comput. Sci.}, volume = {945}, pages = {113680}, year = {2023}, url = {https://doi.org/10.1016/j.tcs.2022.12.030}, doi = {10.1016/J.TCS.2022.12.030}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/LuoLHHCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/HuXYNGFL23, author = {Huimin Hu and Ke Xiong and Hong{-}Chuan Yang and Qiang Ni and Bo Gao and Pingyi Fan and Khaled Ben Letaief}, title = {AoI-Minimal Online Scheduling for Wireless-Powered IoT: {A} Lyapunov Optimization-Based Approach}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {7}, number = {4}, pages = {2081--2092}, year = {2023}, url = {https://doi.org/10.1109/TGCN.2023.3290007}, doi = {10.1109/TGCN.2023.3290007}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgcn/HuXYNGFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/HanWZL23, author = {Guangjie Han and Min Wang and Hongbo Zhu and Chuan Lin}, title = {{UIEGAN:} Adversarial Learning-Based Photorealistic Image Enhancement for Intelligent Underwater Environment Perception}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--14}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3281741}, doi = {10.1109/TGRS.2023.3281741}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/HanWZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/JiangCLZWZJ23, author = {Shuqi Jiang and Hanming Chen and Honghui Li and Hui Zhou and Lingqian Wang and Mingkun Zhang and Chuntao Jiang}, title = {Source-Independent Full-Waveform Inversion Based on Convolutional Wasserstein Distance Objective Function}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--14}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3275165}, doi = {10.1109/TGRS.2023.3275165}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/JiangCLZWZJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ChenLHZZWLK23, author = {Xiang Chen and Hongyan Liu and Qun Huang and Dong Zhang and Haifeng Zhou and Chunming Wu and Xuan Liu and Muhammad Khurram Khan}, title = {Stalker Attacks: Imperceptibly Dropping Sketch Measurement Accuracy on Programmable Switches}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {18}, pages = {5832--5847}, year = {2023}, url = {https://doi.org/10.1109/TIFS.2023.3315128}, doi = {10.1109/TIFS.2023.3315128}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/ChenLHZZWLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ShangGuanZWWLHWZZSYHLYJZMZZWZXZ23, author = {Wei{-}Hua Shang{-}Guan and Rong{-}Bing Zhao and Jin{-}Qing Wang and Zhi{-}Chao Wang and Qinghui Liu and Xiao{-}Yu Hong and Guang{-}Li Wang and Wei{-}Min Zheng and Xiu{-}Zhong Zhang and Tao Shuai and Zhen Yan and Yi{-}Dan Huang and Xue{-}Jiang Lu and Lin{-}Feng Yu and Yong{-}Bin Jiang and Chao Zhang and Maoli Ma and Wei{-}Ye Zhong and Ren{-}Jie Zhu and Wen{-}Bin Wang and Juan Zhang and Bo Xia and Chu{-}Yuan Zhang}, title = {Lunar Orbit {VLBI} Experiment Ground Validation System}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--14}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3282288}, doi = {10.1109/TIM.2023.3282288}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ShangGuanZWWLHWZZSYHLYJZMZZWZXZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tinstmc/YanLBJYSCCS23, author = {Wendi Yan and Wei Liu and Hongbo Bi and Chunlei Jiang and Dongfeng Yang and Shuang Sun and Kunyu Cui and Minghu Chen and Yu Sun}, title = {Acoustic detection and localization of gas pipeline leak based on residual connection and one-dimensional separable convolutional neural network}, journal = {Trans. Inst. Meas. Control}, volume = {45}, number = {14}, pages = {2637--2647}, year = {2023}, url = {https://doi.org/10.1177/01423312231156264}, doi = {10.1177/01423312231156264}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tinstmc/YanLBJYSCCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/DaiZZHZK23, author = {Changhua Dai and Changfu Zong and Dong Zhang and Min Hua and Hongyu Zheng and Chuyo Kaku}, title = {A Bargaining Game-Based Human-Machine Shared Driving Control Authority Allocation Strategy}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {24}, number = {10}, pages = {10572--10586}, year = {2023}, url = {https://doi.org/10.1109/TITS.2023.3277015}, doi = {10.1109/TITS.2023.3277015}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/DaiZZHZK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhuFZCCJW23, author = {Fanwei Zhu and Yuan Fang and Kai Zhang and Kevin Chen{-}Chuan Chang and Hongtai Cao and Zhen Jiang and Minghui Wu}, title = {Unified and Incremental SimRank: Index-Free Approximation With Scheduled Principle}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {35}, number = {3}, pages = {3195--3210}, year = {2023}, url = {https://doi.org/10.1109/TKDE.2021.3111734}, doi = {10.1109/TKDE.2021.3111734}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/ZhuFZCCJW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/TsaiHS23, author = {Hsiang{-}Chun Tsai and Y.{-}W. Peter Hong and Jang{-}Ping Sheu}, title = {Completion Time Minimization for UAV-Enabled Surveillance Over Multiple Restricted Regions}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {22}, number = {12}, pages = {6907--6920}, year = {2023}, url = {https://doi.org/10.1109/TMC.2022.3200732}, doi = {10.1109/TMC.2022.3200732}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/TsaiHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/SrivastavaRRSAF23, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=uyTL5Bvosj}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/SrivastavaRRSAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/Zhang0L0Z23, author = {Chunhui Zhang and Hongfu Liu and Jundong Li and Yanfang Ye and Chuxu Zhang}, title = {Mind the Gap: Mitigating the Distribution Gap in Graph Few-shot Learning}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=LEVbhNrLEL}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/Zhang0L0Z23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/Zheng0YYL00TZZ23, author = {Huangjie Zheng and Xu Chen and Jiangchao Yao and Hongxia Yang and Chunyuan Li and Ya Zhang and Hao Zhang and Ivor W. Tsang and Jingren Zhou and Mingyuan Zhou}, title = {Contrastive Attraction and Contrastive Repulsion for Representation Learning}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=f39UIDkwwc}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/Zheng0YYL00TZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/LuMWZWLCM23, author = {Hongyu Lu and Weizhi Ma and Yifan Wang and Min Zhang and Xiang Wang and Yiqun Liu and Tat{-}Seng Chua and Shaoping Ma}, title = {User Perception of Recommendation Explanation: Are Your Explanations What Users Need?}, journal = {{ACM} Trans. Inf. Syst.}, volume = {41}, number = {2}, pages = {48:1--48:31}, year = {2023}, url = {https://doi.org/10.1145/3565480}, doi = {10.1145/3565480}, timestamp = {Sat, 27 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tois/LuMWZWLCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/ChenLHZZWLY23, author = {Xiang Chen and Hongyan Liu and Qun Huang and Dong Zhang and Haifeng Zhou and Chunming Wu and Xuan Liu and Qiang Yang}, title = {Toward Low-Latency and Accurate State Synchronization for Programmable Networks}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {31}, number = {3}, pages = {1400--1415}, year = {2023}, url = {https://doi.org/10.1109/TNET.2022.3218446}, doi = {10.1109/TNET.2022.3218446}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/ChenLHZZWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/ChenLZHZWY23, author = {Xiang Chen and Hongyan Liu and Dong Zhang and Qun Huang and Haifeng Zhou and Chunming Wu and Qiang Yang}, title = {Eliminating Control Plane Overload via Measurement Task Placement}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {31}, number = {4}, pages = {1717--1731}, year = {2023}, url = {https://doi.org/10.1109/TNET.2022.3223420}, doi = {10.1109/TNET.2022.3223420}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/ChenLZHZWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/KongSCCLZLCW23, author = {Dezhang Kong and Yi Shen and Xiang Chen and Qiumei Cheng and Hongyan Liu and Dong Zhang and Xuan Liu and Shuangxi Chen and Chunming Wu}, title = {Combination Attacks and Defenses on {SDN} Topology Discovery}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {31}, number = {2}, pages = {904--919}, year = {2023}, url = {https://doi.org/10.1109/TNET.2022.3203561}, doi = {10.1109/TNET.2022.3203561}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/KongSCCLZLCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/WuZLWLZ23, author = {Qiang Wu and Xiangping Bryce Zhai and Xi Liu and Chunming Wu and Fangliang Lou and Hongke Zhang}, title = {Performance Tuning via Lean Measurements for Acceleration of Network Functions Virtualization}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {31}, number = {1}, pages = {366--379}, year = {2023}, url = {https://doi.org/10.1109/TNET.2022.3193686}, doi = {10.1109/TNET.2022.3193686}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/WuZLWLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LiuLJZL23, author = {Zhipeng Liu and Xi Li and Hong Ji and Heli Zhang and Victor C. M. Leung}, title = {Toward STAR-RIS-Empowered Integrated Sensing and Communications: Joint Active and Passive Beamforming Design}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {12}, pages = {15991--16005}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3294338}, doi = {10.1109/TVT.2023.3294338}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/LiuLJZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/QinHZMZ23, author = {Yifeng Qin and Min Han and Long Zhang and Chun{-}Xu Mao and Hongyi Zhu}, title = {A Compact Dual-Band Omnidirectional Circularly Polarized Filtering Antenna for {UAV} Communications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {12}, pages = {16742--16747}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3295875}, doi = {10.1109/TVT.2023.3295875}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/QinHZMZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/XiangHMXLLX23, author = {Zhongwu Xiang and Liyang Huang and Jin Meng and Chunli Xia and Hongbo Liu and Kang Luo and Mingliang Xie}, title = {Cognitive Radio-Inspired {NOMA} in Short-Packet Communications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {11}, pages = {14189--14199}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3279439}, doi = {10.1109/TVT.2023.3279439}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/XiangHMXLLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/XuZLYLJ23, author = {Yueqiang Xu and Heli Zhang and Xi Li and F. Richard Yu and Victor C. M. Leung and Hong Ji}, title = {Trusted Collaboration for MEC-Enabled {VR} Video Streaming: {A} Multi-Agent Reinforcement Learning Approach}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {9}, pages = {12167--12180}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3267181}, doi = {10.1109/TVT.2023.3267181}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/XuZLYLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/HeXXSWZ23, author = {Muxin He and Jindan Xu and Wei Xu and Hong Shen and Ning Wang and Chunming Zhao}, title = {RIS-Assisted Quasi-Static Broad Coverage for Wideband mmWave Massive {MIMO} Systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {22}, number = {4}, pages = {2551--2565}, year = {2023}, url = {https://doi.org/10.1109/TWC.2022.3212466}, doi = {10.1109/TWC.2022.3212466}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/HeXXSWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/ZhangWLLL23, author = {Haijun Zhang and Hongyu Wang and Yabo Li and Keping Long and Victor C. M. Leung}, title = {Toward Intelligent Resource Allocation on Task-Oriented Semantic Communication}, journal = {{IEEE} Wirel. Commun.}, volume = {30}, number = {3}, pages = {70--77}, year = {2023}, url = {https://doi.org/10.1109/MWC.008.2200504}, doi = {10.1109/MWC.008.2200504}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/ZhangWLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/SunSXZHZ23, author = {Yi Sun and Hong Shen and Wei Xu and Pengcheng Zhu and Nan Hu and Chunming Zhao}, title = {Beyond Turbo: An Integrated {ADMM} Receiver for {URLLC} {MIMO} Systems}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {12}, number = {5}, pages = {863--867}, year = {2023}, url = {https://doi.org/10.1109/LWC.2023.3247009}, doi = {10.1109/LWC.2023.3247009}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/SunSXZHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/SunSZCLWLWF23, author = {Guoqiang Sun and Yibin Shen and Sijin Zhou and Xiang Chen and Hongyan Liu and Chunming Wu and Chenyi Lei and Xianhui Wei and Fei Fang}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Self-Supervised Interest Transfer Network via Prototypical Contrastive Learning for Recommendation}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {4614--4622}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i4.25584}, doi = {10.1609/AAAI.V37I4.25584}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/SunSZCLWLWF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/HongLTH23, author = {Tzung{-}Pei Hong and Jia{-}Xiang Li and Yu{-}Chuan Tsai and Wei{-}Ming Huang}, editor = {Ngoc Thanh Nguyen and Siridech Boonsang and Hamido Fujita and Bogumila Hnatkowska and Tzung{-}Pei Hong and Kitsuchart Pasupa and Ali Selamat}, title = {Tree-Based Unified Temporal Erasable-Itemset Mining}, booktitle = {Intelligent Information and Database Systems - 15th Asian Conference, {ACIIDS} 2023, Phuket, Thailand, July 24-26, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13995}, pages = {224--233}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-5834-4\_18}, doi = {10.1007/978-981-99-5834-4\_18}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aciids/HongLTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acpr/WuWJHFF23, author = {Song Wu and Zheng Wang and Zexi Jia and Chuanwei Huang and Hongyan Fei and Jufu Feng}, editor = {Huimin Lu and Michael Blumenstein and Sung{-}Bae Cho and Cheng{-}Lin Liu and Yasushi Yagi and Tohru Kamiya}, title = {Fingerprint Indexing with Minutiae-Aided Fingerprint Multiscale Representation}, booktitle = {Pattern Recognition - 7th Asian Conference, {ACPR} 2023, Kitakyushu, Japan, November 5-8, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14406}, pages = {344--358}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47634-1\_26}, doi = {10.1007/978-3-031-47634-1\_26}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acpr/WuWJHFF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ChenCTCH23, author = {Hong{-}Jie Chen and Yi{-}Cheng Chu and Che{-}Chun Teng and Chin{-}Ping Chuang and Min{-}Han Hung}, title = {Ethernet {VPN} Provisioning Towards Slicing for 5G Transport Networks}, booktitle = {24st Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2023, Sejong, Korea, Republic of, September 6-8, 2023}, pages = {373--376}, publisher = {{IEEE}}, year = {2023}, url = {https://ieeexplore.ieee.org/document/10258168}, timestamp = {Mon, 02 Oct 2023 20:30:43 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/ChenCTCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/LeeCCWLT23, author = {Chi{-}Chang Lee and Hong{-}Wei Chen and Chu{-}Song Chen and Hsin{-}Min Wang and Tsung{-}Te Liu and Yu Tsao}, title = {{LC4SV:} {A} Denoising Framework Learning to Compensate for Unseen Speaker Verification Models}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2023, Taipei, Taiwan, December 16-20, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASRU57964.2023.10389793}, doi = {10.1109/ASRU57964.2023.10389793}, timestamp = {Tue, 13 Feb 2024 21:21:14 +0100}, biburl = {https://dblp.org/rec/conf/asru/LeeCCWLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/TunTPPH23, author = {Ye Lin Tun and Chu Myaet Thwal and Yu Min Park and Seong{-}Bae Park and Choong Seon Hong}, editor = {Hyeran Byun and Beng Chin Ooi and Katsumi Tanaka and Sang{-}Won Lee and Zhixu Li and Akiyo Nadamoto and Giltae Song and Young{-}Guk Ha and Kazutoshi Sumiya and Yuncheng Wu and Hyuk{-}Yoon Kwon and Takehiro Yamamoto}, title = {Federated Learning with Intermediate Representation Regularization}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, pages = {56--63}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigComp57234.2023.00017}, doi = {10.1109/BIGCOMP57234.2023.00017}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/TunTPPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cadgraphics/ChenMSY23, author = {Shuo{-}Peng Chen and Hong{-}Yu Ma and Li{-}Yong Shen and Chun{-}Ming Yuan}, editor = {Shi{-}Min Hu and Yiyu Cai and Paul L. Rosin}, title = {A Lightweight Model for Feature Points Recognition of Tool Path Based on Deep Learning}, booktitle = {Computer-Aided Design and Computer Graphics - 18th International Conference, CAD/Graphics 2023, Shanghai, China, August 19-21, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14250}, pages = {45--59}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-9666-7\_4}, doi = {10.1007/978-981-99-9666-7\_4}, timestamp = {Thu, 22 Feb 2024 20:44:55 +0100}, biburl = {https://dblp.org/rec/conf/cadgraphics/ChenMSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/ZhangHLFZLWCLDZ23, author = {Zhenrui Zhang and Geng Hong and Xiang Li and Zhuoqun Fu and Jia Zhang and Mingxuan Liu and Chuhan Wang and Jianjun Chen and Baojun Liu and Haixin Duan and Chao Zhang and Min Yang}, editor = {Weizhi Meng and Christian Damsgaard Jensen and Cas Cremers and Engin Kirda}, title = {Under the Dark: {A} Systematical Study of Stealthy Mining Pools (Ab)use in the Wild}, booktitle = {Proceedings of the 2023 {ACM} {SIGSAC} Conference on Computer and Communications Security, {CCS} 2023, Copenhagen, Denmark, November 26-30, 2023}, pages = {326--340}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3576915.3616677}, doi = {10.1145/3576915.3616677}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccs/ZhangHLFZLWCLDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccscw/ChenD0LZ23, author = {Mengzhen Chen and Jixiang Du and Hongbo Zhang and Qing Lei and Chuanmin Zhai}, editor = {Yuqing Sun and Tun Lu and Tong Wang and Hongfei Fan and Dongning Liu and Bowen Du}, title = {Refining Skeleton-Based Temporal Action Segmentation with Edge Information}, booktitle = {Computer Supported Cooperative Work and Social Computing - 18th {CCF} Conference, ChineseCSCW 2023, Harbin, China, August 18-20, 2023, Revised Selected Papers, Part {II}}, series = {Communications in Computer and Information Science}, volume = {2013}, pages = {204--218}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-9640-7\_15}, doi = {10.1007/978-981-99-9640-7\_15}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccscw/ChenD0LZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LiuLTZLWZ23, author = {Luyang Liu and Qunyang Lin and Haonan Tong and Hongyin Zhu and Ke Liu and Min Wang and Chuang Zhang}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Neural Personalized Topic Modeling for Mining User Preferences on Social Media}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {1545--1555}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3614987}, doi = {10.1145/3583780.3614987}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LiuLTZLWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ParkKCHYCLRYCC23, author = {Chung Park and Taesan Kim and Taekyoon Choi and Junui Hong and Yelim Yu and Mincheol Cho and Kyunam Lee and Sungil Ryu and Hyungjun Yoon and Minsung Choi and Jaegul Choo}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Cracking the Code of Negative Transfer: {A} Cooperative Game Theoretic Approach for Cross-Domain Sequential Recommendation}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {2024--2033}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3614828}, doi = {10.1145/3583780.3614828}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ParkKCHYCLRYCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ZhaoLHZ023, author = {Chuang Zhao and Xinyu Li and Ming He and Hongke Zhao and Jianping Fan}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Sequential Recommendation via an Adaptive Cross-domain Knowledge Decomposition}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {3453--3463}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615058}, doi = {10.1145/3583780.3615058}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ZhaoLHZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BansalCSSGGG23, author = {Arpit Bansal and Hong{-}Min Chu and Avi Schwarzschild and Soumyadip Sengupta and Micah Goldblum and Jonas Geiping and Tom Goldstein}, title = {Universal Guidance for Diffusion Models}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {843--852}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00091}, doi = {10.1109/CVPRW59228.2023.00091}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/BansalCSSGGG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChangLYCWLC23, author = {Chih{-}Jung Chang and Yaw{-}Chern Lee and Shih{-}Hsuan Yao and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {A Closer Look at Geometric Temporal Dynamics for Face Anti-Spoofing}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1081--1091}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00115}, doi = {10.1109/CVPRW59228.2023.00115}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChangLYCWLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChenXHTKL23, author = {Hao{-}Wei Chen and Yu{-}Syuan Xu and Min{-}Fong Hong and Yi{-}Min Tsai and Hsien{-}Kai Kuo and Chun{-}Yi Lee}, title = {Cascaded Local Implicit Transformer for Arbitrary-Scale Super-Resolution}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {18257--18267}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01751}, doi = {10.1109/CVPR52729.2023.01751}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChenXHTKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23, author = {Marcos V. Conde and Eduard Zamfir and Radu Timofte and Daniel Motilla and Cen Liu and Zexin Zhang and Yunbo Peng and Yue Lin and Jiaming Guo and Xueyi Zou and Yuyi Chen and Yi Liu and Jia Hao and Youliang Yan and Yuanfan Zhang and Gen Li and Lei Sun and Lingshun Kong and Haoran Bai and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Long Sun and Zibin Liu and Weiran Gou and Shaoqing Li and Ziyao Yi and Yan Xiang and Dehui Kong and Ke Xu and Ganzorig Gankhuyag and Kihwan Yoon and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Zhou Zhou and Jiahao Chao and Hongfan Gao and Jiali Gong and Zhengfeng Yang and Zhenbing Zeng and Chengpeng Chen and Zichao Guo and Anjin Park and Yuqing Liu and Qi Jia and Hongyuan Yu and Xuanwu Yin and Dongyang Zhang and Ting Fu and Zhengxue Cheng and Shiai Zhu and Dajiang Zhou and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Ben Shao and Shaolong Zheng and Daheng Yin and Baijun Chen and Mengyang Liu and Marian{-}Sergiu Nistor and Yi{-}Chung Chen and Zhi{-}Kai Huang and Yuan{-}Chun Chiang and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Tu Vo and Qingsen Yan and Yun Zhu and Jinqiu Su and Yanning Zhang and Cheng Zhang and Jiaying Luo and Youngsun Cho and Nakyung Lee and Kunlong Zuo}, title = {Efficient Deep Models for Real-Time 4K Image Super-Resolution. {NTIRE} 2023 Benchmark and Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1495--1521}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00154}, doi = {10.1109/CVPRW59228.2023.00154}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23, author = {Yawei Li and Yulun Zhang and Radu Timofte and Luc Van Gool and Lei Yu and Youwei Li and Xinpeng Li and Ting Jiang and Qi Wu and Mingyan Han and Wenjie Lin and Chengzhi Jiang and Jinting Luo and Haoqiang Fan and Shuaicheng Liu and Yucong Wang and Minjie Cai and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Yanyu Mao and Nihao Zhang and Qian Wang and Mingjun Zheng and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhongbao Yang and Yan Wang and Erlin Pan and Qixuan Cai and Xinan Dai and Magauiya Zhussip and Nikolay Kalyazin and Dmitry Vyal and Xueyi Zou and Youliang Yan and Heaseo Chung and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Bohao Liao and Zhibo Du and Yu{-}Liang Wu and Gege Shi and Long Peng and Yang Wang and Yang Cao and Zhengjun Zha and Zhi{-}Kai Huang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Xin Liu and Jiahao Pan and Hongyuan Yu and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Weijian Deng and Hongjie Yuan and Zengtong Lu and Mingyu Ouyang and Wenzhuo Ma and Nian Liu and Hanyou Zheng and Yuantong Zhang and Junxi Zhang and Zhenzhong Chen and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Yurui Zhu and Xi Wang and Xueyang Fu and Zheng{-}Jun Zha and Daheng Yin and Mengyang Liu and Baijun Chen and Ao Li and Lei Luo and Kangjun Jin and Ce Zhu and Xiaoming Zhang and Chengxing Xie and Linze Li and Haiteng Meng and Tianlin Zhang and Tianrui Li and Xiaole Zhao and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Jiahuan Ren and Kang Hu and Jingpeng Shi and Zhijian Wu and Dingjiang Huang and Jinchen Zhu and Hui Li and Qianru Xv and Tianle Liu and Gang Wu and Junpeng Jiang and Xianming Liu and Junjun Jiang and Mingjian Zhang and Shizhuang Weng and Jing Hu and Chengxu Wu and Qinrui Fan and Chengming Feng and Ziwei Luo and Shu Hu and Siwei Lyu and Xi Wu and Xin Wang}, title = {{NTIRE} 2023 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1922--1960}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00189}, doi = {10.1109/CVPRW59228.2023.00189}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuMSZZTZGCKDJLZCSMAHLCFZLWWZZMSM23, author = {Xiaohong Liu and Xiongkuo Min and Wei Sun and Yulun Zhang and Kai Zhang and Radu Timofte and Guangtao Zhai and Yixuan Gao and Yuqin Cao and Tengchuan Kou and Yunlong Dong and Ziheng Jia and Yilin Li and Kai Zhao and Heng Cong and Hang Shi and Zhiliang Ma and Mirko Agarla and Zhiwei Huang and Hongye Liu and Ironhead Chuang and Haotian Fan and Shiqi Zhou and Yu Lai and Wenqi Wang and Haoning Wu and Chunzheng Zhu and Shiling Zhao and Hanene Brachemi Meftah and Tengfei Shi and Azadeh Mansouri}, title = {{NTIRE} 2023 Quality Assessment of Video Enhancement Challenge}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1551--1569}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00158}, doi = {10.1109/CVPRW59228.2023.00158}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiuMSZZTZGCKDJLZCSMAHLCFZLWWZZMSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23, author = {Longguang Wang and Yulan Guo and Yingqian Wang and Juncheng Li and Shuhang Gu and Radu Timofte and Ming Cheng and Haoyu Ma and Qiufang Ma and Xiaopeng Sun and Shijie Zhao and Xuhan Sheng and Yukang Ding and Ming Sun and Xing Wen and Dafeng Zhang and Jia Li and Fan Wang and Zheng Xie and Zongyao He and Zidian Qiu and Zilin Pan and Zhihao Zhan and Xingyuan Xian and Zhi Jin and Yuanbo Zhou and Wei Deng and Ruofeng Nie and Jiajun Zhang and Qinquan Gao and Tong Tong and Kexin Zhang and Junpei Zhang and Rui Peng and Yanbiao Ma and Licheng Jiao and Haoran Bai and Lingshun Kong and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Pu Cao and Tianrui Huang and Lu Yang and Qing Song and Bingxin Chen and Chunhua He and Meiyun Chen and Zijie Guo and Shaojuan Luo and Chengzhi Cao and Kunyu Wang and Fanrui Zhang and Qiang Zhang and Nancy Mehta and Subrahmanyam Murala and Akshay Dudhane and Yujin Wang and Lingen Li and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Junyang Chen and Hao Li and Yukai Shi and Zhijing Yang and Wenbin Zou and Yunchen Zhang and Mingchao Jiang and Zhongxin Yu and Ming Tan and Hongxia Gao and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Jingxiang Chen and Bo Yang and XiSheryl Zhang and Chenghua Li and Weijun Yuan and Zhan Li and Ruting Deng and Jintao Zeng and Pulkit Mahajan and Sahaj Mistry and Shreyas Chatterjee and Vinit Jakhetiya and Badri N. Subudhi and Sunil Prasad Jaiswal and Zhao Zhang and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Bo Wang and Gen Li and Aijin Li and Lei Sun and Ke Chen and Congling Tang and Yunzhe Li and Jun Chen and Yuan{-}Chun Chiang and Yi{-}Chung Chen and Zhi{-}Kai Huang and Hao{-}Hsiang Yang and I{-}Hsiang Chen and Sy{-}Yen Kuo and Yiheng Wang and Gang Zhu and Xingyi Yang and Songhua Liu and Yongcheng Jing and Xingyu Hu and Jianwen Song and Changming Sun and Arcot Sowmya and Seung Ho Park and Xiaoyan Lei and Jingchao Wang and Chenbo Zhai and Yufei Zhang and Weifeng Cao and Wenlong Zhang}, title = {{NTIRE} 2023 Challenge on Stereo Image Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1346--1372}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00141}, doi = {10.1109/CVPRW59228.2023.00141}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChuangCLSLCTT23, author = {Tse{-}Min Chuang and Yi{-}Ling Chien and Sin{-}Huei Lin and Yu{-}Kai Su and Hong{-}Hsiang Liu and Yen{-}Nan Chiu and Wen{-}Che Tsai and Yi{-}Li Tseng}, title = {Social Brain Activation and Connectivity in Autism Spectrum Disorders: An Electroencephalogram Study of Jigsaw Puzzle Solving}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10341166}, doi = {10.1109/EMBC40787.2023.10341166}, timestamp = {Thu, 11 Jan 2024 15:01:18 +0100}, biburl = {https://dblp.org/rec/conf/embc/ChuangCLSLCTT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/0003HDWMWWKKW23, author = {Hongru Wang and Minda Hu and Yang Deng and Rui Wang and Fei Mi and Weichao Wang and Yasheng Wang and Wai{-}Chung Kwan and Irwin King and Kam{-}Fai Wong}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Large Language Models as Source Planner for Personalized Knowledge-grounded Dialogues}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {9556--9569}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.641}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.641}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/0003HDWMWWKKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LiLZ0XZ23, author = {Chunyou Li and Mingtong Liu and Hongxiao Zhang and Yufeng Chen and Jinan Xu and Ming Zhou}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {{MT2:} Towards a Multi-Task Machine Translation Model with Translation-Specific In-Context Learning}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {8616--8627}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.532}, doi = {10.18653/V1/2023.EMNLP-MAIN.532}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LiLZ0XZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/HongHTH23, author = {Tzung{-}Pei Hong and Wei{-}Teng Hung and Yu{-}Chuan Tsai and Wei{-}Ming Huang}, title = {Using the Pre-Large Concept for Maintaining High Fuzzy Utility Itemsets}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ} 2023, Incheon, Republic of Korea, August 13-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/FUZZ52849.2023.10309768}, doi = {10.1109/FUZZ52849.2023.10309768}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/HongHTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gamesem/WangHS23a, author = {Hsuan{-}Min Wang and Kuan{-}Chun Hong and Chuen{-}Tsai Sun}, title = {Utilizing Machine Learning to Predict Flow State from Gameplay Interaction Data}, booktitle = {{IEEE} Gaming, Entertainment, and Media Conference, {GEM} 2023, Bridgetown, Barbados, 19-22 November 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GEM59776.2023.10390248}, doi = {10.1109/GEM59776.2023.10390248}, timestamp = {Thu, 01 Aug 2024 13:41:58 +0200}, biburl = {https://dblp.org/rec/conf/gamesem/WangHS23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/ParkCKCHCC23, author = {Chung Park and Taekyoon Choi and Taesan Kim and Mincheol Cho and Junui Hong and Minsung Choi and Jaegul Choo}, editor = {Matthias Renz and Mario A. Nascimento}, title = {FedGeo: Privacy-Preserving User Next Location Prediction with Federated Learning}, booktitle = {Proceedings of the 31st {ACM} International Conference on Advances in Geographic Information Systems, {SIGSPATIAL} 2023, Hamburg, Germany, November 13-16, 2023}, pages = {39:1--39:10}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3589132.3625582}, doi = {10.1145/3589132.3625582}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gis/ParkCKCHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/GanZGZHZ23, author = {Weilin Gan and Mingyang Zhao and Hongchen Guo and Chuan Zhang and Jianan Hong and Liehuang Zhu}, title = {Fine-Grained Data Rights Governance in Blockchain-Based Cloud-Edge Communications}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {904--909}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10436817}, doi = {10.1109/GLOBECOM54140.2023.10436817}, timestamp = {Sun, 10 Mar 2024 10:39:12 +0100}, biburl = {https://dblp.org/rec/conf/globecom/GanZGZHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HuangHT23, author = {Zhi{-}Hong Huang and Chun{-}Yang Huang and Ming{-}Jer Tsai}, title = {Efficient Multi-Connectivity Handover Algorithm in Heterogeneous Cellular Networks by Graph-to-Sequence Reinforcement Learning}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {7423--7428}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10436795}, doi = {10.1109/GLOBECOM54140.2023.10436795}, timestamp = {Sun, 10 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/HuangHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LiSSXZ23, author = {Bingqing Li and Hong Shen and Yi Sun and Wei Xu and Chunming Zhao}, title = {Learnable {ADMM} Based {OFDM} Phase Noise Compensation and Signal Detection Over High Mobility Channels}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {7435--7440}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437901}, doi = {10.1109/GLOBECOM54140.2023.10437901}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LiSSXZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LiSXZZ23, author = {Zhicheng Li and Hong Shen and Wei Xu and Pengcheng Zhu and Chunming Zhao}, title = {Finite Blocklength Decoding Error Probability Oriented Resource Allocation for Uplink {URLLC} Systems}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {5775--5780}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437092}, doi = {10.1109/GLOBECOM54140.2023.10437092}, timestamp = {Sun, 10 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LiSXZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SunSXZ23, author = {Yang Sun and Hong Shen and Wei Xu and Chunming Zhao}, title = {Interference-Aware Integrated Uplink Communication and Downlink Sensing}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {4570--4575}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437152}, doi = {10.1109/GLOBECOM54140.2023.10437152}, timestamp = {Sun, 10 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/SunSXZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/VuYPDNDNTNV23, author = {Viet{-}Vu Vu and Byeongnam Yoon and Dinh{-}Lam Pham and Hong{-}Quan Do and Hai{-}Minh Nguyen and Tran{-}Chung Dao and Thi{-}Hai{-}Yen Nguyen and Doan{-}Vinh Tran and Thi{-}Huong{-}Ly Nguyen and Viet{-}Thang Vu}, title = {Density peak clustering evaluation}, booktitle = {25th International Conference on Advanced Communication Technology, {ICACT} 2023, Pyeongchang, Korea, Republic of, February 19-22, 2023}, pages = {126--129}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/ICACT56868.2023.10079561}, doi = {10.23919/ICACT56868.2023.10079561}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/VuYPDNDNTNV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/HongKTHL23, author = {Tzung{-}Pei Hong and Meng{-}Ping Ku and Yu{-}Chuan Tsai and Wei{-}Ming Huang and Shu{-}Min Li}, title = {Trade-off Between Execution Time and Memory Consumption in Fuzzy Average-Utility Mining}, booktitle = {12th International Conference on Awareness Science and Technology, iCAST 2023, Taichung, Taiwan, November 9-11, 2023}, pages = {301--305}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/iCAST57874.2023.10359302}, doi = {10.1109/ICAST57874.2023.10359302}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icawst/HongKTHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HsuLH23, author = {Kai{-}Chieh Hsu and Ming{-}Chun Lee and Y.{-}W. Peter Hong}, title = {Joint Trajectory and Communication Optimization for UAV-Assisted Over-The-Air Federated Learning}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2023 - Workshops, Rome, Italy, May 28 - June 1, 2023}, pages = {1666--1671}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCWorkshops57953.2023.10283588}, doi = {10.1109/ICCWORKSHOPS57953.2023.10283588}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/HsuLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/JiangLCXGZZW23, author = {Xinyue Jiang and Hongyan Liu and Xiang Chen and Qingjiang Xiao and Kaiwei Guo and Dong Zhang and Haifeng Zhou and Chunming Wu}, title = {Halia: Toward Full-Coverage Network Function Offloading in the Data Plane}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2023, Rome, Italy, May 28 - June 1, 2023}, pages = {340--345}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICC45041.2023.10279504}, doi = {10.1109/ICC45041.2023.10279504}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/JiangLCXGZZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShiPKLLHZYCX23, author = {Zhengyuan Shi and Hongyang Pan and Sadaf Khan and Min Li and Yi Liu and Junhua Huang and Hui{-}Ling Zhen and Mingxuan Yuan and Zhufei Chu and Qiang Xu}, title = {DeepGate2: Functionality-Aware Circuit Representation Learning}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323798}, doi = {10.1109/ICCAD57390.2023.10323798}, timestamp = {Wed, 03 Jan 2024 08:34:26 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShiPKLLHZYCX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/LiYH23, author = {Shuang Li and Hong{-}Chuan Yang and Fengye Hu}, title = {Average AoI Minimization in WP-IoT Networks: Optimal Scheduling for {NOMA} Transmission}, booktitle = {{IEEE/CIC} International Conference on Communications in China, {ICCC} 2023, Dalian, China, August 10-12, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCC57788.2023.10233284}, doi = {10.1109/ICCC57788.2023.10233284}, timestamp = {Wed, 13 Sep 2023 08:43:35 +0200}, biburl = {https://dblp.org/rec/conf/iccchina/LiYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenWFSCLH23, author = {Han{-}Dong Chen and Liang{-}Hung Wang and Minghui Fan and Kun{-}Kun Sun and Chun{-}Hong Chen and Chia{-}Feng Liu and Pao{-}Cheng Huang}, title = {Design of {PPG} and {ECG} synchronous acquisition system based on {NRF52832}}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {249--250}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226900}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226900}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenWFSCLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/HuXSHCC23, author = {Yu{-}Ming Hu and Jia{-}Jin Xie and Hong{-}Han Shuai and Ching{-}Chun Huang and I{-}Fan Chou and Wen{-}Huang Cheng}, title = {Dynamic Feature Fusion for Visual Object Detection and Segmentation}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043439}, doi = {10.1109/ICCE56470.2023.10043439}, timestamp = {Tue, 21 Feb 2023 18:13:47 +0100}, biburl = {https://dblp.org/rec/conf/iccel/HuXSHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icct/ZhaoKSX0Z23, author = {Lin Zhao and Hongmei Kang and Yi Sun and Xiaodong Xu and Ming Jiang and Chunming Zhao}, title = {Decoding-Aided Channel Tracking in Time-Varying {MIMO} Systems: Short or Long Codes?}, booktitle = {23rd {IEEE} International Conference on Communication Technology, {ICCT} 2023, Wuxi, China, October 20-22, 2023}, pages = {26--30}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCT59356.2023.10419669}, doi = {10.1109/ICCT59356.2023.10419669}, timestamp = {Wed, 28 Feb 2024 16:50:10 +0100}, biburl = {https://dblp.org/rec/conf/icct/ZhaoKSX0Z23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icct/Zhou00ZZ023, author = {Shixian Zhou and Hong Shen and Wei Xu and Rui Zhang and Chunming Zhao and Xiaohu You}, title = {Robust {ISAC} Beamforming Optimization with Unknown Sensing Parameter}, booktitle = {23rd {IEEE} International Conference on Communication Technology, {ICCT} 2023, Wuxi, China, October 20-22, 2023}, pages = {456--461}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCT59356.2023.10419580}, doi = {10.1109/ICCT59356.2023.10419580}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icct/Zhou00ZZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanMDFCZLDZ23, author = {Matej Kristan and Jir{\'{\i}} Matas and Martin Danelljan and Michael Felsberg and Hyung Jin Chang and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Zhongqun Zhang and Khanh{-}Tung Tran and Xuan{-}Son Vu and Johanna Bj{\"{o}}rklund and Christoph Mayer and Yushan Zhang and Lei Ke and Jie Zhao and Gustavo Fern{\'{a}}ndez and Noor Al{-}Shakarji and Dong An and Michael Arens and Stefan Becker and Goutam Bhat and Sebastian Bullinger and Antoni B. Chan and Shijie Chang and Hanyuan Chen and Xin Chen and Yan Chen and Zhenyu Chen and Yangming Cheng and Yutao Cui and Chunyuan Deng and Jiahua Dong and Matteo Dunnhofer and Wei Feng and Jianlong Fu and Jie Gao and Ruize Han and Zeqi Hao and Jun{-}Yan He and Keji He and Zhenyu He and Xiantao Hu and Kaer Huang and Yuqing Huang and Yi Jiang and Ben Kang and Jin{-}Peng Lan and Hyungjun Lee and Chenyang Li and Jiahao Li and Ning Li and Wangkai Li and Xiaodi Li and Xin Li and Pengyu Liu and Yue Liu and Huchuan Lu and Bin Luo and Ping Luo and Yinchao Ma and Deshui Miao and Christian Micheloni and Kannappan Palaniappan and Hancheol Park and Matthieu Paul and Houwen Peng and Zekun Qian and Gani Rahmon and Norbert Scherer{-}Negenborn and Pengcheng Shao and Wooksu Shin and Elham Soltani Kazemi and Tianhui Song and Rainer Stiefelhagen and Rui Sun and Chuanming Tang and Zhangyong Tang and Imad Eddine Toubal and Jack Valmadre and Joost van de Weijer and Luc Van Gool and Jash Vira and St{\'{e}}phane Vujasinovic and Cheng Wan and Jia Wan and Dong Wang and Fei Wang and Feifan Wang and He Wang and Limin Wang and Song Wang and Yaowei Wang and Zhepeng Wang and Gangshan Wu and Jiannan Wu and Qiangqiang Wu and Xiaojun Wu and Anqi Xiao and Jinxia Xie and Chenlong Xu and Min Xu and Tianyang Xu and Yuanyou Xu and Bin Yan and Dawei Yang and Ming{-}Hsuan Yang and Tianyu Yang and Yi Yang and Zongxin Yang and Xuanwu Yin and Fisher Yu and Hongyuan Yu and Qianjin Yu and Weichen Yu and Yongsheng Yuan and Zehuan Yuan and Jianlin Zhang and Lu Zhang and Tianzhu Zhang and Guodongfang Zhao and Shaochuan Zhao and Yaozong Zheng and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu and Yueting Zhuang and ChengAo Zong and Kunlong Zuo}, title = {The First Visual Object Tracking Segmentation {VOTS2023} Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1788--1810}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00195}, doi = {10.1109/ICCVW60793.2023.00195}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanMDFCZLDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/SuCWLC23, author = {Wen{-}Tai Su and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {Kinship Representation Learning with Face Componential Relation}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {3097--3106}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00335}, doi = {10.1109/ICCVW60793.2023.00335}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/SuCWLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/ZhangXCCXWZWL23, author = {Xiaoli Zhang and Zhicheng Xu and Hongbing Cheng and Tong Che and Ke Xu and Weiqiang Wang and Wenbiao Zhao and Chunping Wang and Qi Li}, title = {Secure Collaborative Learning in Mining Pool via Robust and Efficient Verification}, booktitle = {43rd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2023, Hong Kong, July 18-21, 2023}, pages = {794--805}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDCS57875.2023.00012}, doi = {10.1109/ICDCS57875.2023.00012}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/ZhangXCCXWZWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/WangCZJHZCCLZX023, author = {Yan Wang and Zhixuan Chu and Tao Zhou and Caigao Jiang and Hongyan Hao and Minjie Zhu and Xindong Cai and Qing Cui and Longfei Li and James Y. Zhang and Siqiao Xue and Jun Zhou}, editor = {Jihe Wang and Yi He and Thang N. Dinh and Christan Grant and Meikang Qiu and Witold Pedrycz}, title = {Enhancing Asynchronous Time Series Forecasting with Contrastive Relational Inference}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2023 - Workshops, Shanghai, China, December 4, 2023}, pages = {588--592}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDMW60847.2023.00082}, doi = {10.1109/ICDMW60847.2023.00082}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/WangCZJHZCCLZX023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/SheuMCWHL23, author = {Ming{-}Hwa Sheu and S. M. Salahuddin Morsalin and Yi{-}Wen Chang and Szu{-}Hong Wang and Chung{-}Chian Hsu and Shin{-}Chi Lai}, title = {Unique Feature Extraction and Consistency Network for Skeleton Body Keypoints Configuration and Enhancement}, booktitle = {6th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2023, Sapporo, Japan, August 11-13, 2023}, pages = {667--670}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICKII58656.2023.10332632}, doi = {10.1109/ICKII58656.2023.10332632}, timestamp = {Thu, 04 Jan 2024 08:13:42 +0100}, biburl = {https://dblp.org/rec/conf/ickii/SheuMCWHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/0003HHZWZ23, author = {Qing Li and Siyuan Huang and Yining Hong and Yixin Zhu and Ying Nian Wu and Song{-}Chun Zhu}, title = {A Minimalist Dataset for Systematic Generalization of Perception, Syntax, and Semantics}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=kIPyTuEZuAK}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/0003HHZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChuGFGG23, author = {Hong{-}Min Chu and Jonas Geiping and Liam H. Fowl and Micah Goldblum and Tom Goldstein}, title = {Panning for Gold in Federated Learning: Targeted Text Extraction under Arbitrarily Large-Scale Aggregation}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=A9WQaxYsfx}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChuGFGG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkJCJJNK23, author = {Suah Park and Minsoo Jeong and Hyeonjin Chung and Hongseok Jung and Hyekyung Jwa and Jeehyeon Na and Sunwoo Kim}, title = {Deep Neural Network-based Fingerprinting Localization for 5G {NR} mmWave Small-Cell}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1036--1038}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393043}, doi = {10.1109/ICTC58733.2023.10393043}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkJCJJNK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LiuHDYZ23, author = {Dong Liu and Yu Hong and Chuyao Ding and Jianmin Yao and Guodong Zhou}, title = {{EBAMQ:} Exposure Bias Alleviation via Moment Matching for Question Generation}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2023, Gold Coast, Australia, June 18-23, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IJCNN54540.2023.10191278}, doi = {10.1109/IJCNN54540.2023.10191278}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/LiuHDYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/MaCXWWC23, author = {Kexue Ma and Guitao Cao and Mengqian Xu and Chunwei Wu and Hong Wang and Wenming Cao}, title = {Class-Balanced Universal Perturbations for Adversarial Training}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2023, Gold Coast, Australia, June 18-23, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IJCNN54540.2023.10191447}, doi = {10.1109/IJCNN54540.2023.10191447}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/MaCXWWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/XiangCZZWW23, author = {Jing Xiang and Guitao Cao and Xinyue Zhang and Hanxiu Zhang and Chunwei Wu and Hong Wang}, title = {Discriminative Feature Mining and Alignment for Unsupervised Domain Adaptation}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2023, Gold Coast, Australia, June 18-23, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IJCNN54540.2023.10191688}, doi = {10.1109/IJCNN54540.2023.10191688}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/XiangCZZWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChenLSHZLZZW23, author = {Xiang Chen and Hongyan Liu and Tingxin Sun and Qun Huang and Dong Zhang and Xuan Liu and Boyang Zhou and Haifeng Zhou and Chunming Wu}, title = {Excalibur: {A} Scalable and Low-Cost Traffic Testing Framework for Evaluating DDoS Defense Solutions}, booktitle = {{IEEE} {INFOCOM} 2023 - {IEEE} Conference on Computer Communications, New York City, NY, USA, May 17-20, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/INFOCOM53939.2023.10229080}, doi = {10.1109/INFOCOM53939.2023.10229080}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChenLSHZLZZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChenLXZHZLW23, author = {Xiang Chen and Hongyan Liu and Qingjiang Xiao and Jianshan Zhang and Qun Huang and Dong Zhang and Xuan Liu and Chunming Wu}, title = {Melody: Toward Resource-Efficient Packet Header Vector Encoding on Programmable Switches}, booktitle = {{IEEE} {INFOCOM} 2023 - {IEEE} Conference on Computer Communications, New York City, NY, USA, May 17-20, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/INFOCOM53939.2023.10229056}, doi = {10.1109/INFOCOM53939.2023.10229056}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChenLXZHZLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23, author = {Woongrae Kim and Chulmoon Jung and Seong Nyuh Yoo and Duckhwa Hong and Jeongjin Hwang and Jungmin Yoon and Oh{-}Yong Jung and Joonwoo Choi and Sanga Hyun and Mankeun Kang and Sangho Lee and Dohong Kim and Sanghyun Ku and Donhyun Choi and Nogeun Joo and Sangwoo Yoon and Junseok Noh and Byeongyong Go and Cheolhoe Kim and Sunil Hwang and Mihyun Hwang and Seol{-}Min Yi and Hyungmin Kim and Sanghyuk Heo and Yeonsu Jang and Kyoungchul Jang and Shinho Chu and Yoonna Oh and Kwidong Kim and Junghyun Kim and Soohwan Kim and Jeongtae Hwang and Sangil Park and Junphyo Lee and In{-}Chul Jeong and Joohwan Cho and Jonghwan Kim}, title = {A 1.1V 16Gb {DDR5} {DRAM} with Probabilistic-Aggressor Tracking, Refresh-Management Functionality, Per-Row Hammer Tracking, a Multi-Step Precharge, and Core-Bias Modulation for Security and Reliability Enhancement}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {414--415}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067805}, doi = {10.1109/ISSCC42615.2023.10067805}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23, author = {Bvunarvul Kim and Seungpil Lee and Beomseok Hah and Kanawoo Park and Yongsoon Park and Kangwook Jo and Yujong Noh and Hyeon{-}Cheon Seol and Hyunsoo Lee and Jae{-}Hyeon Shin and Seongjin Choi and Youngdon Jung and Sungho Ahn and Yonghun Park and Sujeong Oh and Myungsu Kim and Seonauk Kim and Hyunwook Park and Taeho Lee and Haeun Won and Minsung Kim and Cheulhee Koo and Yeonjoo Choi and Suyoung Choi and Sechun Park and Dongkyu Youn and Junyoun Lim and Wonsun Park and Hwang Hur and Kichang Kwean and Hongsok Choi and Woopyo Jeong and Sungyong Chung and Jungdal Choi and Seonyong Cha}, title = {A High-Performance 1Tb 3b/Cell 3D-NAND Flash with a 194MB/s Write Throughput on over 300 Layers {\textdollar}{\textbackslash}mathsf\{i\}{\textdollar}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {402--403}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067666}, doi = {10.1109/ISSCC42615.2023.10067666}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuSHRCCKHLSLCLLHTC23, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Li{-}Yang Hong and Jin{-}Sheng Ren and Chih{-}Han Chien and Ho{-}Yu Chen and Chao{-}En Ke and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 22nm 832Kb Hybrid-Domain Floating-Point {SRAM} In-Memory-Compute Macro with 16.2-70.2TFLOPS/W for High-Accuracy AI-Edge Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {126--127}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067527}, doi = {10.1109/ISSCC42615.2023.10067527}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuSHRCCKHLSLCLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/LiuCSHZZW23, author = {Hongyan Liu and Xiang Chen and Yi Shen and Qun Huang and Zhengyan Zhou and Dong Zhang and Chunming Wu}, title = {Vulnerabilities and Attacks of Inter-device Coordination in Programmable Networks}, booktitle = {31st {IEEE/ACM} International Symposium on Quality of Service, IWQoS 2023, Orlando, FL, USA, June 19-21, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IWQoS57198.2023.10188714}, doi = {10.1109/IWQOS57198.2023.10188714}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwqos/LiuCSHZZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/HongHTH23, author = {Tzung{-}Pei Hong and Wei{-}Teng Hung and Yu{-}Chuan Tsai and Wei{-}Ming Huang}, title = {Maintenance of High Fuzzy Utility Itemsets Using the Pre-Large-Itemset Concept and Tree Structure}, booktitle = {The 10th Multidisciplinary International Social Networks Conference, {MISNC} 2023, Phuket, Thailand, September 4-6, 2023}, pages = {118--123}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3624875.3624895}, doi = {10.1145/3624875.3624895}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/misnc/HongHTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/GaoLZLXGJ23, author = {Yifan Gao and Jinpeng Lin and Min Zhou and Chuanbin Liu and Hongtao Xie and Tiezheng Ge and Yuning Jiang}, editor = {Abdulmotaleb El{-}Saddik and Tao Mei and Rita Cucchiara and Marco Bertini and Diana Patricia Tobon Vallejo and Pradeep K. Atrey and M. Shamim Hossain}, title = {TextPainter: Multimodal Text Image Generation with Visual-harmony and Text-comprehension for Poster Design}, booktitle = {Proceedings of the 31st {ACM} International Conference on Multimedia, {MM} 2023, Ottawa, ON, Canada, 29 October 2023- 3 November 2023}, pages = {7236--7246}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581783.3611929}, doi = {10.1145/3581783.3611929}, timestamp = {Thu, 08 Aug 2024 08:08:02 +0200}, biburl = {https://dblp.org/rec/conf/mm/GaoLZLXGJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/JiangLYWCXLGCYQ23, author = {Chen Jiang and Hong Liu and Xuzheng Yu and Qing Wang and Yuan Cheng and Jia Xu and Zhongyi Liu and Qingpei Guo and Wei Chu and Ming Yang and Yuan Qi}, editor = {Abdulmotaleb El{-}Saddik and Tao Mei and Rita Cucchiara and Marco Bertini and Diana Patricia Tobon Vallejo and Pradeep K. Atrey and M. Shamim Hossain}, title = {Dual-Modal Attention-Enhanced Text-Video Retrieval with Triplet Partial Margin Contrastive Learning}, booktitle = {Proceedings of the 31st {ACM} International Conference on Multimedia, {MM} 2023, Ottawa, ON, Canada, 29 October 2023- 3 November 2023}, pages = {4626--4636}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581783.3612006}, doi = {10.1145/3581783.3612006}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/JiangLYWCXLGCYQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/LiuWYSTZWL23, author = {Hongbo Liu and Mingda Wu and Kun Yuan and Ming Sun and Yansong Tang and Chuanchuan Zheng and Xing Wen and Xiu Li}, editor = {Abdulmotaleb El{-}Saddik and Tao Mei and Rita Cucchiara and Marco Bertini and Diana Patricia Tobon Vallejo and Pradeep K. Atrey and M. Shamim Hossain}, title = {Ada-DQA: Adaptive Diverse Quality-aware Feature Acquisition for Video Quality Assessment}, booktitle = {Proceedings of the 31st {ACM} International Conference on Multimedia, {MM} 2023, Ottawa, ON, Canada, 29 October 2023- 3 November 2023}, pages = {6695--6704}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581783.3611795}, doi = {10.1145/3581783.3611795}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/LiuWYSTZWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/WuC0X0W23, author = {Chunwei Wu and Guitao Cao and Yan Li and Xidong Xi and Wenming Cao and Hong Wang}, editor = {Abdulmotaleb El{-}Saddik and Tao Mei and Rita Cucchiara and Marco Bertini and Diana Patricia Tobon Vallejo and Pradeep K. Atrey and M. Shamim Hossain}, title = {Chaos to Order: {A} Label Propagation Perspective on Source-Free Domain Adaptation}, booktitle = {Proceedings of the 31st {ACM} International Conference on Multimedia, {MM} 2023, Ottawa, ON, Canada, 29 October 2023- 3 November 2023}, pages = {2877--2887}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581783.3613821}, doi = {10.1145/3581783.3613821}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/WuC0X0W23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/BansalBCLKHGGG23, author = {Arpit Bansal and Eitan Borgnia and Hong{-}Min Chu and Jie Li and Hamid Kazemi and Furong Huang and Micah Goldblum and Jonas Geiping and Tom Goldstein}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Cold Diffusion: Inverting Arbitrary Image Transforms Without Noise}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/80fe51a7d8d0c73ff7439c2a2554ed53-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/BansalBCLKHGGG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SunSZZCCYG23, author = {Zhiqing Sun and Yikang Shen and Qinhong Zhou and Hongxin Zhang and Zhenfang Chen and David D. Cox and Yiming Yang and Chuang Gan}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Principle-Driven Self-Alignment of Language Models from Scratch with Minimal Human Supervision}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/0764db1151b936aca59249e2c1386101-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/SunSZZCCYG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/JianWWHCCLY23, author = {Yin{-}He Jian and Chih{-}Chun Wang and Tzu{-}Chieh Wei and Ying{-}Kai Hong and Huang{-}Ming Chen and Chi{-}Wai Chow and Yang Liu and Chien{-}Hung Yeh}, title = {Optical Beam Steerable and Flexible Data Rate Orthogonal Frequency Division Multiplexing Non-Orthogonal Multiple Access {(OFDM-NOMA)} Visible Light Communication}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117021}, doi = {10.23919/OFC49934.2023.10117021}, timestamp = {Thu, 01 Jun 2023 08:58:39 +0200}, biburl = {https://dblp.org/rec/conf/ofc/JianWWHCCLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/ParkKHCC23, author = {Chung Park and Taesan Kim and Junui Hong and Minsung Choi and Jaegul Choo}, editor = {Gianmarco De Francisci Morales and Claudia Perlich and Natali Ruchansky and Nicolas Kourtellis and Elena Baralis and Francesco Bonchi}, title = {Pre-training Contextual Location Embeddings in Personal Trajectories via Efficient Hierarchical Location Representations}, booktitle = {Machine Learning and Knowledge Discovery in Databases: Applied Data Science and Demo Track - European Conference, {ECML} {PKDD} 2023, Turin, Italy, September 18-22, 2023, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {14175}, pages = {125--140}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43430-3\_8}, doi = {10.1007/978-3-031-43430-3\_8}, timestamp = {Wed, 20 Sep 2023 23:28:48 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/ParkKHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcar/JiWJZHAZPCL23, author = {Junyu Ji and Xin Wang and Desheng Jia and Chunrui Zhao and Yuchao He and Ijaz Ahmad and Mingxing Zhu and Hongguang Pan and Shixiong Chen and Guanglin Li}, title = {Latency Localization of Auditory Brainstem Response by Different Deep Learning Methods}, booktitle = {{IEEE} International Conference on Real-time Computing and Robotics, {RCAR} 2023, Datong, China, July 17-20, 2023}, pages = {793--798}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RCAR58764.2023.10249229}, doi = {10.1109/RCAR58764.2023.10249229}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rcar/JiWJZHAZPCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/YiWHCWHHCC23, author = {Xinyang Yi and Shao{-}Chuan Wang and Ruining He and Hariharan Chandrasekaran and Charles Wu and Lukasz Heldt and Lichan Hong and Minmin Chen and Ed H. Chi}, editor = {Jie Zhang and Li Chen and Shlomo Berkovsky and Min Zhang and Tommaso Di Noia and Justin Basilico and Luiz Pizzato and Yang Song}, title = {Online Matching: {A} Real-time Bandit System for Large-scale Recommendations}, booktitle = {Proceedings of the 17th {ACM} Conference on Recommender Systems, RecSys 2023, Singapore, Singapore, September 18-22, 2023}, pages = {403--414}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3604915.3608792}, doi = {10.1145/3604915.3608792}, timestamp = {Tue, 30 Jul 2024 20:55:53 +0200}, biburl = {https://dblp.org/rec/conf/recsys/YiWHCWHHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/LiuCSZW23, author = {Hongyan Liu and Xiang Chen and Yi Shen and Dong Zhang and Chunming Wu}, title = {Optimizing Program Deployment with libopl in Programmable Networks}, booktitle = {20th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2023, Madrid, Spain, September 11-14, 2023}, pages = {348--356}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SECON58729.2023.10287498}, doi = {10.1109/SECON58729.2023.10287498}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/secon/LiuCSZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/ZhangLLSKYHYW23, author = {Xiongfeng Zhang and Seonjo Lim and Changdae Lee and Won Seok Song and Yu Chul Kim and Mengmeng Yu and Seung Ho Hong and NamHyun Yoo and Min Wei}, title = {Integration of 5G and {OPC} {UA} for Smart Manufacturing of the Future}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2023, Atlanta, GA, USA, January 17-20, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SII55687.2023.10039191}, doi = {10.1109/SII55687.2023.10039191}, timestamp = {Thu, 23 Feb 2023 17:35:09 +0100}, biburl = {https://dblp.org/rec/conf/sii/ZhangLLSKYHYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HongHCW23, author = {Tzung{-}Pei Hong and Ya{-}Ping Hsu and Chun{-}Hao Chen and Jimmy Ming{-}Tai Wu}, title = {A Federated Mining Framework for Complete Frequent Itemsets}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2023, Honolulu, Oahu, HI, USA, October 1-4, 2023}, pages = {2483--2488}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMC53992.2023.10394474}, doi = {10.1109/SMC53992.2023.10394474}, timestamp = {Tue, 13 Feb 2024 09:22:04 +0100}, biburl = {https://dblp.org/rec/conf/smc/HongHCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spa/TaiTTNTHN23, author = {Ta Viet Tai and Ma Pham Nhut Tan and Duong Hoang Tien and Chu Thi Hong Ngoc and Nguyen Minh Tri and Nguyen Viet Ha and Tran Thi Thao Nguyen}, title = {Signal Quality Indices based on Skewness of Peak-Peak Interval for Wearable {ECG} Devices}, booktitle = {Signal Processing: Algorithms, Architectures, Arrangements, and Applications, {SPA} 2023, Poznan, Poland, September 20-22, 2023}, pages = {42--47}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/SPA59660.2023.10274467}, doi = {10.23919/SPA59660.2023.10274467}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spa/TaiTTNTHN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spml/ZhangLZGHWLZ23, author = {Jianxun Zhang and Yuzheng Liu and Dandan Zhang and Hongying Guo and Mingxiang Huang and Wanjun Wang and Cheng Lin and Chuanlei Zhang}, title = {Detection Method of the Secondary Protective Rope for Electric Power Workers Based on {UAV} Image and {YOLO} Algorithm}, booktitle = {6th International Conference on Signal Processing and Machine Learning, {SPML} 2023, Tianjin, China, July 14-16, 2023}, pages = {182--189}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3614008.3614034}, doi = {10.1145/3614008.3614034}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spml/ZhangLZGHWLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssp/LaiNPNPCNTNLMNNLD23, author = {Minh Phu Lai and Thanh Trung Nguyen and Thanh Vinh Pham and Thai Ha Nguyen and Duc Thuc Pham and Minh Duc Chu and Chi Thanh Nguyen and Quoc Long Tran and Duc Thuan Nguyen and Ngoc Ha Le and Hong Son Mai and Thi Nhung Nguyen and Thi Phuong Nguyen and Quoc Khanh Le and Nam Thang Dang}, title = {Utilizing {DETR} model on {SPECT} image to assess remaining thyroid tissues post-thyroidectomy}, booktitle = {{IEEE} Statistical Signal Processing Workshop, {SSP} 2023, Hanoi, Vietnam, July 2-5, 2023}, pages = {537--541}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SSP53291.2023.10207988}, doi = {10.1109/SSP53291.2023.10207988}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ssp/LaiNPNPCNTNLMNNLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/XieHTWLHKW23, author = {Yu{-}You Xie and Wei{-}Hua Hou and Chun{-}Chieh Tsao and Szu{-}Hong Wang and Chia{-}Rong Lee and Ming{-}Sheng Hsu and Hsu{-}Yen Kuo and Ting{-}Wei Wang}, editor = {Chao{-}Yang Lee and Chun{-}Li Lin and Hsuan{-}Ting Chang}, title = {Real-Time Prediction of Acute Kidney Injury in the Intensive Care Unit Using {EDGE-AI} Platform}, booktitle = {Technologies and Applications of Artificial Intelligence - 28th International Conference, {TAAI} 2023, Yunlin, Taiwan, December 1-2, 2023, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {2074}, pages = {227--237}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-1711-8\_17}, doi = {10.1007/978-981-97-1711-8\_17}, timestamp = {Wed, 03 Apr 2024 15:59:07 +0200}, biburl = {https://dblp.org/rec/conf/taai/XieHTWLHKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/SonPJKKCYKJMHJS23, author = {Kihwang Son and Seulki Park and Kyunghoon Jung and Jun{-}Gyu Kim and Younggun Ko and Keonyong Cheon and Changkeun Yoon and Jiho Kim and Jaehun Jeong and Taehun Myung and Changmin Hong and Weonwi Jang and Min{-}Chul Sun and Sungil Jo and Ju{-}Youn Kim and Byungmoo Song and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {Highly Reliable/Manufacturable 4nm FinFET Platform Technology {(SF4X)} for {HPC} Application with Dual-CPP/HP-HD Standard Cells}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185365}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185365}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/SonPJKKCYKJMHJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WuHSCHCCSWYCLRW23, author = {Ming{-}Hung Wu and Ming{-}Chun Hong and Ching Shih and Yao{-}Jen Chang and Yu{-}Chen Hsin and Shih{-}Ching Chiu and Kuan{-}Ming Chen and Yi{-}Hui Su and Chih{-}Yao Wang and Shan{-}Yi Yang and Guan{-}Long Chen and Hsin{-}Han Lee and Sk. Ziaur Rahaman and I{-}Jung Wang and Chen{-}Yi Shih and Tsun{-}Chun Chang and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Tuo{-}Hung Hou}, title = {{U-MRAM:} Transistor-Less, High-Speed {(10} ns), Low-Voltage {(0.6} V), Field-Free Unipolar {MRAM} for High-Density Data Memory}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185336}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185336}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/WuHSCHCCSWYCLRW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ZhaoZHZ023, author = {Chuang Zhao and Hongke Zhao and Ming He and Jian Zhang and Jianping Fan}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {Cross-domain recommendation via user interest alignment}, booktitle = {Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {887--896}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543507.3583263}, doi = {10.1145/3543507.3583263}, timestamp = {Mon, 28 Aug 2023 21:17:10 +0200}, biburl = {https://dblp.org/rec/conf/www/ZhaoZHZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-03281, author = {Xiangyu Li and Gongning Luo and Kuanquan Wang and Hongyu Wang and Jun Liu and Xinjie Liang and Jie Jiang and Zhenghao Song and Chunyue Zheng and Haokai Chi and Mingwang Xu and Yingte He and Xinghua Ma and Jingwen Guo and Yifan Liu and Chuanpu Li and Zeli Chen and Md Mahfuzur Rahman Siddiquee and Andriy Myronenko and Antoine P. Sanner and Anirban Mukhopadhyay and Ahmed E. Othman and Xingyu Zhao and Weiping Liu and Jinhuang Zhang and Xiangyuan Ma and Qinghui Liu and Bradley J. MacIntosh and Wei Liang and Moona Mazher and Abdul Qayyum and Valeriia Abramova and Xavier Llad{\'{o}} and Shuo Li}, title = {The state-of-the-art 3D anisotropic intracranial hemorrhage segmentation on non-contrast head {CT:} The {INSTANCE} challenge}, journal = {CoRR}, volume = {abs/2301.03281}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.03281}, doi = {10.48550/ARXIV.2301.03281}, eprinttype = {arXiv}, eprint = {2301.03281}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-03281.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-08413, author = {Chunwei Wu and Guitao Cao and Yan Li and Xidong Xi and Wenming Cao and Hong Wang}, title = {When Source-Free Domain Adaptation Meets Label Propagation}, journal = {CoRR}, volume = {abs/2301.08413}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.08413}, doi = {10.48550/ARXIV.2301.08413}, eprinttype = {arXiv}, eprint = {2301.08413}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-08413.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-11467, author = {Chuang Zhao and Hongke Zhao and Ming He and Jian Zhang and Jianping Fan}, title = {Cross-domain recommendation via user interest alignment}, journal = {CoRR}, volume = {abs/2301.11467}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.11467}, doi = {10.48550/ARXIV.2301.11467}, eprinttype = {arXiv}, eprint = {2301.11467}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-11467.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-11749, author = {Chulun Zhou and Yunlong Liang and Fandong Meng and Jie Zhou and Jinan Xu and Hongji Wang and Min Zhang and Jinsong Su}, title = {A Multi-task Multi-stage Transitional Training Framework for Neural Chat Translation}, journal = {CoRR}, volume = {abs/2301.11749}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.11749}, doi = {10.48550/ARXIV.2301.11749}, eprinttype = {arXiv}, eprint = {2301.11749}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-11749.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-07121, author = {Arpit Bansal and Hong{-}Min Chu and Avi Schwarzschild and Soumyadip Sengupta and Micah Goldblum and Jonas Geiping and Tom Goldstein}, title = {Universal Guidance for Diffusion Models}, journal = {CoRR}, volume = {abs/2302.07121}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.07121}, doi = {10.48550/ARXIV.2302.07121}, eprinttype = {arXiv}, eprint = {2302.07121}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-07121.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-14438, author = {Guoqiang Sun and Yibin Shen and Sijin Zhou and Xiang Chen and Hongyan Liu and Chunming Wu and Chenyi Lei and Xianhui Wei and Fei Fang}, title = {Self-Supervised Interest Transfer Network via Prototypical Contrastive Learning for Recommendation}, journal = {CoRR}, volume = {abs/2302.14438}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.14438}, doi = {10.48550/ARXIV.2302.14438}, eprinttype = {arXiv}, eprint = {2302.14438}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-14438.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-00282, author = {Siqi Li and Yilin Ning and Marcus Eng Hock Ong and Bibhas Chakraborty and Chuan Hong and Feng Xie and Han Yuan and Mingxuan Liu and Daniel M. Buckland and Yong Chen and Nan Liu}, title = {FedScore: {A} privacy-preserving framework for federated scoring system development}, journal = {CoRR}, volume = {abs/2303.00282}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.00282}, doi = {10.48550/ARXIV.2303.00282}, eprinttype = {arXiv}, eprint = {2303.00282}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-00282.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-01368, author = {Hong{-}Yu Ma and Li{-}Yong Shen and Xin Jiang and Qiang Zou and Chun{-}Ming Yuan}, title = {A survey of path planning and feedrate interpolation in computer numerical control}, journal = {CoRR}, volume = {abs/2303.01368}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.01368}, doi = {10.48550/ARXIV.2303.01368}, eprinttype = {arXiv}, eprint = {2303.01368}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-01368.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-06274, author = {Simon Graham and Quoc Dang Vu and Mostafa Jahanifar and Martin Weigert and Uwe Schmidt and Wenhua Zhang and Jun Zhang and Sen Yang and Jinxi Xiang and Xiyue Wang and Josef Lorenz Rumberger and Elias Baumann and Peter Hirsch and Lihao Liu and Chenyang Hong and Angelica I. Avil{\'{e}}s{-}Rivero and Ayushi Jain and Heeyoung Ahn and Yiyu Hong and Hussam Azzuni and Min Xu and Mohammad Yaqub and Marie{-}Claire Blache and Beno{\^{\i}}t Pi{\'{e}}gu and Bertrand Vernay and Tim Scherr and Moritz B{\"{o}}hland and Katharina L{\"{o}}ffler and Jiachen Li and Weiqin Ying and Chixin Wang and Dagmar Kainmueller and Carola{-}Bibiane Sch{\"{o}}nlieb and Shuolin Liu and Dhairya Talsania and Yughender Meda and Prakash Mishra and Muhammad Ridzuan and Oliver Neumann and Marcel P. Schilling and Markus Reischl and Ralf Mikut and Banban Huang and Hsiang{-}Chin Chien and Ching{-}Ping Wang and Chia{-}Yen Lee and Hong{-}Kun Lin and Zaiyi Liu and Xipeng Pan and Chu Han and Jijun Cheng and Muhammad Dawood and Srijay Deshpande and Raja Muhammad Saad Bashir and Adam Shephard and Pedro Costa and Jo{\~{a}}o D. Nunes and Aur{\'{e}}lio Campilho and Jaime S. Cardoso and Hrishikesh P. S and Densen Puthussery and Devika R. G and Jiji C V and Ye Zhang and Zijie Fang and Zhifan Lin and Yongbing Zhang and Chunhui Lin and Liukun Zhang and Lijian Mao and Min Wu and Thi Tuong Vi Vo and Soo{-}Hyung Kim and Taebum Lee and Satoshi Kondo and Satoshi Kasai and Pranay Dumbhare and Vedant Phuse and Yash Dubey and Ankush Jamthikar and Trinh Thi Le Vuong and Jin Tae Kwak and Dorsa Ziaei and Hyun Jung and Tianyi Miao and David R. J. Snead and Shan{-}E{-}Ahmed Raza and Fayyaz Minhas and Nasir M. Rajpoot}, title = {CoNIC Challenge: Pushing the Frontiers of Nuclear Detection, Segmentation, Classification and Counting}, journal = {CoRR}, volume = {abs/2303.06274}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.06274}, doi = {10.48550/ARXIV.2303.06274}, eprinttype = {arXiv}, eprint = {2303.06274}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-06274.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-16129, author = {Minrui Xu and Hongyang Du and Dusit Niyato and Jiawen Kang and Zehui Xiong and Shiwen Mao and Zhu Han and Abbas Jamalipour and Dong In Kim and Xuemin Shen and Victor C. M. Leung and H. Vincent Poor}, title = {Unleashing the Power of Edge-Cloud Generative {AI} in Mobile Networks: {A} Survey of {AIGC} Services}, journal = {CoRR}, volume = {abs/2303.16129}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.16129}, doi = {10.48550/ARXIV.2303.16129}, eprinttype = {arXiv}, eprint = {2303.16129}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-16129.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-16513, author = {Hao{-}Wei Chen and Yu{-}Syuan Xu and Min{-}Fong Hong and Yi{-}Min Tsai and Hsien{-}Kai Kuo and Chun{-}Yi Lee}, title = {Cascaded Local Implicit Transformer for Arbitrary-Scale Super-Resolution}, journal = {CoRR}, volume = {abs/2303.16513}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.16513}, doi = {10.48550/ARXIV.2303.16513}, eprinttype = {arXiv}, eprint = {2303.16513}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-16513.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-04164, author = {Kang Wei and Jun Li and Chuan Ma and Ming Ding and Haitao Zhao and Wen Chen and Hongbo Zhu}, title = {Gradient Sparsification for Efficient Wireless Federated Learning with Differential Privacy}, journal = {CoRR}, volume = {abs/2304.04164}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.04164}, doi = {10.48550/ARXIV.2304.04164}, eprinttype = {arXiv}, eprint = {2304.04164}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-04164.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-04546, author = {Weng{-}Tai Su and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {Kinship Representation Learning with Face Componential Relation}, journal = {CoRR}, volume = {abs/2304.04546}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.04546}, doi = {10.48550/ARXIV.2304.04546}, eprinttype = {arXiv}, eprint = {2304.04546}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-04546.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-13215, author = {Suhyeong Choi and Jinwook Jung and Andrew B. Kahng and Minsoo Kim and Chul{-}Hong Park and Bodhisatta Pramanik and Dooseok Yoon}, title = {{PROBE3.0:} {A} Systematic Framework for Design-Technology Pathfinding with Improved Design Enablement}, journal = {CoRR}, volume = {abs/2304.13215}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.13215}, doi = {10.48550/ARXIV.2304.13215}, eprinttype = {arXiv}, eprint = {2304.13215}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-13215.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-03047, author = {Zhiqing Sun and Yikang Shen and Qinhong Zhou and Hongxin Zhang and Zhenfang Chen and David D. Cox and Yiming Yang and Chuang Gan}, title = {Principle-Driven Self-Alignment of Language Models from Scratch with Minimal Human Supervision}, journal = {CoRR}, volume = {abs/2305.03047}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.03047}, doi = {10.48550/ARXIV.2305.03047}, eprinttype = {arXiv}, eprint = {2305.03047}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-03047.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-07895, author = {Yuliang Liu and Zhang Li and Hongliang Li and Wenwen Yu and Mingxin Huang and Dezhi Peng and Mingyu Liu and Mingrui Chen and Chunyuan Li and Lianwen Jin and Xiang Bai}, title = {On the Hidden Mystery of {OCR} in Large Multimodal Models}, journal = {CoRR}, volume = {abs/2305.07895}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.07895}, doi = {10.48550/ARXIV.2305.07895}, eprinttype = {arXiv}, eprint = {2305.07895}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-07895.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-16373, author = {Zhengyuan Shi and Hongyang Pan and Sadaf Khan and Min Li and Yi Liu and Junhua Huang and Hui{-}Ling Zhen and Mingxuan Yuan and Zhufei Chu and Qiang Xu}, title = {DeepGate2: Functionality-Aware Circuit Representation Learning}, journal = {CoRR}, volume = {abs/2305.16373}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.16373}, doi = {10.48550/ARXIV.2305.16373}, eprinttype = {arXiv}, eprint = {2305.16373}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-16373.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-18277, author = {Achraf Ben{-}Hamadou and Oussama Smaoui and Ahmed Rekik and Sergi Pujades and Edmond Boyer and Hoyeon Lim and Minchang Kim and Minkyung Lee and Minyoung Chung and Yeong{-}Gil Shin and Mathieu Leclercq and Lucia H. S. Cevidanes and Juan Carlos Prieto and Shaojie Zhuang and Guangshun Wei and Zhiming Cui and Yuanfeng Zhou and Tudor Dascalu and Bulat Ibragimov and Tae{-}Hoon Yong and Hong{-}Gi Ahn and Wan Kim and Jae{-}Hwan Han and Byungsun Choi and Niels van Nistelrooij and Steven Kempers and Shankeeth Vinayahalingam and Julien Strippoli and Aur{\'{e}}lien Thollot and Hugo Setbon and Cyril Trosset and Edouard Ladroit}, title = {3DTeethSeg'22: 3D Teeth Scan Segmentation and Labeling Challenge}, journal = {CoRR}, volume = {abs/2305.18277}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.18277}, doi = {10.48550/ARXIV.2305.18277}, eprinttype = {arXiv}, eprint = {2305.18277}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-18277.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04718, author = {Xieting Chu and Hongjue Zhao and Enze Xu and Hairong Qi and Minghan Chen and Huajie Shao}, title = {Neural Symbolic Regression using Control Variables}, journal = {CoRR}, volume = {abs/2306.04718}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04718}, doi = {10.48550/ARXIV.2306.04718}, eprinttype = {arXiv}, eprint = {2306.04718}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04718.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-14137, author = {Yuanzhi Liu and Yujia Fu and Minghui Qin and Yufeng Xu and Baoxin Xu and Fengdong Chen and Bart Goossens and Hongwei Yu and Chun Liu and Long Chen and Wei Tao and Hui Zhao}, title = {BotanicGarden: {A} high-quality and large-scale robot navigation dataset in challenging natural environments}, journal = {CoRR}, volume = {abs/2306.14137}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.14137}, doi = {10.48550/ARXIV.2306.14137}, eprinttype = {arXiv}, eprint = {2306.14137}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-14137.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-14313, author = {Chih{-}Jung Chang and Yaw{-}Chern Lee and Shih{-}Hsuan Yao and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {A Closer Look at Geometric Temporal Dynamics for Face Anti-Spoofing}, journal = {CoRR}, volume = {abs/2306.14313}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.14313}, doi = {10.48550/ARXIV.2306.14313}, eprinttype = {arXiv}, eprint = {2306.14313}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-14313.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-07509, author = {Qi{-}Wei Wang and Hongyu Lu and Yu Chen and Da{-}Wei Zhou and De{-}Chuan Zhan and Ming Chen and Han{-}Jia Ye}, title = {Streaming {CTR} Prediction: Rethinking Recommendation Task for Real-World Streaming Data}, journal = {CoRR}, volume = {abs/2307.07509}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.07509}, doi = {10.48550/ARXIV.2307.07509}, eprinttype = {arXiv}, eprint = {2307.07509}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-07509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09729, author = {Xiaohong Liu and Xiongkuo Min and Wei Sun and Yulun Zhang and Kai Zhang and Radu Timofte and Guangtao Zhai and Yixuan Gao and Yuqin Cao and Tengchuan Kou and Yunlong Dong and Ziheng Jia and Yilin Li and Wei Wu and Shuming Hu and Sibin Deng and Pengxiang Xiao and Ying Chen and Kai Li and Kai Zhao and Kun Yuan and Ming Sun and Heng Cong and Hao Wang and Lingzhi Fu and Yusheng Zhang and Rongyu Zhang and Hang Shi and Qihang Xu and Longan Xiao and Zhiliang Ma and Mirko Agarla and Luigi Celona and Claudio Rota and Raimondo Schettini and Zhiwei Huang and Yanan Li and Xiaotao Wang and Lei Lei and Hongye Liu and Wei Hong and Ironhead Chuang and Allen Lin and Drake Guan and Iris Chen and Kae Lou and Willy Huang and Yachun Tasi and Yvonne Kao and Haotian Fan and Fangyuan Kong and Shiqi Zhou and Hao Liu and Yu Lai and Shanshan Chen and Wenqi Wang and Haoning Wu and Chaofeng Chen and Chunzheng Zhu and Zekun Guo and Shiling Zhao and Haibing Yin and Hongkui Wang and Hanene Brachemi Meftah and Sid Ahmed Fezza and Wassim Hamidouche and Olivier D{\'{e}}forges and Tengfei Shi and Azadeh Mansouri and Hossein Motamednia and Amir Hossein Bakhtiari and Ahmad Mahmoudi Aznaveh}, title = {{NTIRE} 2023 Quality Assessment of Video Enhancement Challenge}, journal = {CoRR}, volume = {abs/2307.09729}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09729}, doi = {10.48550/ARXIV.2307.09729}, eprinttype = {arXiv}, eprint = {2307.09729}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09729.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-13214, author = {Huy Q. Le and Minh N. H. Nguyen and Chu Myaet Thwal and Yu Qiao and Chaoning Zhang and Choong Seon Hong}, title = {FedMEKT: Distillation-based Embedding Knowledge Transfer for Multimodal Federated Learning}, journal = {CoRR}, volume = {abs/2307.13214}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.13214}, doi = {10.48550/ARXIV.2307.13214}, eprinttype = {arXiv}, eprint = {2307.13214}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-13214.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-15893, author = {Xinyang Yi and Shao{-}Chuan Wang and Ruining He and Hariharan Chandrasekaran and Charles Wu and Lukasz Heldt and Lichan Hong and Minmin Chen and Ed H. Chi}, title = {Online Matching: {A} Real-time Bandit System for Large-scale Recommendations}, journal = {CoRR}, volume = {abs/2307.15893}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.15893}, doi = {10.48550/ARXIV.2307.15893}, eprinttype = {arXiv}, eprint = {2307.15893}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-15893.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-00729, author = {Hongbo Liu and Mingda Wu and Kun Yuan and Ming Sun and Yansong Tang and Chuanchuan Zheng and Xing Wen and Xiu Li}, title = {Ada-DQA: Adaptive Diverse Quality-aware Feature Acquisition for Video Quality Assessment}, journal = {CoRR}, volume = {abs/2308.00729}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.00729}, doi = {10.48550/ARXIV.2308.00729}, eprinttype = {arXiv}, eprint = {2308.00729}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-00729.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-01857, author = {Xingquan Li and Simin Tao and Zengrong Huang and Shijian Chen and Zhisheng Zeng and Liwei Ni and Zhipeng Huang and Chunan Zhuang and Hongxi Wu and Weiguo Li and Xueyan Zhao and He Liu and Shuaiying Long and Wei He and Bojun Liu and Sifeng Gan and Zihao Yu and Tong Liu and Yuchi Miao and Zhiyuan Yan and Hao Wang and Jie Zhao and Yifan Li and Ruizhi Liu and Xiaoze Lin and Bo Yang and Zhen Xue and Fuxing Huang and Zonglin Yang and Zhenggang Wu and Jiangkao Li and Yuezuo Liu and Ming Peng and Yihang Qiu and Wenrui Wu and Zheqing Shao and Kai Mo and Jikang Liu and Yuyao Liang and Mingzhe Zhang and Zhuang Ma and Xiang Cong and Daxiang Huang and Guojie Luo and Huawei Li and Haihua Shen and Mingyu Chen and Dongbo Bu and Wenxing Zhu and Ye Cai and Xiaoming Xiong and Ying Jiang and Yi Heng and Peng Zhang and Biwei Xie and Yungang Bao}, title = {iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library}, journal = {CoRR}, volume = {abs/2308.01857}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.01857}, doi = {10.48550/ARXIV.2308.01857}, eprinttype = {arXiv}, eprint = {2308.01857}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-01857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-04733, author = {Yifan Gao and Jinpeng Lin and Min Zhou and Chuanbin Liu and Hongtao Xie and Tiezheng Ge and Yuning Jiang}, title = {TextPainter: Multimodal Text Image Generation with Visual-harmony and Text-comprehension for Poster Design}, journal = {CoRR}, volume = {abs/2308.04733}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.04733}, doi = {10.48550/ARXIV.2308.04733}, eprinttype = {arXiv}, eprint = {2308.04733}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-04733.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-07997, author = {Peihao Chen and Xinyu Sun and Hongyan Zhi and Runhao Zeng and Thomas H. Li and Gaowen Liu and Mingkui Tan and Chuang Gan}, title = {A\({}^{\mbox{2}}\)Nav: Action-Aware Zero-Shot Robot Navigation by Exploiting Vision-and-Language Ability of Foundation Models}, journal = {CoRR}, volume = {abs/2308.07997}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.07997}, doi = {10.48550/ARXIV.2308.07997}, eprinttype = {arXiv}, eprint = {2308.07997}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-07997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-11596, author = {Seamless Communication and Lo{\"{\i}}c Barrault and Yu{-}An Chung and Mariano Coria Meglioli and David Dale and Ning Dong and Paul{-}Ambroise Duquenne and Hady Elsahar and Hongyu Gong and Kevin Heffernan and John Hoffman and Christopher Klaiber and Pengwei Li and Daniel Licht and Jean Maillard and Alice Rakotoarison and Kaushik Ram Sadagopan and Guillaume Wenzek and Ethan Ye and Bapi Akula and Peng{-}Jen Chen and Naji El Hachem and Brian Ellis and Gabriel Mejia Gonzalez and Justin Haaheim and Prangthip Hansanti and Russ Howes and Bernie Huang and Min{-}Jae Hwang and Hirofumi Inaguma and Somya Jain and Elahe Kalbassi and Amanda Kallet and Ilia Kulikov and Janice Lam and Daniel Li and Xutai Ma and Ruslan Mavlyutov and Benjamin Peloquin and Mohamed Ramadan and Abinesh Ramakrishnan and Anna Y. Sun and Kevin Tran and Tuan Tran and Igor Tufanov and Vish Vogeti and Carleigh Wood and Yilin Yang and Bokai Yu and Pierre Andrews and Can Balioglu and Marta R. Costa{-}juss{\`{a}} and Onur Celebi and Maha Elbayad and Cynthia Gao and Francisco Guzm{\'{a}}n and Justine Kao and Ann Lee and Alexandre Mourachko and Juan Pino and Sravya Popuri and Christophe Ropers and Safiyyah Saleem and Holger Schwenk and Paden Tomasello and Changhan Wang and Jeff Wang and Skyler Wang}, title = {SeamlessM4T-Massively Multilingual {\&} Multimodal Machine Translation}, journal = {CoRR}, volume = {abs/2308.11596}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.11596}, doi = {10.48550/ARXIV.2308.11596}, eprinttype = {arXiv}, eprint = {2308.11596}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-11596.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-12033, author = {Chenrui Zhang and Lin Liu and Jinpeng Wang and Chuyuan Wang and Xiao Sun and Hongyu Wang and Mingchen Cai}, title = {{PREFER:} Prompt Ensemble Learning via Feedback-Reflect-Refine}, journal = {CoRR}, volume = {abs/2308.12033}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.12033}, doi = {10.48550/ARXIV.2308.12033}, eprinttype = {arXiv}, eprint = {2308.12033}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-12033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-15902, author = {Yijia Li and Ming Li and Mingyi Gao and Chang{-}Ling Zou and Chunhua Dong and Jin Lu and Yali Qin and Xiaoniu Yang and Qi Xuan and Hongliang Ren}, title = {Photonic time-delayed reservoir computing based on series coupled microring resonators with high memory capacity}, journal = {CoRR}, volume = {abs/2308.15902}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.15902}, doi = {10.48550/ARXIV.2308.15902}, eprinttype = {arXiv}, eprint = {2308.15902}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-15902.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-02868, author = {Yan Wang and Zhixuan Chu and Tao Zhou and Caigao Jiang and Hongyan Hao and Minjie Zhu and Xindong Cai and Qing Cui and Longfei Li and James Y. Zhang and Siqiao Xue and Jun Zhou}, title = {Enhancing Asynchronous Time Series Forecasting with Contrastive Relational Inference}, journal = {CoRR}, volume = {abs/2309.02868}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.02868}, doi = {10.48550/ARXIV.2309.02868}, eprinttype = {arXiv}, eprint = {2309.02868}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-02868.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10814, author = {Tianhua Zhang and Jiaxin Ge and Hongyin Luo and Yung{-}Sung Chuang and Mingye Gao and Yuan Gong and Xixin Wu and Yoon Kim and Helen Meng and James R. Glass}, title = {Natural Language Embedded Programs for Hybrid Language Symbolic Reasoning}, journal = {CoRR}, volume = {abs/2309.10814}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10814}, doi = {10.48550/ARXIV.2309.10814}, eprinttype = {arXiv}, eprint = {2309.10814}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10814.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-11082, author = {Chen Jiang and Hong Liu and Xuzheng Yu and Qing Wang and Yuan Cheng and Jia Xu and Zhongyi Liu and Qingpei Guo and Wei Chu and Ming Yang and Yuan Qi}, title = {Dual-Modal Attention-Enhanced Text-Video Retrieval with Triplet Partial Margin Contrastive Learning}, journal = {CoRR}, volume = {abs/2309.11082}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.11082}, doi = {10.48550/ARXIV.2309.11082}, eprinttype = {arXiv}, eprint = {2309.11082}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-11082.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00400, author = {Lei Yang and Jiaxin Yu and Xinyu Zhang and Jun Li and Li Wang and Yi Huang and Chuang Zhang and Hong Wang and Yiming Li}, title = {MonoGAE: Roadside Monocular 3D Object Detection with Ground-Aware Embeddings}, journal = {CoRR}, volume = {abs/2310.00400}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00400}, doi = {10.48550/ARXIV.2310.00400}, eprinttype = {arXiv}, eprint = {2310.00400}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00400.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-01252, author = {Chung Park and Taesan Kim and Junui Hong and Minsung Choi and Jaegul Choo}, title = {Pre-training Contextual Location Embeddings in Personal Trajectories via Efficient Hierarchical Location Representations}, journal = {CoRR}, volume = {abs/2310.01252}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.01252}, doi = {10.48550/ARXIV.2310.01252}, eprinttype = {arXiv}, eprint = {2310.01252}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-01252.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02284, author = {Chung Park and Junui Hong and Cheonbok Park and Taesan Kim and Minsung Choi and Jaegul Choo}, title = {{PASTA:} PArallel Spatio-Temporal Attention with spatial auto-correlation gating for fine-grained crowd flow prediction}, journal = {CoRR}, volume = {abs/2310.02284}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02284}, doi = {10.48550/ARXIV.2310.02284}, eprinttype = {arXiv}, eprint = {2310.02284}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-04992, author = {Jianing Qiu and Jian Wu and Hao Wei and Peilun Shi and Minqing Zhang and Yunyun Sun and Lin Li and Hanruo Liu and Hongyi Liu and Simeng Hou and Yuyang Zhao and Xuehui Shi and Junfang Xian and Xiaoxia Qu and Sirui Zhu and Lijie Pan and Xiaoniao Chen and Xiaojia Zhang and Shuai Jiang and Kebing Wang and Chenlong Yang and Mingqiang Chen and Sujie Fan and Jianhua Hu and Aiguo Lv and Hui Miao and Li Guo and Shujun Zhang and Cheng Pei and Xiaojuan Fan and Jianqin Lei and Ting Wei and Junguo Duan and Chun Liu and Xiaobo Xia and Siqi Xiong and Junhong Li and Benny Lo and Yih Chung Tham and Tien Yin Wong and Ningli Wang and Wu Yuan}, title = {VisionFM: a Multi-Modal Multi-Task Vision Foundation Model for Generalist Ophthalmic Artificial Intelligence}, journal = {CoRR}, volume = {abs/2310.04992}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.04992}, doi = {10.48550/ARXIV.2310.04992}, eprinttype = {arXiv}, eprint = {2310.04992}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-04992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05914, author = {Neel Jain and Ping{-}yeh Chiang and Yuxin Wen and John Kirchenbauer and Hong{-}Min Chu and Gowthami Somepalli and Brian R. Bartoldson and Bhavya Kailkhura and Avi Schwarzschild and Aniruddha Saha and Micah Goldblum and Jonas Geiping and Tom Goldstein}, title = {NEFTune: Noisy Embeddings Improve Instruction Finetuning}, journal = {CoRR}, volume = {abs/2310.05914}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05914}, doi = {10.48550/ARXIV.2310.05914}, eprinttype = {arXiv}, eprint = {2310.05914}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05914.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-08840, author = {Hongru Wang and Minda Hu and Yang Deng and Rui Wang and Fei Mi and Weichao Wang and Yasheng Wang and Wai{-}Chung Kwan and Irwin King and Kam{-}Fai Wong}, title = {Large Language Models as Source Planner for Personalized Knowledge-grounded Dialogue}, journal = {CoRR}, volume = {abs/2310.08840}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.08840}, doi = {10.48550/ARXIV.2310.08840}, eprinttype = {arXiv}, eprint = {2310.08840}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-08840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-13188, author = {Chung Park and Taesan Kim and Taekyoon Choi and Junui Hong and Yelim Yu and Mincheol Cho and Kyunam Lee and Sungil Ryu and Hyungjun Yoon and Minsung Choi and Jaegul Choo}, title = {Cracking the Code of Negative Transfer: {A} Cooperative Game Theoretic Approach for Cross-Domain Sequential Recommendation}, journal = {CoRR}, volume = {abs/2311.13188}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.13188}, doi = {10.48550/ARXIV.2311.13188}, eprinttype = {arXiv}, eprint = {2311.13188}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-13188.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-16535, author = {Ye Lin Tun and Minh N. H. Nguyen and Chu Myaet Thwal and Jinwoo Choi and Choong Seon Hong}, title = {Contrastive encoder pre-training-based clustered federated learning for heterogeneous data}, journal = {CoRR}, volume = {abs/2311.16535}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.16535}, doi = {10.48550/ARXIV.2311.16535}, eprinttype = {arXiv}, eprint = {2311.16535}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-16535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-16604, author = {Chi{-}Chang Lee and Hong{-}Wei Chen and Chu{-}Song Chen and Hsin{-}Min Wang and Tsung{-}Te Liu and Yu Tsao}, title = {{LC4SV:} {A} Denoising Framework Learning to Compensate for Unseen Speaker Verification Models}, journal = {CoRR}, volume = {abs/2311.16604}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.16604}, doi = {10.48550/ARXIV.2311.16604}, eprinttype = {arXiv}, eprint = {2311.16604}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-16604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-16652, author = {Zhantao Chen and Cong Wang and Mingye Gao and Chun Hong Yoon and Jana B. Thayer and Joshua J. Turner}, title = {Augmenting x-ray single particle imaging reconstruction with self-supervised machine learning}, journal = {CoRR}, volume = {abs/2311.16652}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.16652}, doi = {10.48550/ARXIV.2311.16652}, eprinttype = {arXiv}, eprint = {2311.16652}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-16652.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04594, author = {Chung Park and Taekyoon Choi and Taesan Kim and Mincheol Cho and Junui Hong and Minsung Choi and Jaegul Choo}, title = {FedGeo: Privacy-Preserving User Next Location Prediction with Federated Learning}, journal = {CoRR}, volume = {abs/2312.04594}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04594}, doi = {10.48550/ARXIV.2312.04594}, eprinttype = {arXiv}, eprint = {2312.04594}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04594.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-05187, author = {Lo{\"{\i}}c Barrault and Yu{-}An Chung and Mariano Coria Meglioli and David Dale and Ning Dong and Mark Duppenthaler and Paul{-}Ambroise Duquenne and Brian Ellis and Hady Elsahar and Justin Haaheim and John Hoffman and Min{-}Jae Hwang and Hirofumi Inaguma and Christopher Klaiber and Ilia Kulikov and Pengwei Li and Daniel Licht and Jean Maillard and Ruslan Mavlyutov and Alice Rakotoarison and Kaushik Ram Sadagopan and Abinesh Ramakrishnan and Tuan Tran and Guillaume Wenzek and Yilin Yang and Ethan Ye and Ivan Evtimov and Pierre Fernandez and Cynthia Gao and Prangthip Hansanti and Elahe Kalbassi and Amanda Kallet and Artyom Kozhevnikov and Gabriel Mejia Gonzalez and Robin San Roman and Christophe Touret and Corinne Wong and Carleigh Wood and Bokai Yu and Pierre Andrews and Can Balioglu and Peng{-}Jen Chen and Marta R. Costa{-}juss{\`{a}} and Maha Elbayad and Hongyu Gong and Francisco Guzm{\'{a}}n and Kevin Heffernan and Somya Jain and Justine Kao and Ann Lee and Xutai Ma and Alexandre Mourachko and Benjamin Peloquin and Juan Pino and Sravya Popuri and Christophe Ropers and Safiyyah Saleem and Holger Schwenk and Anna Y. Sun and Paden Tomasello and Changhan Wang and Jeff Wang and Skyler Wang and Mary Williamson}, title = {Seamless: Multilingual Expressive and Streaming Speech Translation}, journal = {CoRR}, volume = {abs/2312.05187}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.05187}, doi = {10.48550/ARXIV.2312.05187}, eprinttype = {arXiv}, eprint = {2312.05187}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-05187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-06519, author = {Hung Chun Hsu and Bo{-}Jun Wu and Ming{-}Yi Hong and Che Lin and Chih{-}Yu Wang}, title = {A {GAN} Approach for Node Embedding in Heterogeneous Graphs Using Subgraph Sampling}, journal = {CoRR}, volume = {abs/2312.06519}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.06519}, doi = {10.48550/ARXIV.2312.06519}, eprinttype = {arXiv}, eprint = {2312.06519}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-06519.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-11562, author = {Jiankai Sun and Chuanyang Zheng and Enze Xie and Zhengying Liu and Ruihang Chu and Jianing Qiu and Jiaqi Xu and Mingyu Ding and Hongyang Li and Mengzhe Geng and Yue Wu and Wenhai Wang and Junsong Chen and Zhangyue Yin and Xiaozhe Ren and Jie Fu and Junxian He and Wu Yuan and Qi Liu and Xihui Liu and Yu Li and Hao Dong and Yu Cheng and Ming Zhang and Pheng{-}Ann Heng and Jifeng Dai and Ping Luo and Jingdong Wang and Ji{-}Rong Wen and Xipeng Qiu and Yike Guo and Hui Xiong and Qun Liu and Zhenguo Li}, title = {A Survey of Reasoning with Foundation Models}, journal = {CoRR}, volume = {abs/2312.11562}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.11562}, doi = {10.48550/ARXIV.2312.11562}, eprinttype = {arXiv}, eprint = {2312.11562}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-11562.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChuangSHLLCHLOL22, author = {Yi{-}Hsuan Chuang and Ja{-}Hwung Su and Ding{-}Hong Han and Yi{-}Wen Liao and Yeong{-}Chyi Lee and Yu{-}Fan Cheng and Tzung{-}Pei Hong and Katherine Shu{-}Min Li and Hsin{-}You Ou and Yi Lu and Chih{-}Chi Wang}, title = {Effective Natural Language Processing and Interpretable Machine Learning for Structuring {CT} Liver-Tumor Reports}, journal = {{IEEE} Access}, volume = {10}, pages = {116273--116286}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3218646}, doi = {10.1109/ACCESS.2022.3218646}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChuangSHLLCHLOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DaoMHPKLKL22, author = {Le Thi Hue Dao and Truong Thanh Nhat Mai and Wook Hong and Sanghyun Park and Hokwon Kim and Joon Goo Lee and Min{-}Seok Kim and Chul Lee}, title = {Orientation Prediction for {VR} and {AR} Devices Using Inertial Sensors Based on Kalman-Like Error Compensation}, journal = {{IEEE} Access}, volume = {10}, pages = {114306--114317}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3217555}, doi = {10.1109/ACCESS.2022.3217555}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/DaoMHPKLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongCSC22, author = {Tzung{-}Pei Hong and Chen{-}Chia Chiu and Ja{-}Hwung Su and Chun{-}Hao Chen}, title = {Applicable Metamorphic Testing for Erasable-Itemset Mining}, journal = {{IEEE} Access}, volume = {10}, pages = {38545--38554}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3165656}, doi = {10.1109/ACCESS.2022.3165656}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HongCSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCWCP22, author = {Chi{-}En Lee and Chung{-}Ming Chen and Hong{-}Xiang Wang and Li{-}Wei Chen and Cherng{-}Kang Perng}, title = {Utilizing Mask {RCNN} for Monitoring Postoperative Free Flap: Circulatory Compromise Detection Based on Visible-Light and Infrared Images}, journal = {{IEEE} Access}, volume = {10}, pages = {109510--109525}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3214212}, doi = {10.1109/ACCESS.2022.3214212}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeCWCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SheuMWSHC22, author = {Ming{-}Hwa Sheu and S. M. Salahuddin Morsalin and Szu{-}Hong Wang and Yu{-}Teng Shen and Shih{-}Chang Hsia and Chuan{-}Yu Chang}, title = {FIBS-Unet: Feature Integration and Block Smoothing Network for Single Image Dehazing}, journal = {{IEEE} Access}, volume = {10}, pages = {71764--71776}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3188860}, doi = {10.1109/ACCESS.2022.3188860}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SheuMWSHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SheuMWWHC22, author = {Ming{-}Hwa Sheu and S. M. Salahuddin Morsalin and Szu{-}Hong Wang and Lin{-}Keng Wei and Shih{-}Chang Hsia and Chuan{-}Yu Chang}, title = {FHI-Unet: Faster Heterogeneous Images Semantic Segmentation Design and Edge {AI} Implementation for Visible and Thermal Images Processing}, journal = {{IEEE} Access}, volume = {10}, pages = {18596--18607}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3151375}, doi = {10.1109/ACCESS.2022.3151375}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SheuMWWHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangWSWH22, author = {Cheng{-}Hong Yang and Chih{-}Hsien Wu and Jen{-}Chung Shao and Yi{-}Chuan Wang and Chih{-}Min Hsieh}, title = {AIS-Based Intelligent Vessel Trajectory Prediction Using Bi-LSTM}, journal = {{IEEE} Access}, volume = {10}, pages = {24302--24315}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3154812}, doi = {10.1109/ACCESS.2022.3154812}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YangWSWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/HuangCLCL22, author = {Shuhao Huang and Hongmei Chen and Tianrui Li and Hao Chen and Chuan Luo}, title = {Feature selection via minimizing global redundancy for imbalanced data}, journal = {Appl. Intell.}, volume = {52}, number = {8}, pages = {8685--8707}, year = {2022}, url = {https://doi.org/10.1007/s10489-021-02855-9}, doi = {10.1007/S10489-021-02855-9}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/HuangCLCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/YangGLLTLZGHLTG22, author = {Tingting Yang and Mingyu Gan and Qingyun Liu and Wenying Liang and Qiqin Tang and Geyang Luo and Tianyu Zuo and Yongchao Guo and Chuangyue Hong and Qibing Li and Weiguo Tan and Qian Gao}, title = {{SAM-TB:} a whole genome sequencing data analysis website for detection of \emph{Mycobacterium tuberculosis} drug resistance and transmission}, journal = {Briefings Bioinform.}, volume = {23}, number = {2}, year = {2022}, url = {https://doi.org/10.1093/bib/bbac030}, doi = {10.1093/BIB/BBAC030}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/YangGLLTLZGHLTG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bigdatama/ShaoYZLZSL22, author = {Qixiang Shao and Runlong Yu and Hongke Zhao and Chunli Liu and Mengyi Zhang and Hongmei Song and Qi Liu}, title = {Toward intelligent financial advisors for identifying potential clients: {A} multitask perspective}, journal = {Big Data Min. Anal.}, volume = {5}, number = {1}, pages = {64--78}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9663261}, timestamp = {Thu, 03 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bigdatama/ShaoYZLZSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LiuAPLL22, author = {Hongfei Liu and Zhanerke Akhatayeva and Chuanying Pan and Mingzhi Liao and Xianyong Lan}, title = {Comprehensive comparison of two types of algorithm for circRNA detection from short-read RNA-Seq}, journal = {Bioinform.}, volume = {38}, number = {11}, pages = {3037--3043}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac302}, doi = {10.1093/BIOINFORMATICS/BTAC302}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LiuAPLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/LiZCJW22, author = {Kai Li and Han Zhang and Chengbin Chu and Zhao{-}hong Jia and Yan Wang}, title = {A bi-objective evolutionary algorithm for minimizing maximum lateness and total pollution cost on non-identical parallel batch processing machines}, journal = {Comput. Ind. Eng.}, volume = {172}, number = {Part}, pages = {108608}, year = {2022}, url = {https://doi.org/10.1016/j.cie.2022.108608}, doi = {10.1016/J.CIE.2022.108608}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/LiZCJW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/TaoDCWWZKZXZLW22, author = {Zhengyang Tao and Hongwei Deng and Hang Chu and Mark D. Wiederhold and Brenda K. Wiederhold and Huahong Zhong and Zefeng Kang and Jun Zhao and Mei Xiong and Minjuan Zhu and Zhihong Lin and Jiao Wang}, title = {Exploring the Relationship Between Binocular Imbalance and Myopia: Refraction with a Virtual Reality Platform}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {25}, number = {10}, pages = {672--677}, year = {2022}, url = {https://doi.org/10.1089/cyber.2022.0162}, doi = {10.1089/CYBER.2022.0162}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/TaoDCWWZKZXZLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/QinYCAFHCNCNHNT22, author = {Jie Qin and Shuaihang Yuan and Jiaxin Chen and Boulbaba Ben Amor and Yi Fang and Nhat Hoang{-}Xuan and Chi{-}Bien Chu and Khoi{-}Nguyen Nguyen{-}Ngoc and Thien{-}Tri Cao and Nhat{-}Khang Ng{\^{o}} and Tuan{-}Luc Huynh and Hai{-}Dang Nguyen and Minh{-}Triet Tran and Haoyang Luo and Jianning Wang and Zheng Zhang and Zihao Xin and Yang Wang and Feng Wang and Ying Tang and Haiqin Chen and Yan Wang and Qunying Zhou and Ji Zhang and Hongyuan Wang}, title = {SHREC'22 track: Sketch-based 3D shape retrieval in the wild}, journal = {Comput. Graph.}, volume = {107}, pages = {104--115}, year = {2022}, url = {https://doi.org/10.1016/j.cag.2022.07.009}, doi = {10.1016/J.CAG.2022.07.009}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/QinYCAFHCNCNHNT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/ZhangLCJ22, author = {Han Zhang and Kai Li and Chengbin Chu and Zhao{-}hong Jia}, title = {Parallel batch processing machines scheduling in cloud manufacturing for minimizing total service completion time}, journal = {Comput. Oper. Res.}, volume = {146}, pages = {105899}, year = {2022}, url = {https://doi.org/10.1016/j.cor.2022.105899}, doi = {10.1016/J.COR.2022.105899}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/ZhangLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/LiWLJLZ21, author = {Xi Li and Zehua Wang and Victor C. M. Leung and Hong Ji and Yiming Liu and Heli Zhang}, title = {Blockchain-empowered Data-driven Networks: {A} Survey and Outlook}, journal = {{ACM} Comput. Surv.}, volume = {54}, number = {3}, pages = {58:1--58:38}, year = {2022}, url = {https://doi.org/10.1145/3446373}, doi = {10.1145/3446373}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csur/LiWLJLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/XuKLCM22, author = {Ruyu Xu and Xuejing Kang and Chunxiao Li and Hong Chen and Anlong Ming}, title = {DCT-FANet: {DCT} based frequency attention network for single image super-resolution}, journal = {Displays}, volume = {74}, pages = {102220}, year = {2022}, url = {https://doi.org/10.1016/j.displa.2022.102220}, doi = {10.1016/J.DISPLA.2022.102220}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/XuKLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/HongKC22, author = {Minsung Hong and Chulmo Koo and Namho Chung}, title = {{DSER:} Deep-Sequential Embedding for single domain Recommendation}, journal = {Expert Syst. Appl.}, volume = {208}, pages = {118156}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.118156}, doi = {10.1016/J.ESWA.2022.118156}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/HongKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/NguyenNNCDN22, author = {Quang H. Nguyen and Binh P. Nguyen and Minh T. Nguyen and Matthew Chua and Trang T. T. Do and Nhung Nghiem}, title = {Bone age assessment and sex determination using transfer learning}, journal = {Expert Syst. Appl.}, volume = {200}, pages = {116926}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.116926}, doi = {10.1016/J.ESWA.2022.116926}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/NguyenNNCDN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/frai/SongGLZWLQZSTSHGSDZ22, author = {Meng Song and Jonathan Greenbaum and Joseph Luttrell IV and Weihua Zhou and Chong Wu and Zhe Luo and Chuan Qiu and Lan Juan Zhao and Kuan{-}Jui Su and Qing Tian and Hui Shen and Huixiao Hong and Ping Gong and Xinghua Shi and Hong{-}Wen Deng and Chaoyang Zhang}, title = {An autoencoder-based deep learning method for genotype imputation}, journal = {Frontiers Artif. Intell.}, volume = {5}, year = {2022}, url = {https://doi.org/10.3389/frai.2022.1028978}, doi = {10.3389/FRAI.2022.1028978}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/frai/SongGLZWLQZSTSHGSDZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/HongKCC22, author = {Tzung{-}Pei Hong and Chi{-}Cheng Kao and Siang{-}Wei Chen and Chun{-}Hao Chen}, title = {Mining multiplex interaction relationships from usage records in social networks}, journal = {Intell. Data Anal.}, volume = {26}, number = {4}, pages = {993--1005}, year = {2022}, url = {https://doi.org/10.3233/IDA-184107}, doi = {10.3233/IDA-184107}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ida/HongKCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ChenCLLLW22, author = {Hao Chen and Hongmei Chen and Weiyi Li and Tianrui Li and Chuan Luo and Jihong Wan}, title = {Robust dual-graph regularized and minimum redundancy based on self-representation for semi-supervised feature selection}, journal = {Neurocomputing}, volume = {490}, pages = {104--123}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.03.004}, doi = {10.1016/J.NEUCOM.2022.03.004}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/ChenCLLLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/ZhangLSHZL22, author = {Ming Zhang and Chunquan Li and Yuling Shang and Hongyan Huang and Wangchun Zhu and Yujia Liu}, title = {A task scheduling model integrating micro-breaks for optimisation of job-cycle time in human-robot collaborative assembly cells}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {15}, pages = {4766--4777}, year = {2022}, url = {https://doi.org/10.1080/00207543.2021.1937746}, doi = {10.1080/00207543.2021.1937746}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/ZhangLSHZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/WangYXMWX22, author = {Hongfei Wang and Ping Yang and Chuan Xu and Lei Min and Shuai Wang and Bing Xu}, title = {Lung {CT} image enhancement based on total variational frame and wavelet transform}, journal = {Int. J. Imaging Syst. Technol.}, volume = {32}, number = {5}, pages = {1604--1614}, year = {2022}, url = {https://doi.org/10.1002/ima.22725}, doi = {10.1002/IMA.22725}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/WangYXMWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/GaoXZZLLH22, author = {Hongjie Gao and Haitao Xu and Chengcheng Zhou and Henggao Zhai and Chunyan Liu and Ming Li and Zhu Han}, title = {Dynamic Task Pricing in Mobile Crowdsensing: An Age-of-Information-Based Queueing Game Scheme}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {21}, pages = {21278--21291}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3178974}, doi = {10.1109/JIOT.2022.3178974}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/GaoXZZLLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZengHZZC22, author = {Zhiqiang Zeng and Zhiyong Hong and Huanhuan Zhang and Min Zhang and Chuangquan Chen}, title = {Improving differential evolution using a best discarded vector selection strategy}, journal = {Inf. Sci.}, volume = {609}, pages = {353--375}, year = {2022}, url = {https://doi.org/10.1016/j.ins.2022.07.075}, doi = {10.1016/J.INS.2022.07.075}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ZengHZZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jacm/ChanCMS22, author = {T.{-}H. Hubert Chan and Kai{-}Min Chung and Bruce M. Maggs and Elaine Shi}, title = {Foundations of Differentially Oblivious Algorithms}, journal = {J. {ACM}}, volume = {69}, number = {4}, pages = {27:1--27:49}, year = {2022}, url = {https://doi.org/10.1145/3555984}, doi = {10.1145/3555984}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jacm/ChanCMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SongKKLOLJHLYCC22, author = {Sang Hun Song and Hwanik Kim and Jung Kwon Kim and Hakmin Lee and Jong Jin Oh and Sang{-}Chul Lee and Seong Jin Jeong and Sung Kyu Hong and Junghoon Lee and Sangjun Yoo and Min{-}Soo Choo and Min Chul Cho and Hwancheol Son and Hyeon Jeong and Jungyo Suh and Seok{-}Soo Byun}, title = {A smart, practical, deep learning-based clinical decision support tool for patients in the prostate-specific antigen gray zone: model development and validation}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {11}, pages = {1949--1957}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac141}, doi = {10.1093/JAMIA/OCAC141}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SongKKLOLJHLYCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/MaYSG22, author = {Hong{-}Yu Ma and Chun{-}Ming Yuan and Li{-}Yong Shen and Xiao{-}Shan Gao}, title = {Optimal feedrate planning on a five-axis parametric tool path with global geometric and kinematic constraints}, journal = {J. Comput. Des. Eng.}, volume = {9}, number = {6}, pages = {2355--2374}, year = {2022}, url = {https://doi.org/10.1093/jcde/qwac116}, doi = {10.1093/JCDE/QWAC116}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcde/MaYSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/YuJXYGL22, author = {Ming Yu and Jingli Jia and Cui{-}Hong Xue and Gang Yan and Yingchun Guo and Yuehao Liu}, title = {A review of sign language recognition research}, journal = {J. Intell. Fuzzy Syst.}, volume = {43}, number = {4}, pages = {3879--3898}, year = {2022}, url = {https://doi.org/10.3233/JIFS-210050}, doi = {10.3233/JIFS-210050}, timestamp = {Thu, 08 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/YuJXYGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/WangLHJRJL22, author = {Shiguo Wang and Zhetao Li and Mingyue He and Tao Jiang and Rukhsana Ruby and Hong Ji and Victor C. M. Leung}, title = {A Joint Hybrid Precoding/Combining Scheme Based on Equivalent Channel for Massive {MIMO} Systems}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {40}, number = {10}, pages = {2882--2893}, year = {2022}, url = {https://doi.org/10.1109/JSAC.2022.3196099}, doi = {10.1109/JSAC.2022.3196099}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/WangLHJRJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/XingHLY22, author = {Fangyuan Xing and Shibo He and Victor C. M. Leung and Hongxi Yin}, title = {Energy Efficiency Optimization for Rate-Splitting Multiple Access-Based Indoor Visible Light Communication Networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {40}, number = {5}, pages = {1706--1720}, year = {2022}, url = {https://doi.org/10.1109/JSAC.2022.3145818}, doi = {10.1109/JSAC.2022.3145818}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/XingHLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/XuCMXWQ22, author = {Hongli Xu and Min Chen and Zeyu Meng and Yang Xu and Lun Wang and Chunming Qiao}, title = {Decentralized Machine Learning Through Experience-Driven Method in Edge Networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {40}, number = {2}, pages = {515--531}, year = {2022}, url = {https://doi.org/10.1109/JSAC.2021.3118424}, doi = {10.1109/JSAC.2021.3118424}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsac/XuCMXWQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangYKCLBKKKCJJ22, author = {Jihyo Kang and Jaehyeok Yang and Kyunghoon Kim and Joo{-}Hyung Chae and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Boram Kim and Dong{-}Hyun Kim and Youngtaek Kim and Yeongmuk Cho and Junghwan Ji and Sera Jeong and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Sunho Kim and Hae{-}Kang Jung and Jieun Jang and Sangkwon Lee and Hyungsoo Kim and Joo{-}Hwan Cho and Junhyun Chun and Seon{-}Yong Cha}, title = {A 24-Gb/s/Pin 8-Gb {GDDR6} With a Half-Rate Daisy-Chain-Based Clocking Architecture and {I/O} Circuitry for Low-Noise Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {212--223}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3114205}, doi = {10.1109/JSSC.2021.3114205}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangYKCLBKKKCJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoCJKSBLLKLKLS22, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Daehee Bae and Sanggwon Lee and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Chang{-}Rok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {2.45 e-RMS Low-Random-Noise, 598.5 mW Low-Power, and 1.2 kfps High-Speed 2-Mp Global Shutter {CMOS} Image Sensor With Pixel-Level {ADC} and Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1125--1137}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3142436}, doi = {10.1109/JSSC.2022.3142436}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SeoCJKSBLLKLKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/KimSHHL22, author = {Hyeong{-}Jin Kim and Min{-}Cheol Shin and Man{-}Wook Han and Chung{-}Pyo Hong and Ho{-}Woong Lee}, title = {An Efficient Scheme to Obtain Background Image in Video for YOLO-based Static Object Recognition}, journal = {J. Web Eng.}, volume = {21}, number = {5}, year = {2022}, url = {https://doi.org/10.13052/jwe1540-9589.21513}, doi = {10.13052/JWE1540-9589.21513}, timestamp = {Sat, 17 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jwe/KimSHHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/LiuWZYLLLLC22, author = {Huijie Liu and Han Wu and Le Zhang and Runlong Yu and Ye Liu and Chunli Liu and Minglei Li and Qi Liu and Enhong Chen}, title = {A hierarchical interactive multi-channel graph neural network for technological knowledge flow forecasting}, journal = {Knowl. Inf. Syst.}, volume = {64}, number = {7}, pages = {1723--1757}, year = {2022}, url = {https://doi.org/10.1007/s10115-022-01697-2}, doi = {10.1007/S10115-022-01697-2}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kais/LiuWZYLLLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/DaiLDHA22, author = {Hong{-}Liang Dai and Chu{-}Xin Liang and Hong{-}Ming Dai and Cui{-}Yin Huang and Rana Muhammad Adnan}, title = {An online portfolio strategy based on trend promote price tracing ensemble learning algorithm}, journal = {Knowl. Based Syst.}, volume = {239}, pages = {107957}, year = {2022}, url = {https://doi.org/10.1016/j.knosys.2021.107957}, doi = {10.1016/J.KNOSYS.2021.107957}, timestamp = {Tue, 08 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/DaiLDHA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/LiangYHDLZL22, author = {Hongying Liang and Suiqing Yu and Man Hao and Weixin Deng and Ming Lin and Zheng Zhang and Chunlong Liu}, title = {Effects of cervicothoracic postures on the stiffness of trapezius muscles}, journal = {Medical Biol. Eng. Comput.}, volume = {60}, number = {10}, pages = {3009--3017}, year = {2022}, url = {https://doi.org/10.1007/s11517-022-02655-4}, doi = {10.1007/S11517-022-02655-4}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mbec/LiangYHDLZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/QuWLYM22, author = {Mingjun Qu and Yonghuai Wang and Honghe Li and Jinzhu Yang and Chunyan Ma}, title = {Automatic identification of septal flash phenomenon in patients with complete left bundle branch block}, journal = {Medical Image Anal.}, volume = {82}, pages = {102619}, year = {2022}, url = {https://doi.org/10.1016/j.media.2022.102619}, doi = {10.1016/J.MEDIA.2022.102619}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/QuWLYM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KimBKJKHKCKHH22, author = {Hyung Min Kim and Seok{-}Soo Byun and Jung Kwon Kim and Chang Wook Jeong and Cheol Kwak and Eu Chang Hwang and Seokho Kang and Jinsoo Chung and Yong{-}June Kim and Yun{-}Sok Ha and Sung{-}Hoo Hong}, title = {Machine learning-based prediction model for late recurrence after surgery in patients with renal cell carcinoma}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {241}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01964-w}, doi = {10.1186/S12911-022-01964-W}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KimBKJKHKCKHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/ShiCSWZ22, author = {Fengfeng Shi and Ruilu Chen and Hong Shen and Jiaheng Wang and Chunming Zhao}, title = {Energy-Efficient Power Allocation for {D2D} Communication underlaying Cellular Networks}, journal = {Mob. Networks Appl.}, volume = {27}, number = {2}, pages = {483--491}, year = {2022}, url = {https://doi.org/10.1007/s11036-020-01692-3}, doi = {10.1007/S11036-020-01692-3}, timestamp = {Fri, 12 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/ShiCSWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LongHTLCS22, author = {Cu Kim Long and Pham Van Hai and Tran Manh Tuan and Luong Thi Hong Lan and Pham Minh Chuan and Le Hoang Son}, title = {A novel fuzzy knowledge graph pairs approach in decision making}, journal = {Multim. Tools Appl.}, volume = {81}, number = {18}, pages = {26505--26534}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-13067-9}, doi = {10.1007/S11042-022-13067-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LongHTLCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueBZZXHZLZCZZS22, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Jingyao Zeng and Yadong Zhang and Yunfei Shang and Jialin Mai and Shuo Shi and Mingming Lu and Congfan Bu and Zhewen Zhang and Zhenglin Du and Yinying Wang and Hongen Kang and Tianyi Xu and Lili Hao and Peilin Jia and Shuai Jiang and Qiheng Qian and Tongtong Zhu and Wenting Zong and Tong Jin and Yuansheng Zhang and Dong Zou and Qiang Du and Changrui Feng and Lina Ma and Sisi Zhang and Anke Wang and Lili Dong and Yanqing Wang and Wan Liu and Xing Yan and Yunchao Ling and Zhihua Zhou and Wang Kang and Tao Zhang and Shuai Ma and Haoteng Yan and Zunpeng Liu and Zejun Ji and Yusheng Cai and Si Wang and Moshi Song and Jie Ren and Qi Zhou and Jing Qu and Weiqi Zhang and Guanghui Liu and Xu Chen and Tingting Chen and Yanling Sun and Caixia Yu and Bixia Tang and Junwei Zhu and Shuang Zhai and Yubin Sun and Qiancheng Chen and Xiaoyu Yang and Xin Zhang and Zhengqi Sang and Yonggang Wang and Yilin Zhao and Huanxin Chen and Li Lan and Yingke Ma and Yaokai Jia and Xinchang Zheng and Meili Chen and Ming Chen and Guangyi Niu and Rong Pan and Wei Jing and Jian Sang and Chang Liu and Yujia Xiong and Mochen Zhang and Guoliang Wang and Lizhi Yi and Wei Zhao and Song Wu and Zhuang Xiong and Rujiao Li and Zheng Gong and Lin Liu and Zhao Li and Qianpeng Li and Sicheng Luo and Jiajia Wang and Yirong Shi and Honghong Zhou and Peng Zhang and Tingrui Song and Yanyan Li and Fei Yang and Mengwei Li and Zhaohua Li and Dongmei Tian and Xiaonan Liu and Cuiping Li and Xufei Teng and Shuhui Song and Yang Zhang and Ruru Chen and Rongqin Zhang and Feng Xu and Yifan Wang and Chenfen Zhou and Haizhou Wang and Andrew E. Teschendorff and Yungang He and Zhen Yang and Lun Li and Na Li and Ying Cui and Guangya Duan and Gangao Wu and Tianhao Huang and Enhui Jin and Hailong Kang and Zhonghuang Wang and Hua Chen and Mingkun Li and Wanshan Ning and Yu Xue and Yanhu Liu and Qijun Zhou and Xingyan Liu and Longlong Zhang and Bingyu Mao and Shihua Zhang and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Haichun Jing and Yitong Pan and Leisheng Shi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Dan Liu and Chi Zhang and Zheng Zhao and Tao Jiang and Wanying Wu and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Shaofeng Lin and Chuijie Liu and Anyuan Guo and Hao Yuan and Tianhan Su and Yong E. Zhang and Yincong Zhou and Guoji Guo and Shanshan Fu and Xiaodan Tan and Weizhi Zhang and Mei Luo and Yubin Xie and Chenwei Wang and Xingyu Liao and Xin Gao and Jianxin Wang and Guiyan Xie and Chunhui Yuan and Feng Tian and Dechang Yang and Ge Gao and Dachao Tang and Wenyi Wu and Yujie Gou and Cheng Han and Qinghua Cui and Xiangshang Li and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {27--38}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab951}, doi = {10.1093/NAR/GKAB951}, timestamp = {Tue, 02 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueBZZXHZLZCZZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GuanGZWZLLBWGGL22, author = {Xiaojun Guan and Tao Guo and Cheng Zhou and Jingjing Wu and Qingze Zeng and Kaicheng Li and Xiao Luo and Xueqin Bai and Haoting Wu and Ting Gao and Luyan Gu and Xiaocao Liu and Zhengye Cao and Jiaqi Wen and Jingwen Chen and Hongjiang Wei and Yuyao Zhang and Chunlei Liu and Zhe Song and Yaping Yan and Jiali Pu and Baorong Zhang and Xiaojun Xu and Minming Zhang}, title = {Altered brain iron depositions from aging to Parkinson's disease and Alzheimer's disease: {A} quantitative susceptibility mapping study}, journal = {NeuroImage}, volume = {264}, pages = {119683}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119683}, doi = {10.1016/J.NEUROIMAGE.2022.119683}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GuanGZWZLLBWGGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/Wu0WFCS0PFLSHKG22, author = {Honghan Wu and Minhong Wang and Jinge Wu and Farah Francis and Yun{-}Hsuan Chang and Alex Shavick and Hang Dong and Michael Tin Chung Poon and Natalie K. Fitzpatrick and Adam P. Levine and Luke T. Slater and Alex Handy and Andreas Karwath and Georgios V. Gkoutos and Claude Chelala and Anoop D. Shah and Robert Stewart and Nigel Collier and Beatrice Alex and William Whiteley and Cathie Sudlow and Angus Roberts and Richard J. B. Dobson}, title = {A survey on clinical natural language processing in the United Kingdom from 2007 to 2022}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00730-6}, doi = {10.1038/S41746-022-00730-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/Wu0WFCS0PFLSHKG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/LiaoZZYCF22, author = {Yongbin Liao and Hongyuan Zhu and Yanggang Zhang and Chuangguan Ye and Tao Chen and Jiayuan Fan}, title = {Point Cloud Instance Segmentation With Semi-Supervised Bounding-Box Mining}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {44}, number = {12}, pages = {10159--10170}, year = {2022}, url = {https://doi.org/10.1109/TPAMI.2021.3131120}, doi = {10.1109/TPAMI.2021.3131120}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/LiaoZZYCF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ZhouYLH22, author = {Pan Zhou and Xiao{-}Tong Yuan and Zhouchen Lin and Steven C. H. Hoi}, title = {A Hybrid Stochastic-Deterministic Minibatch Proximal Gradient Method for Efficient Optimization and Generalization}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {44}, number = {10}, pages = {5933--5946}, year = {2022}, url = {https://doi.org/10.1109/TPAMI.2021.3087328}, doi = {10.1109/TPAMI.2021.3087328}, timestamp = {Thu, 20 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/ZhouYLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pervasive/FerliniMMLSK22, author = {Andrea Ferlini and Alessandro Montanari and Chulhong Min and Hongwei Li and Ugo Sassi and Fahim Kawsar}, title = {In-Ear {PPG} for Vital Signs}, journal = {{IEEE} Pervasive Comput.}, volume = {21}, number = {1}, pages = {65--74}, year = {2022}, url = {https://doi.org/10.1109/MPRV.2021.3121171}, doi = {10.1109/MPRV.2021.3121171}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pervasive/FerliniMMLSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/WanCLHLL22, author = {Jihong Wan and Hongmei Chen and Tianrui Li and Wei Huang and Min Li and Chuan Luo}, title = {{R2CI:} Information theoretic-guided feature selection with multiple correlations}, journal = {Pattern Recognit.}, volume = {127}, pages = {108603}, year = {2022}, url = {https://doi.org/10.1016/j.patcog.2022.108603}, doi = {10.1016/J.PATCOG.2022.108603}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/WanCLHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HuXFDZJWHLZCWC22, author = {Xing Hu and Chun Xie and Zhe Fan and Qianqian Duan and Dawei Zhang and Linhua Jiang and Xian Wei and Danfeng Hong and Guoqiang Li and Xinhua Zeng and Wenming Chen and Dongfang Wu and Jocelyn Chanussot}, title = {Hyperspectral Anomaly Detection Using Deep Learning: {A} Review}, journal = {Remote. Sens.}, volume = {14}, number = {9}, pages = {1973}, year = {2022}, url = {https://doi.org/10.3390/rs14091973}, doi = {10.3390/RS14091973}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HuXFDZJWHLZCWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/TsengYSHYW22, author = {Hsin{-}Hung Tseng and Ming{-}Der Yang and R. Saminathan and Yu{-}Chun Hsu and Chin{-}Ying Yang and Dong{-}Hong Wu}, title = {Rice Seedling Detection in {UAV} Images Using Transfer Learning and Machine Learning}, journal = {Remote. Sens.}, volume = {14}, number = {12}, pages = {2837}, year = {2022}, url = {https://doi.org/10.3390/rs14122837}, doi = {10.3390/RS14122837}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/TsengYSHYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangQZZYGLWZ22, author = {Hongwei Wang and Yuan Qi and Juan Zhang and Jinlong Zhang and Rui Yang and Junyu Guo and Dongliang Luo and Jichun Wu and Shengming Zhou}, title = {Influence of Open-Pit Coal Mining on Ground Surface Deformation of Permafrost in the Muli Region in the Qinghai-Tibet Plateau, China}, journal = {Remote. Sens.}, volume = {14}, number = {10}, pages = {2352}, year = {2022}, url = {https://doi.org/10.3390/rs14102352}, doi = {10.3390/RS14102352}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangQZZYGLWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JiaSOSMHWLJM22, author = {Zhicheng Jia and Ming Sun and Chengming Ou and Shoujiang Sun and Chunli Mao and Liu Hong and Juan Wang and Manli Li and Shangang Jia and Peisheng Mao}, title = {Single Seed Identification in Three Medicago Species via Multispectral Imaging Combined with Stacking Ensemble Learning}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7521}, year = {2022}, url = {https://doi.org/10.3390/s22197521}, doi = {10.3390/S22197521}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JiaSOSMHWLJM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiangCJKS22, author = {Hongwei Liang and Minghu Chen and Chunlei Jiang and Lingling Kan and Keyong Shao}, title = {Combined Feature Extraction and Random Forest for Laser Self-Mixing Vibration Measurement without Determining Feedback Intensity}, journal = {Sensors}, volume = {22}, number = {16}, pages = {6171}, year = {2022}, url = {https://doi.org/10.3390/s22166171}, doi = {10.3390/S22166171}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiangCJKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TengYMDDCCYCX22, author = {Chuanxin Teng and Shiyuan Ying and Rui Min and Shijie Deng and Hongchang Deng and Ming Chen and Xiaoxue Chu and Libo Yuan and Yu Cheng and Minmin Xue}, title = {Side-Polish Plastic Optical Fiber Based {SPR} Sensor for Refractive Index and Liquid-Level Sensing}, journal = {Sensors}, volume = {22}, number = {16}, pages = {6241}, year = {2022}, url = {https://doi.org/10.3390/s22166241}, doi = {10.3390/S22166241}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TengYMDDCCYCX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/XiongTCCDLDLY22, author = {Min Xiong and Chuanxin Teng and Ming Chen and Yu Cheng and Shijie Deng and Fuwang Li and Hongchang Deng and Houquan Liu and Libo Yuan}, title = {Simulation Study of High Sensitivity Fiber {SPR} Temperature Sensor with Liquid Filling}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5713}, year = {2022}, url = {https://doi.org/10.3390/s22155713}, doi = {10.3390/S22155713}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/XiongTCCDLDLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/WangHWRJL22, author = {Shiguo Wang and Mingyue He and Jin Wang and Rong Ran and Hong Ji and Victor C. M. Leung}, title = {A Family of Hybrid Precoding Schemes for Millimeter-Wave Massive {MIMO} Systems}, journal = {{IEEE} Syst. J.}, volume = {16}, number = {3}, pages = {4881--4891}, year = {2022}, url = {https://doi.org/10.1109/JSYST.2022.3147956}, doi = {10.1109/JSYST.2022.3147956}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/WangHWRJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/GaoLCNZWKLS22, author = {Hongbo Gao and Ming Liu and Fei Chen and Xiaoxiang Na and Ding Zhao and Jingtao Wang and Linghe Kong and Keqiang Li and Chun{-}Yi Su}, title = {Guest Editorial Special Issue on Artificial Intelligence for Autonomous Unmanned System Applications}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {4}, pages = {2652--2655}, year = {2022}, url = {https://doi.org/10.1109/TASE.2022.3208458}, doi = {10.1109/TASE.2022.3208458}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tase/GaoLCNZWKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/GanZCC22, author = {Min Gan and Hong{-}Tao Zhu and Guang{-}Yong Chen and C. L. Philip Chen}, title = {Weighted Generalized Cross-Validation-Based Regularization for Broad Learning System}, journal = {{IEEE} Trans. Cybern.}, volume = {52}, number = {5}, pages = {4064--4072}, year = {2022}, url = {https://doi.org/10.1109/TCYB.2020.3015749}, doi = {10.1109/TCYB.2020.3015749}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/GanZCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfss/HongCLW22, author = {Tzung{-}Pei Hong and Chun{-}Hao Chen and Yan{-}Kang Li and Min{-}Thai Wu}, title = {Using Fuzzy C-means to Discover Concept-drift Patterns for Membership Functions}, journal = {{TFSS}}, volume = {1}, number = {2}, pages = {21--31}, year = {2022}, url = {https://doi.org/10.30495/tfss.2022.1958730.1030}, doi = {10.30495/TFSS.2022.1958730.1030}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfss/HongCLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LiuCLWTCNS22, author = {Guifang Liu and Xiaoliang Chen and Xiangming Li and Chunhui Wang and Hongmiao Tian and Xiaoming Chen and Bangbang Nie and Jinyou Shao}, title = {Flexible, Equipment-Wearable Piezoelectric Sensor With Piezoelectricity Calibration Enabled by In-Situ Temperature Self-Sensing}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {6}, pages = {6381--6390}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3095785}, doi = {10.1109/TIE.2021.3095785}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LiuCLWTCNS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/DengLMCDTLXCY22, author = {Shijie Deng and Xiang Li and Alan P. Morrison and Ming Chen and Hongchang Deng and Chuanxin Teng and Houquan Liu and Ronghui Xu and Yu Cheng and Libo Yuan}, title = {Design and Analysis of a Photon Counting System Using Covered Single-Photon Avalanche Photodiode}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--9}, year = {2022}, url = {https://doi.org/10.1109/tim.2022.3155748}, doi = {10.1109/TIM.2022.3155748}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/DengLMCDTLXCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/0004LLLFS22, author = {Liang Zhao and Hongxuan Li and Na Lin and Mingwei Lin and Chunlong Fan and Junling Shi}, title = {Intelligent Content Caching Strategy in Autonomous Driving Toward 6G}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {7}, pages = {9786--9796}, year = {2022}, url = {https://doi.org/10.1109/TITS.2021.3114199}, doi = {10.1109/TITS.2021.3114199}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/0004LLLFS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/ChenGCZC22, author = {Guang{-}Yong Chen and Min Gan and C. L. Philip Chen and Hong{-}Tao Zhu and Long Chen}, title = {Frequency Principle in Broad Learning System}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {33}, number = {11}, pages = {6983--6989}, year = {2022}, url = {https://doi.org/10.1109/TNNLS.2021.3081568}, doi = {10.1109/TNNLS.2021.3081568}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/ChenGCZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/WangQGZYJ22, author = {Junli Wang and Hongda Qi and Mingjian Guang and Chaobo Zhang and Chungang Yan and Changjun Jiang}, title = {Net Learning}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {33}, number = {12}, pages = {7380--7389}, year = {2022}, url = {https://doi.org/10.1109/TNNLS.2021.3084902}, doi = {10.1109/TNNLS.2021.3084902}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/WangQGZYJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/ChenGZCC22, author = {Guang{-}Yong Chen and Min Gan and Hong{-}Tao Zhu and Long Chen and C. L. Philip Chen}, title = {An Iterative Implementation of Variable Projection for Separable Nonlinear Optimization Problems}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {52}, number = {11}, pages = {7259--7267}, year = {2022}, url = {https://doi.org/10.1109/TSMC.2022.3165323}, doi = {10.1109/TSMC.2022.3165323}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/ChenGZCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChangSCTYWH22, author = {Kuo{-}Wei Chang and Hsu{-}Tung Shih and Tian{-}Sheuan Chang and Shang{-}Hong Tsai and Chih{-}Chyau Yang and Chien{-}Ming Wu and Chun{-}Ming Huang}, title = {A Real-Time 1280 {\texttimes} 720 Object Detection Chip With 585 MB/s Memory Traffic}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {30}, number = {6}, pages = {816--825}, year = {2022}, url = {https://doi.org/10.1109/TVLSI.2022.3149768}, doi = {10.1109/TVLSI.2022.3149768}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChangSCTYWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/WangGFZL22, author = {Qianpeng Wang and Deyun Gao and Chuan Heng Foh and Hongke Zhang and Victor C. M. Leung}, title = {Decentralized {CRL} Management for Vehicular Networks With Permissioned Blockchain}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {11}, pages = {11408--11420}, year = {2022}, url = {https://doi.org/10.1109/TVT.2022.3194206}, doi = {10.1109/TVT.2022.3194206}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/WangGFZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/XuZJYLL22, author = {Yueqiang Xu and Heli Zhang and Hong Ji and Lichao Yang and Xi Li and Victor C. M. Leung}, title = {Transaction Throughput Optimization for Integrated Blockchain and {MEC} System in IoT}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {21}, number = {2}, pages = {1022--1036}, year = {2022}, url = {https://doi.org/10.1109/TWC.2021.3100985}, doi = {10.1109/TWC.2021.3100985}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/XuZJYLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vciba/ShenWMFY22, author = {Li{-}Yong Shen and Meng{-}Xing Wang and Hong{-}Yu Ma and Yi{-}Fei Feng and Chun{-}Ming Yuan}, title = {A framework from point clouds to workpieces}, journal = {Vis. Comput. Ind. Biomed. Art}, volume = {5}, number = {1}, pages = {21}, year = {2022}, url = {https://doi.org/10.1186/s42492-022-00117-0}, doi = {10.1186/S42492-022-00117-0}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vciba/ShenWMFY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vcomm/DengJXZLWY22, author = {Liping Deng and Hong Jiang and He Xiao and Qiuyun Zhang and Ying Luo and Chun Wu and Changqing Ye}, title = {Completion time minimization for multi-antenna UAV-enabled data collection in uncorrelated Rician fading}, journal = {Veh. Commun.}, volume = {37}, pages = {100501}, year = {2022}, url = {https://doi.org/10.1016/j.vehcom.2022.100501}, doi = {10.1016/J.VEHCOM.2022.100501}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vcomm/DengJXZLWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LiuYWGWZ22, author = {Jinyang Liu and Chuantao Yin and Kunyang Wang and Minghui Guan and Xi Wang and Hong Zhou}, title = {Students' Course Results Prediction Based on Data Processing and Machine Learning Methods}, journal = {J. Signal Process. Syst.}, volume = {94}, number = {11}, pages = {1199--1211}, year = {2022}, url = {https://doi.org/10.1007/s11265-021-01739-y}, doi = {10.1007/S11265-021-01739-Y}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/LiuYWGWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/Xiao-FengHGLKMC22, author = {Yang Xiao{-}Feng and Huang Hong{-}Quan and Zeng Guo{-}Qiang and Ge Liang{-}Quan and Jiang Kai{-}ming and Gu Min and Hu Chuan{-}Hao and Lai Mao{-}Lin}, title = {Pulse Pile-up Correction by Particle Swarm Optimization with Double-layer Parameter Identification Model in X-ray Spectroscopy}, journal = {J. Signal Process. Syst.}, volume = {94}, number = {4}, pages = {377--386}, year = {2022}, url = {https://doi.org/10.1007/s11265-021-01698-4}, doi = {10.1007/S11265-021-01698-4}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/Xiao-FengHGLKMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/HeSXZ22, author = {Zhenyao He and Hong Shen and Wei Xu and Chunming Zhao}, title = {Low-Cost Passive Beamforming for RIS-Aided Wideband {OFDM} Systems}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {11}, number = {2}, pages = {318--322}, year = {2022}, url = {https://doi.org/10.1109/LWC.2021.3126852}, doi = {10.1109/LWC.2021.3126852}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wcl/HeSXZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/LinSZ22, author = {Qi Lin and Hong Shen and Chunming Zhao}, title = {Learning Linear {MMSE} Precoder for Uplink Massive {MIMO} Systems With One-Bit ADCs}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {11}, number = {10}, pages = {2235--2239}, year = {2022}, url = {https://doi.org/10.1109/LWC.2022.3198286}, doi = {10.1109/LWC.2022.3198286}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/LinSZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/widm/KhooPL22, author = {Brandon B. G. Khoo and Rapha{\"{e}}l C.{-}W. Phan and Chern Hong Lim}, title = {Deepfake attribution: On the source identification of artificially generated images}, journal = {WIREs Data Mining Knowl. Discov.}, volume = {12}, number = {3}, year = {2022}, url = {https://doi.org/10.1002/widm.1438}, doi = {10.1002/WIDM.1438}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/widm/KhooPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaim/LuoHHCLL22, author = {Chuanwen Luo and Yunan Hou and Yi Hong and Zhibo Chen and Ning Liu and Deying Li}, editor = {Qiufen Ni and Weili Wu}, title = {AoI Minimizing of Wireless Rechargeable Sensor Network Based on Trajectory Optimization of Laser-Charged {UAV}}, booktitle = {Algorithmic Aspects in Information and Management - 16th International Conference, {AAIM} 2022, Guangzhou, China, August 13-14, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13513}, pages = {255--267}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16081-3\_22}, doi = {10.1007/978-3-031-16081-3\_22}, timestamp = {Wed, 21 Sep 2022 18:26:35 +0200}, biburl = {https://dblp.org/rec/conf/aaim/LuoHHCLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/ChenHH22, author = {Chun{-}Hao Chen and Yu{-}Qi Huang and Tzung{-}Pei Hong}, editor = {Ngoc Thanh Nguyen and Tien Khoa Tran and Ualsher Tukeyev and Tzung{-}Pei Hong and Bogdan Trawinski and Edward Szczerbicki}, title = {Using GPUs to Speed Up Genetic-Fuzzy Data Mining with Evaluation on All Large Itemsets}, booktitle = {Intelligent Information and Database Systems - 14th Asian Conference, {ACIIDS} 2022, Ho Chi Minh City, Vietnam, November 28-30, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13757}, pages = {17--26}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-21743-2\_2}, doi = {10.1007/978-3-031-21743-2\_2}, timestamp = {Thu, 16 Mar 2023 20:00:29 +0100}, biburl = {https://dblp.org/rec/conf/aciids/ChenHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ZhouMZZWS22, author = {Chulun Zhou and Fandong Meng and Jie Zhou and Min Zhang and Hongji Wang and Jinsong Su}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {Confidence Based Bidirectional Global Context Aware Training Framework for Neural Machine Translation}, booktitle = {Proceedings of the 60th Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {2878--2889}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.acl-long.206}, doi = {10.18653/V1/2022.ACL-LONG.206}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ZhouMZZWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ChuangLCS22, author = {Tzu{-}Yu Chuang and Yen{-}Hong Lin and Yueh{-}Sheng Chen and Ming{-}You Shie}, title = {3D Printing Di-ion doped Calcium Silicate Scaffolding Architecture for Promotion of Bifunctionality for Bone Tissue Regeneration}, booktitle = {22nd {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2022, Taichung, Taiwan, November 7-9, 2022}, pages = {137--138}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBE55377.2022.00037}, doi = {10.1109/BIBE55377.2022.00037}, timestamp = {Mon, 02 Jan 2023 14:34:27 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ChuangLCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/HongHHT22, author = {Tzung{-}Pei Hong and Wei{-}Teng Hung and Wei{-}Ming Huang and Yu{-}Chuan Tsai}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Incremental Fuzzy Utility Mining with Tree Structure}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6202--6206}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020566}, doi = {10.1109/BIGDATA55660.2022.10020566}, timestamp = {Tue, 11 Jun 2024 10:44:02 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/HongHHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/HongLTH22, author = {Tzung{-}Pei Hong and Jia{-}Xiang Li and Yu{-}Chuan Tsai and Wei{-}Ming Huang}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Unified Temporal Erasable Itemset Mining with a Lower-Bound Strategy}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6207--6211}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020440}, doi = {10.1109/BIGDATA55660.2022.10020440}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/HongLTH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/TsaiTWLLC22, author = {Zhong{-}Min Tsai and Yu{-}Ju Tsai and Chien{-}Yao Wang and Hong{-}Yuan Mark Liao and Youn{-}Long Lin and Yung{-}Yu Chuang}, title = {SearchTrack: Multiple Object Tracking with Object-Customized Search and Motion-Aware Features}, booktitle = {33rd British Machine Vision Conference 2022, {BMVC} 2022, London, UK, November 21-24, 2022}, pages = {55}, publisher = {{BMVA} Press}, year = {2022}, url = {https://bmvc2022.mpi-inf.mpg.de/55/}, timestamp = {Thu, 16 Feb 2023 16:15:04 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/TsaiTWLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GuCDRTGLSWYWXYC22, author = {Jinjin Gu and Haoming Cai and Chao Dong and Jimmy S. Ren and Radu Timofte and Yuan Gong and Shanshan Lao and Shuwei Shi and Jiahao Wang and Sidi Yang and Tianhe Wu and Weihao Xia and Yujiu Yang and Mingdeng Cao and Cong Heng and Lingzhi Fu and Rongyu Zhang and Yusheng Zhang and Hao Wang and Hongjian Song and Jing Wang and Haotian Fan and Xiaoxia Hou and Ming Sun and Mading Li and Kai Zhao and Kun Yuan and Zishang Kong and Mingda Wu and Chuanchuan Zheng and Marcos V. Conde and Maxime Burchi and Longtao Feng and Tao Zhang and Yang Li and Jingwen Xu and Haiqiang Wang and Yiting Liao and Junlin Li and Kele Xu and Tao Sun and Yunsheng Xiong and Abhisek Keshari and Komal and Sadbhawana Thakur and Vinit Jakhetiya and Badri N. Subudhi and Hao{-}Hsiang Yang and Hua{-}En Chang and Zhi{-}Kai Huang and Wei{-}Ting Chen and Sy{-}Yen Kuo and Saikat Dutta and Sourya Dipta Das and Nisarg A. Shah and Anil Kumar Tiwari}, title = {{NTIRE} 2022 Challenge on Perceptual Image Quality Assessment}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {950--966}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00109}, doi = {10.1109/CVPRW56347.2022.00109}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/GuCDRTGLSWYWXYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/HongLYLZL22, author = {Mingbo Hong and Yuhang Lu and Nianjin Ye and Chunyu Lin and Qijun Zhao and Shuaicheng Liu}, title = {Unsupervised Homography Estimation with Coplanarity-Aware {GAN}}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {17642--17651}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01714}, doi = {10.1109/CVPR52688.2022.01714}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/HongLYLZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGKLLDLZCHLL22, author = {Yawei Li and Kai Zhang and Radu Timofte and Luc Van Gool and Fangyuan Kong and Mingxi Li and Songwei Liu and Zongcai Du and Ding Liu and Chenhui Zhou and Jingyi Chen and Qingrui Han and Zheyuan Li and Yingqi Liu and Xiangyu Chen and Haoming Cai and Yu Qiao and Chao Dong and Long Sun and Jinshan Pan and Yi Zhu and Zhikai Zong and Xiaoxiao Liu and Zheng Hui and Tao Yang and Peiran Ren and Xuansong Xie and Xian{-}Sheng Hua and Yanbo Wang and Xiaozhong Ji and Chuming Lin and Donghao Luo and Ying Tai and Chengjie Wang and Zhizhong Zhang and Yuan Xie and Shen Cheng and Ziwei Luo and Lei Yu and Zhihong Wen and Qi Wu and Youwei Li and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Yuanfei Huang and Meiguang Jin and Hua Huang and Jing Liu and Xinjian Zhang and Yan Wang and Lingshun Long and Gen Li and Yuanfan Zhang and Zuowei Cao and Lei Sun and Panaetov Alexander and Yucong Wang and Minjie Cai and Li Wang and Lu Tian and Zheyuan Wang and Hongbing Ma and Jie Liu and Chao Chen and Yidong Cai and Jie Tang and Gangshan Wu and Weiran Wang and Shirui Huang and Honglei Lu and Huan Liu and Keyan Wang and Jun Chen and Shi Chen and Yuchun Miao and Zimo Huang and Lefei Zhang and Mustafa Ayazoglu and Wei Xiong and Chengyi Xiong and Fei Wang and Hao Li and Ruimian Wen and Zhijing Yang and Wenbin Zou and Weixin Zheng and Tian Ye and Yuncheng Zhang and Xiangzhen Kong and Aditya Arora and Syed Waqas Zamir and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Dandan Gao and Dengwen Zhou and Qian Ning and Jingzhu Tang and Han Huang and Yufei Wang and Zhangheng Peng and Haobo Li and Wenxue Guan and Shenghua Gong and Xin Li and Jun Liu and Wanjun Wang and Kun Zeng and Hanjiang Lin and Xinyu Chen and Jinsheng Fang}, title = {{NTIRE} 2022 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1061--1101}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00118}, doi = {10.1109/CVPRW56347.2022.00118}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGKLLDLZCHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Perez-Pellitero22, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1008--1022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00114}, doi = {10.1109/CVPRW56347.2022.00114}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/Perez-Pellitero22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKCDZLDBZZYYCMFBBCCCCCCCCCCC22, author = {Matej Kristan and Ales Leonardis and Jir{\'{\i}} Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Hyung Jin Chang and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Johanna Bj{\"{o}}rklund and Yushan Zhang and Zhongqun Zhang and Song Yan and Wenyan Yang and Dingding Cai and Christoph Mayer and Gustavo Fern{\'{a}}ndez and Kang Ben and Goutam Bhat and Hong Chang and Guangqi Chen and Jiaye Chen and Shengyong Chen and Xilin Chen and Xin Chen and Xiuyi Chen and Yiwei Chen and Yu{-}Hsi Chen and Zhixing Chen and Yangming Cheng and Angelo Ciaramella and Yutao Cui and Benjamin Dzubur and Mohana Murali Dasari and Qili Deng and Debajyoti Dhar and Shangzhe Di and Emanuel Di Nardo and Daniel K. Du and Matteo Dunnhofer and Heng Fan and Zhen{-}Hua Feng and Zhihong Fu and Shang Gao and Rama Krishna Gorthi and Eric Granger and Q. H. Gu and Himanshu Gupta and Jianfeng He and Keji He and Yan Huang and Deepak Jangid and Rongrong Ji and Cheng Jiang and Yingjie Jiang and Felix J{\"{a}}remo Lawin and Ze Kang and Madhu Kiran and Josef Kittler and Simiao Lai and Xiangyuan Lan and Dongwook Lee and Hyunjeong Lee and Seohyung Lee and Hui Li and Ming Li and Wangkai Li and Xi Li and Xianxian Li and Xiao Li and Zhe Li and Liting Lin and Haibin Ling and Bo Liu and Chang Liu and Si Liu and Huchuan Lu and Rafael M. O. Cruz and Bingpeng Ma and Chao Ma and Jie Ma and Yinchao Ma and Niki Martinel and Alireza Memarmoghadam and Christian Micheloni and Payman Moallem and Le Thanh Nguyen{-}Meidine and Siyang Pan and ChangBeom Park and Danda Pani Paudel and Matthieu Paul and Houwen Peng and Andreas Robinson and Litu Rout and Shiguang Shan and Kristian Simonato and Tianhui Song and Xiaoning Song and Chao Sun and Jingna Sun and Zhangyong Tang and Radu Timofte and Chi{-}Yi Tsai and Luc Van Gool and Om Prakash Verma and Dong Wang and Fei Wang and Liang Wang and Liangliang Wang and Lijun Wang and Limin Wang and Qiang Wang and Gangshan Wu and Jinlin Wu and Xiaojun Wu and Fei Xie and Tianyang Xu and Wei Xu and Yong Xu and Yuanyou Xu and Wanli Xue and Zizheng Xun and Bin Yan and Dawei Yang and Jinyu Yang and Wankou Yang and Xiaoyun Yang and Yi Yang and Yichun Yang and Zongxin Yang and Botao Ye and Fisher Yu and Hongyuan Yu and Jiaqian Yu and Qianjin Yu and Weichen Yu and Kang Ze and Jiang Zhai and Chengwei Zhang and Chunhu Zhang and Kaihua Zhang and Tianzhu Zhang and Wenkang Zhang and Zhibin Zhang and Zhipeng Zhang and Jie Zhao and Shao{-}Chuan Zhao and Feng Zheng and Haixia Zheng and Min Zheng and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu and Yueting Zhuang}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {The Tenth Visual Object Tracking {VOT2022} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {13808}, pages = {431--460}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25085-9\_25}, doi = {10.1007/978-3-031-25085-9\_25}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKCDZLDBZZYYCMFBBCCCCCCCCCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LinZQNGLT22, author = {Hongbin Lin and Yifan Zhang and Zhen Qiu and Shuaicheng Niu and Chuang Gan and Yanxia Liu and Mingkui Tan}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Prototype-Guided Continual Adaptation for Class-Incremental Unsupervised Domain Adaptation}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXXIII}}, series = {Lecture Notes in Computer Science}, volume = {13693}, pages = {351--368}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19827-4\_21}, doi = {10.1007/978-3-031-19827-4\_21}, timestamp = {Sat, 21 Oct 2023 10:46:27 +0200}, biburl = {https://dblp.org/rec/conf/eccv/LinZQNGLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/LeNLCLJ22, author = {Vinh V. Le and Dung H. P. Nguyen and Bing{-}Hong Liu and Shao{-}I Chu and Chih{-}Yuan Lien and Jau{-}Ji Jou}, title = {An Algorithm Design for Minimum-Latency Scheduling in Multiple-Data-Type Multi-Channel WSNs}, booktitle = {11th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2022, Osaka, Japan, October 18-21, 2022}, pages = {810--811}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCCE56475.2022.10014426}, doi = {10.1109/GCCE56475.2022.10014426}, timestamp = {Sat, 28 Jan 2023 23:52:06 +0100}, biburl = {https://dblp.org/rec/conf/gcce/LeNLCLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KongWSCLZ22, author = {Dezhang Kong and Chunming Wu and Yi Shen and Xiang Chen and Hongyan Liu and Dong Zhang}, title = {TableGuard: {A} Novel Security Mechanism Against Flow Table Overflow Attacks in {SDN}}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {4167--4172}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001437}, doi = {10.1109/GLOBECOM48099.2022.10001437}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KongWSCLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/WangHTLTKHPMCT22, author = {Li Wang and Zhi{-}Hong Huang and Ching{-}Chuan Tseng and Min{-}Fang Lee and Ching{-}Chung Tseng and Hung{-}Hsien Ku and Chin{-}Lung Hsieh and Anton V. Prokhorov and Hazlie Mokhlis and Kein Huat Chua and Manoj Tripathy}, title = {Operating Characteristics of a Vanadium Redox Flow Battery-based Energy-storage System}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2022, Detroit, MI, USA, October 9-14, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IAS54023.2022.9939882}, doi = {10.1109/IAS54023.2022.9939882}, timestamp = {Thu, 24 Nov 2022 14:56:18 +0100}, biburl = {https://dblp.org/rec/conf/iasam/WangHTLTKHPMCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/WangHTLTPMCT22, author = {Li Wang and Zhi{-}Hong Huang and Ching{-}Wen Tseng and Min{-}Fang Lee and Ching{-}Chung Tseng and Anton V. Prokhorov and Hazlie Mokhlis and Kein Huat Chua and Manoj Tripathy}, title = {A Novel Scheme for Switching Between a Self-excited Induction Generator and a Series Connected Self-excited Synchronous Generator}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2022, Detroit, MI, USA, October 9-14, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IAS54023.2022.9939871}, doi = {10.1109/IAS54023.2022.9939871}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iasam/WangHTLTPMCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/VuYDNDTT22, author = {Viet{-}Vu Vu and Byeongnam Yoon and Hong{-}Quan Do and Hai{-}Minh Nguyen and Tran{-}Chung Dao and Cong{-}Mau Tran and Doan{-}Vinh Tran}, title = {An empirical study for density peak clustering}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {365--369}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728922}, doi = {10.23919/ICACT53585.2022.9728922}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/VuYDNDTT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/VuYDNDTTPVD22, author = {Viet{-}Vu Vu and Byeongnam Yoon and Hong{-}Quan Do and Hai{-}Minh Nguyen and Tran{-}Chung Dao and Cong{-}Mau Tran and Doan{-}Vinh Tran and Thi{-}Nhuong Phi and Viet{-}Thang Vu and Tien{-}Dung Duong}, title = {Active constraints selection based on density peak}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {447--452}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728938}, doi = {10.23919/ICACT53585.2022.9728938}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/VuYDNDTTPVD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/VuYLDNTVTTD22, author = {Viet{-}Vu Vu and Byeongnam Yoon and Cuong Le and Hong{-}Quan Do and Hai{-}Minh Nguyen and Chung Tran and Viet{-}Thang Vu and Cong{-}Mau Tran and Doan{-}Vinh Tran and Tien{-}Dung Duong}, title = {Active learning for density peak clustering}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {442--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728857}, doi = {10.23919/ICACT53585.2022.9728857}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/VuYLDNTVTTD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LiuFQCLZS22, author = {Puzhuo Liu and Dongliang Fang and Chuan Qin and Kai Cheng and Shichao Lv and Hongsong Zhu and Limin Sun}, title = {Finding Vulnerabilities in Internal-binary of Firmware with Clues}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2022, Seoul, Korea, May 16-20, 2022}, pages = {5397--5402}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICC45855.2022.9839008}, doi = {10.1109/ICC45855.2022.9839008}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LiuFQCLZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HsuCCL22, author = {Yang Hsu and Min{-}Hsuan Chung and Yao{-}Wen Chang and Ci{-}Hong Lin}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {Transitive Closure Graph-Based Warpage-Aware Floorplanning for Package Designs}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {16:1--16:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549354}, doi = {10.1145/3508352.3549354}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HsuCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/YangSXZ22, author = {Zhou Yang and Hong Shen and Wei Xu and Chunming Zhao}, title = {Jamming Suppression for Uplink Massive {MIMO} Systems: {A} Semi-Blind Receiver Design}, booktitle = {{IEEE/CIC} International Conference on Communications in China, {ICCC} 2022, Sanshui, Foshan, China, August 11-13, 2022}, pages = {678--683}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCC55456.2022.9880752}, doi = {10.1109/ICCC55456.2022.9880752}, timestamp = {Tue, 04 Oct 2022 22:39:13 +0200}, biburl = {https://dblp.org/rec/conf/iccchina/YangSXZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChangHH22, author = {Chuan{-}Yu Chang and Min{-}Hong Hsieh and Shao{-}Min Hsu}, title = {Localization of Fresh and Old Fracture in Spine {CT} Images Using {YOLOR}}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {253--254}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869285}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869285}, timestamp = {Fri, 09 Sep 2022 16:55:40 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChangHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HouXCHCCH22, author = {Jian{-}Chiuan Hou and Wei{-}Ming Xu and Yu{-}Cheng Chu and Chih{-}Lin Hu and Ying{-}Hong Chen and Shi Chen and Lin Hui}, title = {Cooperative Fall Detection with Multiple Cameras}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {543--544}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869279}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869279}, timestamp = {Fri, 09 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HouXCHCCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/ChenLXGSLLHZZZW22, author = {Xiang Chen and Hongyan Liu and Qingjiang Xiao and Kaiwei Guo and Tingxin Sun and Xiang Ling and Xuan Liu and Qun Huang and Dong Zhang and Haifeng Zhou and Fan Zhang and Chunming Wu}, title = {Toward Low-Overhead Inter-Switch Coordination in Network-Wide Data Plane Program Deployment}, booktitle = {42nd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2022, Bologna, Italy, July 10-13, 2022}, pages = {370--380}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDCS54860.2022.00043}, doi = {10.1109/ICDCS54860.2022.00043}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/ChenLXGSLLHZZZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/ZhuFZCCJW22, author = {Fanwei Zhu and Yuan Fang and Kai Zhang and Kevin Chen{-}Chuan Chang and Hongtai Cao and Zhen Jiang and Minghui Wu}, title = {Unified and Incremental SimRank: Index-free Approximation with Scheduled Principle (Extended Abstract)}, booktitle = {38th {IEEE} International Conference on Data Engineering, {ICDE} 2022, Kuala Lumpur, Malaysia, May 9-12, 2022}, pages = {1569--1570}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDE53745.2022.00161}, doi = {10.1109/ICDE53745.2022.00161}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/ZhuFZCCJW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis2/YangLLZ0DWL22, author = {Linlin Yang and Hongying Liu and Yiming Li and Wenhao Zhou and Yuanyuan Liu and Xiaobiao Di and Lei Wang and Chuanwen Li}, editor = {Zhongzhi Shi and Yaochu Jin and Xiangrong Zhang}, title = {Multi Recursive Residual Dense Attention {GAN} for Perceptual Image Super Resolution}, booktitle = {Intelligence Science {IV} - 5th {IFIP} {TC} 12 International Conference, {ICIS} 2022, Xi'an, China, October 28-31, 2022, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {659}, pages = {363--377}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-14903-0\_39}, doi = {10.1007/978-3-031-14903-0\_39}, timestamp = {Thu, 12 Jan 2023 09:57:05 +0100}, biburl = {https://dblp.org/rec/conf/icis2/YangLLZ0DWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/Li0MYX22, author = {Jingzong Li and Hong Xu and Ming Ma and Haopeng Yan and Chun Jason Xue}, title = {Alfie: Neural-Reinforced Adaptive Prefetching for Short Videos}, booktitle = {{IEEE} International Conference on Multimedia and Expo, {ICME} 2022, Taipei, Taiwan, July 18-22, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICME52920.2022.9859956}, doi = {10.1109/ICME52920.2022.9859956}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/Li0MYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ZhangK0C22, author = {Yan{-}Hong Zhang and Calvin Ku and Min{-}Chun Hu and Hung{-}Kuo Chu}, title = {Monocular 3D Human Pose Estimation with Domain Feature Alignment and Self Training}, booktitle = {{IEEE} International Conference on Multimedia and Expo, {ICME} 2022, Taipei, Taiwan, July 18-22, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICME52920.2022.9859808}, doi = {10.1109/ICME52920.2022.9859808}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/ZhangK0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/SahaH22, author = {Amrita Saha and Steven C. H. Hoi}, title = {Mining Root Cause Knowledge from Cloud Service Incident Investigations for AIOps}, booktitle = {44th {IEEE/ACM} International Conference on Software Engineering: Software Engineering in Practice, {ICSE} {(SEIP)} 2022, Pittsburgh, PA, USA, May 22-24, 2022}, pages = {197--206}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICSE-SEIP55303.2022.9793994}, doi = {10.1109/ICSE-SEIP55303.2022.9793994}, timestamp = {Tue, 28 Jun 2022 16:23:39 +0200}, biburl = {https://dblp.org/rec/conf/icse/SahaH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/HuangLSWYLWCZYH22, author = {Xiang Huang and Hongsheng Liu and Beiji Shi and Zidong Wang and Kang Yang and Yang Li and Min Wang and Haotian Chu and Jing Zhou and Fan Yu and Bei Hua and Bin Dong and Lei Chen}, editor = {Luc De Raedt}, title = {A Universal PINNs Method for Solving Partial Differential Equations with a Point Source}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {3839--3846}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/533}, doi = {10.24963/IJCAI.2022/533}, timestamp = {Wed, 27 Jul 2022 16:43:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/HuangLSWYLWCZYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/ChuZMQ22, author = {Xianyang Chu and Minghua Zhu and Hongyan Mao and Yunzhou Qiu}, title = {A Feature Fusion Analysis Model of Heterogeneous Data Based on Tensor Decomposition}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2022, Padua, Italy, July 18-23, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IJCNN55064.2022.9891921}, doi = {10.1109/IJCNN55064.2022.9891921}, timestamp = {Mon, 10 Oct 2022 17:40:09 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/ChuZMQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LiuWXLCCW22, author = {Yong Liu and Chunwei Wu and Xidong Xi and Yan Li and Guitao Cao and Wenming Cao and Hong Wang}, title = {Adversarial Discriminative Feature Separation for Generalization in Reinforcement Learning}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2022, Padua, Italy, July 18-23, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IJCNN55064.2022.9892539}, doi = {10.1109/IJCNN55064.2022.9892539}, timestamp = {Mon, 10 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/LiuWXLCCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChenLGJHZWZ22, author = {Xiang Chen and Hongyan Liu and Junyi Guo and Xinyue Jiang and Qun Huang and Dong Zhang and Chunming Wu and Haifeng Zhou}, title = {Torp: Full-Coverage and Low-Overhead Profiling of Host-Side Latency}, booktitle = {{IEEE} {INFOCOM} 2022 - {IEEE} Conference on Computer Communications, London, United Kingdom, May 2-5, 2022}, pages = {1349--1358}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/INFOCOM48880.2022.9796758}, doi = {10.1109/INFOCOM48880.2022.9796758}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChenLGJHZWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/KimLLCPSKK22, author = {Jong{-}Min Kim and Ju{-}Hyung Lee and Yeongrok Lee and Hong{-}Seol Cha and Hyunsu Park and Jincheol Sim and Chulwoo Kim and Young{-}Chai Ko}, title = {Experimental Demonstration of RoFSO Transmission Combining {WLAN} Standard and {WDM-FSO} over 100m Distance}, booktitle = {{IEEE} {INFOCOM} 2022 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} 2022 - Workshops, New York, NY, USA, May 2-5, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/INFOCOMWKSHPS54753.2022.9798035}, doi = {10.1109/INFOCOMWKSHPS54753.2022.9798035}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/KimLLCPSKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/LiuCHKSZZW22, author = {Hongyan Liu and Xiang Chen and Qun Huang and Dezhang Kong and Jinbo Sun and Dong Zhang and Haifeng Zhou and Chunming Wu}, title = {Escala: Timely Elastic Scaling of Control Channels in Network Measurement}, booktitle = {{IEEE} {INFOCOM} 2022 - {IEEE} Conference on Computer Communications, London, United Kingdom, May 2-5, 2022}, pages = {1848--1857}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/INFOCOM48880.2022.9796830}, doi = {10.1109/INFOCOM48880.2022.9796830}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/LiuCHKSZZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/WangFPYXYWWKC22, author = {Wen Wang and Junchao Fan and Xiaofeng Peng and Ye Yang and Chun Xiao and Shuai Yang and Mingcai Wang and Lingfei Wang and Hongyue Kang and Xiaolin Chang}, title = {Maintenance Worker Scheduling for Charging Pile Fault: a Multi-Agent {RL} Approach}, booktitle = {{IEEE} Intl Conf on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking, ISPA/BDCloud/SocialCom/SustainCom 2022, Melbourne, Australia, December 17-19, 2022}, pages = {82--89}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPA-BDCloud-SocialCom-SustainCom57177.2022.00018}, doi = {10.1109/ISPA-BDCLOUD-SOCIALCOM-SUSTAINCOM57177.2022.00018}, timestamp = {Wed, 29 Mar 2023 18:28:03 +0200}, biburl = {https://dblp.org/rec/conf/ispa/WangFPYXYWWKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 13:32:47 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuSCHRCWCLHLSCL22, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Ho{-}Yu Chen and Chen{-}Hsun Lin and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Chih{-}I Wu and Meng{-}Fan Chang}, title = {A 28nm 1Mb Time-Domain Computing-in-Memory 6T-SRAM Macro with a 6.6ns Latency, 1241GOPS and 37.01TOPS/W for 8b-MAC Operations for Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731681}, doi = {10.1109/ISSCC42614.2022.9731681}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuSCHRCWCLHLSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswcs/SunSXZ22, author = {Yi Sun and Hong Shen and Wei Xu and Chunming Zhao}, title = {Learning Statistically Robust {MIMO} Detection with Imperfect {CSI}}, booktitle = {18th International Symposium on Wireless Communication Systems, {ISWCS} 2022, Hangzhou, China, October 19-22, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISWCS56560.2022.9940387}, doi = {10.1109/ISWCS56560.2022.9940387}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iswcs/SunSXZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/LiuYZMWZLLYWZWD22, author = {Yudong Liu and Hailan Yang and Pu Zhao and Minghua Ma and Chengwu Wen and Hongyu Zhang and Chuan Luo and Qingwei Lin and Chang Yi and Jiaojian Wang and Chenjian Zhang and Paul Wang and Yingnong Dang and Saravan Rajmohan and Dongmei Zhang}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {Multi-task Hierarchical Classification for Disk Failure Prediction in Online Service Systems}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {3438--3446}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3539176}, doi = {10.1145/3534678.3539176}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/LiuYZMWZLLYWZWD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/WangZDLSYLLWDZR22, author = {Lu Wang and Pu Zhao and Chao Du and Chuan Luo and Mengna Su and Fangkai Yang and Yudong Liu and Qingwei Lin and Min Wang and Yingnong Dang and Hongyu Zhang and Saravan Rajmohan and Dongmei Zhang}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {{NENYA:} Cascade Reinforcement Learning for Cost-Aware Failure Mitigation at Microsoft 365}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {4032--4040}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3539127}, doi = {10.1145/3534678.3539127}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/WangZDLSYLLWDZR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/HongHHT22, author = {Tzung{-}Pei Hong and Wei{-}Teng Hung and Wei{-}Ming Huang and Yu{-}Chuan Tsai}, title = {Incremental High Fuzzy Utility Itemset Mining}, booktitle = {The 9th Multidisciplinary International Social Networks Conference, {MISNC} 2022, Matsuyama, Japan, October 29-31, 2022}, pages = {66--69}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3561278.3561294}, doi = {10.1145/3561278.3561294}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/misnc/HongHHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsys/ReddiKMDNCSTCCE22, author = {Vijay Janapa Reddi and David Kanter and Peter Mattson and Jared Duke and Thai Nguyen and Ramesh Chukka and Kenneth Shiring and Koan{-}Sin Tan and Mark Charlebois and William Chou and Mostafa El{-}Khamy and Jungwook Hong and Tom St. John and Cindy Trinh and Michael Buch and Mark Mazumder and Relja Markovic and Thomas Atta{-}fosu and Fatih {\c{C}}akir and Masoud Charkhabi and Xiaodong Chen and Cheng{-}Ming Chiang and Dave Dexter and Terry Heo and Guenther Schmuelling and Maryam Shabani and Dylan Zika}, editor = {Diana Marculescu and Yuejie Chi and Carole{-}Jean Wu}, title = {MLPerf Mobile Inference Benchmark: An Industry-Standard Open-Source Machine Learning Benchmark for On-Device {AI}}, booktitle = {Proceedings of the Fifth Conference on Machine Learning and Systems, MLSys 2022, Santa Clara, CA, USA, August 29 - September 1, 2022}, publisher = {mlsys.org}, year = {2022}, url = {https://proceedings.mlsys.org/paper\_files/paper/2022/hash/a2b2702ea7e682c5ea2c20e8f71efb0c-Abstract.html}, timestamp = {Fri, 28 Jun 2024 10:41:23 +0200}, biburl = {https://dblp.org/rec/conf/mlsys/ReddiKMDNCSTCCE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/CaoMZLXGJ22, author = {Yunning Cao and Ye Ma and Min Zhou and Chuanbin Liu and Hongtao Xie and Tiezheng Ge and Yuning Jiang}, editor = {Jo{\~{a}}o Magalh{\~{a}}es and Alberto Del Bimbo and Shin'ichi Satoh and Nicu Sebe and Xavier Alameda{-}Pineda and Qin Jin and Vincent Oria and Laura Toni}, title = {Geometry Aligned Variational Transformer for Image-conditioned Layout Generation}, booktitle = {{MM} '22: The 30th {ACM} International Conference on Multimedia, Lisboa, Portugal, October 10 - 14, 2022}, pages = {1561--1571}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3503161.3548332}, doi = {10.1145/3503161.3548332}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/CaoMZLXGJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/HsuCUL22, author = {Wei{-}Chun Hsu and Hsing{-}Chung Chen and Kai{-}Ming Uang and Yong{-}Hong Lin}, editor = {Leonard Barolli and Hiroyoshi Miwa and Tomoya Enokido}, title = {An AOI-Based Surface Defect Detection Approach Applied to Woven Fabric Production Process}, booktitle = {Advances in Network-Based Information Systems - The 25th International Conference on Network-Based Information Systems (NBiS-2022), Sanda-Shi, Japan, 7-9 September, 2022}, series = {Lecture Notes in Networks and Systems}, volume = {526}, pages = {224--229}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-14314-4\_22}, doi = {10.1007/978-3-031-14314-4\_22}, timestamp = {Mon, 15 Aug 2022 16:10:21 +0200}, biburl = {https://dblp.org/rec/conf/nbis/HsuCUL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HuangYLJWYLWCYH22, author = {Xiang Huang and Zhanhong Ye and Hongsheng Liu and Shi Ji and Zidong Wang and Kang Yang and Yang Li and Min Wang and Haotian Chu and Fan Yu and Bei Hua and Lei Chen and Bin Dong}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Meta-Auto-Decoder for Solving Parametric Partial Differential Equations}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/948552777302d3abf92415b1d7e9de70-Abstract-Conference.html}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/HuangYLJWYLWCYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SongTYWH22, author = {Bingqing Song and Ioannis C. Tsaknakis and Chung{-}Yiu Yau and Hoi{-}To Wai and Mingyi Hong}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Distributed Optimization for Overparameterized Problems: Achieving Optimal Dimension Independent Communication Complexity}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/28795419a644f41ede3fa058b13fc622-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/SongTYWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LiHWDCYLTD22, author = {Hongyu Li and Chuanming Huang and Yuanxiang Wang and Rui Deng and Mengfan Cheng and Qi Yang and Deming Liu and Ming Tang and Lei Deng}, title = {Simple and ultrafast automatic bias control for optical {IQ} modulators enabled by dither vector mapping monitoring}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748682}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LiHWDCYLTD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/NguyenWCC22, author = {Hong{-}Minh Nguyen and Chia{-}Chien Wei and Chun{-}Yen Chuang and Jyehong Chen}, title = {{\textgreater}87{\%} Complexity Reduction at 25-GS/s, 50-Gbps and 30-dB Loss Budget {LR-OFDM} {PON} using Digital Predistortion}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748534}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/NguyenWCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/ParkHPKCC22, author = {Chung Park and Junui Hong and Cheonbok Park and Taesan Kim and Minsung Choi and Jaegul Choo}, editor = {Jo{\~{a}}o Gama and Tianrui Li and Yang Yu and Enhong Chen and Yu Zheng and Fei Teng}, title = {{PASTA:} PArallel Spatio-Temporal Attention with Spatial Auto-Correlation Gating for Fine-Grained Crowd Flow Prediction}, booktitle = {Advances in Knowledge Discovery and Data Mining - 26th Pacific-Asia Conference, {PAKDD} 2022, Chengdu, China, May 16-19, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13280}, pages = {354--366}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-05933-9\_28}, doi = {10.1007/978-3-031-05933-9\_28}, timestamp = {Thu, 20 Apr 2023 09:06:59 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/ParkHPKCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rice/ChuanLTTLHS22, author = {Pham Minh Chuan and Luong Thi Hong Lan and Tran Manh Tuan and Nguyen Hong Tan and Cu Kim Long and Pham Van Hai and Le Hoang Son}, editor = {Vijender Kumar Solanki and Bui Trung Thanh}, title = {Chronic kidney disease diagnosis using Fuzzy Knowledge Graph Pairs-based inference in the extreme case}, booktitle = {Proceedings of the Seventh International Conference on Research in Intelligent and Computing in Engineering, {RICE} 2022, Hung Yen, Vietnam, November 11-12, 2022}, series = {Annals of Computer Science and Information Systems}, volume = {33}, pages = {83--88}, year = {2022}, url = {https://doi.org/10.15439/2022R35}, doi = {10.15439/2022R35}, timestamp = {Tue, 23 Apr 2024 09:44:01 +0200}, biburl = {https://dblp.org/rec/conf/rice/ChuanLTTLHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/LuoLYDW22, author = {Wei{-}Lun Luo and Yu{-}Ming Lu and Jheng{-}Hong Yang and Jin{-}Chuan Duan and Chuan{-}Ju Wang}, editor = {Arindam Banerjee and Zhi{-}Hua Zhou and Evangelos E. Papalexakis and Matteo Riondato}, title = {Multiperiod Corporate Default Prediction Through Neural Parametric Family Learning}, booktitle = {Proceedings of the 2022 {SIAM} International Conference on Data Mining, {SDM} 2022, Alexandria, VA, USA, April 28-30, 2022}, pages = {316--324}, publisher = {{SIAM}}, year = {2022}, url = {https://doi.org/10.1137/1.9781611977172.36}, doi = {10.1137/1.9781611977172.36}, timestamp = {Sat, 30 Sep 2023 09:55:58 +0200}, biburl = {https://dblp.org/rec/conf/sdm/LuoLYDW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChuZMQ22, author = {Xianyang Chu and Minghua Zhu and Hongyan Mao and Yunzhou Qiu}, title = {Task Offloading for Multi-Gateway-Assisted Mobile Edge Computing Based on Deep Reinforcement Learning}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2022, Prague, Czech Republic, October 9-12, 2022}, pages = {3234--3241}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMC53654.2022.9945614}, doi = {10.1109/SMC53654.2022.9945614}, timestamp = {Thu, 01 Dec 2022 15:59:35 +0100}, biburl = {https://dblp.org/rec/conf/smc/ChuZMQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/ChengCLLCH22, author = {Chi{-}Yung Cheng and I{-}Min Chiu and Chun{-}Hung Richard Lin and Xin{-}Hong Lin and Fu{-}Cheng Chen and Ting{-}Yu Hsu}, editor = {Shu{-}Ching Chen and Her{-}Terng Yau and Roland Stenzel and Hsiung{-}Cheng Lin}, title = {Stepwise Regression Machine Learning Models for In-Hospital Mortality Prediction in Patients After ST-Segment Slevation Myocardial Infarction {(STEMI)}}, booktitle = {24th {IEEE/ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} Winter 2022, Taichung, Taiwan, December 7-9, 2022}, pages = {14--18}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SNPD54884.2022.10051815}, doi = {10.1109/SNPD54884.2022.10051815}, timestamp = {Mon, 13 Mar 2023 11:20:35 +0100}, biburl = {https://dblp.org/rec/conf/snpd/ChengCLLCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HongCHLCSCYWRLC22, author = {Ming{-}Chun Hong and Yao{-}Jen Chang and Yu{-}Chen Hsin and Liang{-}Ming Liu and Kuan{-}Ming Chen and Yi{-}Hui Su and Guan{-}Long Chen and Shan{-}Yi Yang and I{-}Jung Wang and Sk. Ziaur Rahaman and Hsin{-}Han Lee and Shih{-}Ching Chiu and Chen{-}Yi Shih and Chih{-}Yao Wang and Fang{-}Ming Chen and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Minn{-}Tsong Lin and Chih{-}I Wu and Tuo{-}Hung Hou}, title = {A 4K-400K Wide Operating-Temperature-Range {MRAM} Technology with Ultrathin Composite Free Layer and Magnesium Spacer}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {379--380}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830503}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830503}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/HongCHLCSCYWRLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HungSPHCHKJ22, author = {Phan Dang Hung and Hongseok Shin and Yechan Park and Kim{-}Hoang Nguyen and Donghee Cho and Sohmyung Ha and Chul Kim and Minkyu Je}, title = {A 96.5{\%}-Power-Efficiency Hybrid Buck-Boost Photovoltaic Energy Harvester Employing Adaptive {FOCV} {MPPT} Control for {\textgreater}98{\%} {MPPT} Efficiency Across a 10, 000{\texttimes} Dynamic Range}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {200--201}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830494}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830494}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HungSPHCHKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/XuY22, author = {Fang Xu and Hong{-}Chuan Yang}, title = {Session-specific Energy Consumption Minimization for UAV-enabled Sensor Data Collection}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2022, Austin, TX, USA, April 10-13, 2022}, pages = {2334--2339}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WCNC51071.2022.9771621}, doi = {10.1109/WCNC51071.2022.9771621}, timestamp = {Tue, 24 May 2022 15:39:22 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/XuY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xloop-ws/ChenCPSDBPMRMYSCFDNLLJNBCFTT22, author = {Hongwei Chen and Sathya R. Chitturi and Rajan Plumley and Lingjia Shen and Nathan C. Drucker and Nicolas Burdet and Cheng Peng and Sougata Mardanya and Daniel Ratner and Aashwin Mishra and Chun Hong Yoon and Sanghoon Song and Matthieu Chollet and Gilberto Fabbris and Mike Dunne and Silke Nelson and Mingda Li and Aaron Lindenberg and Chunjing Jia and Youssef Nashed and Arun Bansil and Sugata Chowdhury and Adrian E. Feiguin and Joshua J. Turner and Jana Thayer}, title = {Testing the data framework for an {AI} algorithm in preparation for high data rate X-ray facilities}, booktitle = {4th Annual Workshop on Extreme-scale Experiment-in-the-Loop Computing, {XLOOP} 2022, Dallas, TX, USA, November 13-18, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/XLOOP56614.2022.00006}, doi = {10.1109/XLOOP56614.2022.00006}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/xloop-ws/ChenCPSDBPMRMYSCFDNLLJNBCFTT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-11400, author = {Chunyong Yang and Pengfei Liu and Yanli Chen and Hongbin Wang and Min Liu}, title = {The {MSXF} {TTS} System for {ICASSP} 2022 {ADD} Challenge}, journal = {CoRR}, volume = {abs/2201.11400}, year = {2022}, url = {https://arxiv.org/abs/2201.11400}, eprinttype = {arXiv}, eprint = {2201.11400}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-11400.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-13663, author = {Chulun Zhou and Fandong Meng and Jie Zhou and Min Zhang and Hongji Wang and Jinsong Su}, title = {Confidence Based Bidirectional Global Context Aware Training Framework for Neural Machine Translation}, journal = {CoRR}, volume = {abs/2202.13663}, year = {2022}, url = {https://arxiv.org/abs/2202.13663}, eprinttype = {arXiv}, eprint = {2202.13663}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-13663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-00400, author = {Muxin He and Jindan Xu and Wei Xu and Hong Shen and Ning Wang and Chunming Zhao}, title = {RIS-Assisted Quasi-Static Broad Coverage for Wideband mmWave Massive {MIMO} Systems}, journal = {CoRR}, volume = {abs/2203.00400}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.00400}, doi = {10.48550/ARXIV.2203.00400}, eprinttype = {arXiv}, eprint = {2203.00400}, timestamp = {Wed, 17 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-00400.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-11598, author = {Amrita Saha and Steven C. H. Hoi}, title = {Mining Root Cause Knowledge from Cloud Service Incident Investigations for AIOps}, journal = {CoRR}, volume = {abs/2204.11598}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.11598}, doi = {10.48550/ARXIV.2204.11598}, eprinttype = {arXiv}, eprint = {2204.11598}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-11598.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01571, author = {Kuo{-}Wei Chang and Hsu{-}Tung Shih and Tian{-}Sheuan Chang and Shang{-}Hong Tsai and Chih{-}Chyau Yang and Chien{-}Ming Wu and Chun{-}Ming Huang}, title = {A Real Time 1280x720 Object Detection Chip With 585MB/s Memory Traffic}, journal = {CoRR}, volume = {abs/2205.01571}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01571}, doi = {10.48550/ARXIV.2205.01571}, eprinttype = {arXiv}, eprint = {2205.01571}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01571.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-03821, author = {Mingbo Hong and Yuhang Lu and Nianjin Ye and Chunyu Lin and Qijun Zhao and Shuaicheng Liu}, title = {Unsupervised Homography Estimation with Coplanarity-Aware {GAN}}, journal = {CoRR}, volume = {abs/2205.03821}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.03821}, doi = {10.48550/ARXIV.2205.03821}, eprinttype = {arXiv}, eprint = {2205.03821}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-03821.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-05675, author = {Yawei Li and Kai Zhang and Radu Timofte and Luc Van Gool and Fangyuan Kong and Mingxi Li and Songwei Liu and Zongcai Du and Ding Liu and Chenhui Zhou and Jingyi Chen and Qingrui Han and Zheyuan Li and Yingqi Liu and Xiangyu Chen and Haoming Cai and Yu Qiao and Chao Dong and Long Sun and Jinshan Pan and Yi Zhu and Zhikai Zong and Xiaoxiao Liu and Zheng Hui and Tao Yang and Peiran Ren and Xuansong Xie and Xian{-}Sheng Hua and Yanbo Wang and Xiaozhong Ji and Chuming Lin and Donghao Luo and Ying Tai and Chengjie Wang and Zhizhong Zhang and Yuan Xie and Shen Cheng and Ziwei Luo and Lei Yu and Zhihong Wen and Qi Wu and Youwei Li and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Yuanfei Huang and Meiguang Jin and Hua Huang and Jing Liu and Xinjian Zhang and Yan Wang and Lingshun Long and Gen Li and Yuanfan Zhang and Zuowei Cao and Lei Sun and Panaetov Alexander and Yucong Wang and Minjie Cai and Li Wang and Lu Tian and Zheyuan Wang and Hongbing Ma and Jie Liu and Chao Chen and Yidong Cai and et al.}, title = {{NTIRE} 2022 Challenge on Efficient Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2205.05675}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.05675}, doi = {10.48550/ARXIV.2205.05675}, eprinttype = {arXiv}, eprint = {2205.05675}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-05675.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12633, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, journal = {CoRR}, volume = {abs/2205.12633}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12633}, doi = {10.48550/ARXIV.2205.12633}, eprinttype = {arXiv}, eprint = {2205.12633}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04615, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {CoRR}, volume = {abs/2206.04615}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04615}, doi = {10.48550/ARXIV.2206.04615}, eprinttype = {arXiv}, eprint = {2206.04615}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-04945, author = {Jie Qin and Shuaihang Yuan and Jiaxin Chen and Boulbaba Ben Amor and Yi Fang and Nhat Hoang{-}Xuan and Chi{-}Bien Chu and Khoi{-}Nguyen Nguyen{-}Ngoc and Thien{-}Tri Cao and Nhat{-}Khang Ng{\^{o}} and Tuan{-}Luc Huynh and Hai{-}Dang Nguyen and Minh{-}Triet Tran and Haoyang Luo and Jianning Wang and Zheng Zhang and Zihao Xin and Yang Wang and Feng Wang and Ying Tang and Haiqin Chen and Yan Wang and Qunying Zhou and Ji Zhang and Hongyuan Wang}, title = {SHREC'22 Track: Sketch-Based 3D Shape Retrieval in the Wild}, journal = {CoRR}, volume = {abs/2207.04945}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.04945}, doi = {10.48550/ARXIV.2207.04945}, eprinttype = {arXiv}, eprint = {2207.04945}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-04945.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-10856, author = {Hongbin Lin and Yifan Zhang and Zhen Qiu and Shuaicheng Niu and Chuang Gan and Yanxia Liu and Mingkui Tan}, title = {Prototype-Guided Continual Adaptation for Class-Incremental Unsupervised Domain Adaptation}, journal = {CoRR}, volume = {abs/2207.10856}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.10856}, doi = {10.48550/ARXIV.2207.10856}, eprinttype = {arXiv}, eprint = {2207.10856}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-10856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-07552, author = {Juhyung Park and Dongwon Park and Hyeong{-}Geol Shin and Eun{-}Jung Choi and Hongjun An and Minjun Kim and Dongmyung Shin and Se Young Chun and Jongho Lee}, title = {Coil2Coil: Self-supervised {MR} image denoising using phased-array coil images}, journal = {CoRR}, volume = {abs/2208.07552}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.07552}, doi = {10.48550/ARXIV.2208.07552}, eprinttype = {arXiv}, eprint = {2208.07552}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-07552.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-09392, author = {Arpit Bansal and Eitan Borgnia and Hong{-}Min Chu and Jie S. Li and Hamid Kazemi and Furong Huang and Micah Goldblum and Jonas Geiping and Tom Goldstein}, title = {Cold Diffusion: Inverting Arbitrary Image Transforms Without Noise}, journal = {CoRR}, volume = {abs/2208.09392}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.09392}, doi = {10.48550/ARXIV.2208.09392}, eprinttype = {arXiv}, eprint = {2208.09392}, timestamp = {Mon, 22 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-09392.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-00852, author = {Yunning Cao and Ye Ma and Min Zhou and Chuanbin Liu and Hongtao Xie and Tiezheng Ge and Yuning Jiang}, title = {Geometry Aligned Variational Transformer for Image-conditioned Layout Generation}, journal = {CoRR}, volume = {abs/2209.00852}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.00852}, doi = {10.48550/ARXIV.2209.00852}, eprinttype = {arXiv}, eprint = {2209.00852}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-00852.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-11416, author = {Hyung Won Chung and Le Hou and Shayne Longpre and Barret Zoph and Yi Tay and William Fedus and Eric Li and Xuezhi Wang and Mostafa Dehghani and Siddhartha Brahma and Albert Webson and Shixiang Shane Gu and Zhuyun Dai and Mirac Suzgun and Xinyun Chen and Aakanksha Chowdhery and Sharan Narang and Gaurav Mishra and Adams Yu and Vincent Y. Zhao and Yanping Huang and Andrew M. Dai and Hongkun Yu and Slav Petrov and Ed H. Chi and Jeff Dean and Jacob Devlin and Adam Roberts and Denny Zhou and Quoc V. Le and Jason Wei}, title = {Scaling Instruction-Finetuned Language Models}, journal = {CoRR}, volume = {abs/2210.11416}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.11416}, doi = {10.48550/ARXIV.2210.11416}, eprinttype = {arXiv}, eprint = {2210.11416}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-11416.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-16572, author = {Zhong{-}Min Tsai and Yu{-}Ju Tsai and Chien{-}Yao Wang and Hong{-}Yuan Mark Liao and Youn{-}Long Lin and Yung{-}Yu Chuang}, title = {SearchTrack: Multiple Object Tracking with Object-Customized Search and Motion-Aware Features}, journal = {CoRR}, volume = {abs/2210.16572}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.16572}, doi = {10.48550/ARXIV.2210.16572}, eprinttype = {arXiv}, eprint = {2210.16572}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-16572.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-04693, author = {Yuanlong Li and Gaopan Huang and Min Zhou and Chuan Fu and Honglin Qiao and Yan He}, title = {Deep Explainable Learning with Graph Based Data Assessing and Rule Reasoning}, journal = {CoRR}, volume = {abs/2211.04693}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.04693}, doi = {10.48550/ARXIV.2211.04693}, eprinttype = {arXiv}, eprint = {2211.04693}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-04693.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-14574, author = {Dong{-}Ki Noh and Changki Sung and Teayoung Uhm and Wooju Lee and Hyungtae Lim and Jaeseok Choi and Kyuewang Lee and Dasol Hong and Daeho Um and Inseop Chung and Hochul Shin and Min{-}Jung Kim and Hyoung{-}Rock Kim and SeungMin Baek and Hyun Myung}, title = {{X-MAS:} Extremely Large-Scale Multi-Modal Sensor Dataset for Outdoor Surveillance in Real Environments}, journal = {CoRR}, volume = {abs/2212.14574}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.14574}, doi = {10.48550/ARXIV.2212.14574}, eprinttype = {arXiv}, eprint = {2212.14574}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-14574.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChengWXGJH21, author = {Guohua Cheng and Xiaoming Wu and Wending Xiang and Chuan Guo and Hongli Ji and Linyang He}, title = {Segmentation of the Airway Tree From Chest {CT} Using Tiny Atrous Convolutional Network}, journal = {{IEEE} Access}, volume = {9}, pages = {33583--33594}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3059680}, doi = {10.1109/ACCESS.2021.3059680}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChengWXGJH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongHLCL21, author = {Tzung{-}Pei Hong and Wei{-}Ming Huang and Guo{-}Cheng Lan and Ming{-}Chao Chiang and Jerry Chun{-}Wei Lin}, title = {A Bitmap Approach for Mining Erasable Itemsets}, journal = {{IEEE} Access}, volume = {9}, pages = {106029--106038}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3100584}, doi = {10.1109/ACCESS.2021.3100584}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HongHLCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/WuSPTPDTPLTCH21, author = {Christine Xia Wu and Ernest Suresh and Francis Wei Loong Phng and Kai Pik Tai and Janthorn Pakdeethai and Jared Louis Andre D'Souza and Woan Shin Tan and Phillip Phan and Kelvin Sin Min Lew and Gamaliel Yu{-}Heng Tan and Gerald Seng Wee Chua and Chi Hong Hwang}, title = {Effect of a Real-Time Risk Score on 30-day Readmission Reduction in Singapore}, journal = {Appl. Clin. Inform.}, volume = {12}, number = {02}, pages = {372--382}, year = {2021}, url = {https://doi.org/10.1055/s-0041-1726422}, doi = {10.1055/S-0041-1726422}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aci/WuSPTPDTPLTCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/LinASWHD21, author = {Jerry Chun{-}Wei Lin and Usman Ahmed and Gautam Srivastava and Jimmy Ming{-}Tai Wu and Tzung{-}Pei Hong and Youcef Djenouri}, title = {Linguistic frequent pattern mining using a compressed structure}, journal = {Appl. Intell.}, volume = {51}, number = {7}, pages = {4806--4823}, year = {2021}, url = {https://doi.org/10.1007/s10489-020-02080-w}, doi = {10.1007/S10489-020-02080-W}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/LinASWHD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodatamining/FanZZYZHYXLL21, author = {Shuanglong Fan and Zhiqiang Zhao and Yanbo Zhang and Hongmei Yu and ChuChu Zheng and XueQian Huang and Zhenhuan Yang and Meng Xing and Qing Lu and Yanhong Luo}, title = {Probability calibration-based prediction of recurrence rate in patients with diffuse large B-cell lymphoma}, journal = {BioData Min.}, volume = {14}, number = {1}, year = {2021}, url = {https://doi.org/10.1186/s13040-021-00272-9}, doi = {10.1186/S13040-021-00272-9}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodatamining/FanZZYZHYXLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/HaoXHZMZGZHSLYZ21, author = {Yue Hao and Shuiying Xiang and Genquan Han and Jincheng Zhang and Xiaohua Ma and Zhangming Zhu and Xingxing Guo and Yahui Zhang and Yanan Han and Ziwei Song and Yan Liu and Ling Yang and Hong Zhou and Jiangyi Shi and Wei Zhang and Min Xu and Weisheng Zhao and Biao Pan and Yangqi Huang and Qi Liu and Yimao Cai and Jian Zhu and Xin Ou and Tiangui You and Huaqiang Wu and Bin Gao and Zhiyong Zhang and Guoping Guo and Yonghua Chen and Yong Liu and Xiangfei Chen and Chunlai Xue and Xingjun Wang and Lixia Zhao and Xihua Zou and Lianshan Yan and Ming Li}, title = {Recent progress of integrated circuits and optoelectronic chips}, journal = {Sci. China Inf. Sci.}, volume = {64}, number = {10}, year = {2021}, url = {https://doi.org/10.1007/s11432-021-3235-7}, doi = {10.1007/S11432-021-3235-7}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/HaoXHZMZGZHSLYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/YouWHGZWHZJWZSW21, author = {Xiaohu You and Cheng{-}Xiang Wang and Jie Huang and Xiqi Gao and Zaichen Zhang and Mao Wang and Yongming Huang and Chuan Zhang and Yanxiang Jiang and Jiaheng Wang and Min Zhu and Bin Sheng and Dongming Wang and Zhiwen Pan and Pengcheng Zhu and Yang Yang and Zening Liu and Ping Zhang and Xiaofeng Tao and Shaoqian Li and Zhi Chen and Xinying Ma and Chih{-}Lin I and Shuangfeng Han and Ke Li and Chengkang Pan and Zhiming Zheng and Lajos Hanzo and Xuemin (Sherman) Shen and Yingjie Jay Guo and Zhiguo Ding and Harald Haas and Wen Tong and Peiying Zhu and Ganghua Yang and Jue Wang and Erik G. Larsson and Hien Quoc Ngo and Wei Hong and Haiming Wang and Debin Hou and Jixin Chen and Zhe Chen and Zhangcheng Hao and Geoffrey Ye Li and Rahim Tafazolli and Yue Gao and H. Vincent Poor and Gerhard P. Fettweis and Ying{-}Chang Liang}, title = {Towards 6G wireless communication networks: vision, enabling technologies, and new paradigm shifts}, journal = {Sci. China Inf. Sci.}, volume = {64}, number = {1}, year = {2021}, url = {https://doi.org/10.1007/s11432-020-2955-6}, doi = {10.1007/S11432-020-2955-6}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/YouWHGZWHZJWZSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvgip/HuL21, author = {Chuanfeng Hu and Hongwei Lin}, title = {Heterogeneous porous scaffold generation using trivariate B-spline solids and triply periodic minimal surfaces}, journal = {Graph. Model.}, volume = {115}, pages = {101105}, year = {2021}, url = {https://doi.org/10.1016/j.gmod.2021.101105}, doi = {10.1016/J.GMOD.2021.101105}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cvgip/HuL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/WangHMWLXG21, author = {Chunpeng Wang and Qixian Hao and Bin Ma and Xiaoming Wu and Jian Li and Zhiqiu Xia and Hongling Gao}, title = {Octonion continuous orthogonal moments and their applications in color stereoscopic image reconstruction and zero-watermarking}, journal = {Eng. Appl. Artif. Intell.}, volume = {106}, pages = {104450}, year = {2021}, url = {https://doi.org/10.1016/j.engappai.2021.104450}, doi = {10.1016/J.ENGAPPAI.2021.104450}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/WangHMWLXG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/elektrik/LeNCV21, author = {Van Hoa Le and Hong Quoc Nguyen and Dang Thanh Chuong and Viet Minh Nhat Vo}, title = {A model of service differentiation burst assembling and padding for improving transmission efficiency in {OBS} networks}, journal = {Turkish J. Electr. Eng. Comput. Sci.}, volume = {29}, number = {7}, pages = {3133--3149}, year = {2021}, url = {https://doi.org/10.3906/elk-2104-161}, doi = {10.3906/ELK-2104-161}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/elektrik/LeNCV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/JinLSL21, author = {Hongyu Jin and Shijing Liu and Jide Sun and Chunlu Liu}, title = {Determining concession periods and minimum revenue guarantees in public-private-partnership agreements}, journal = {Eur. J. Oper. Res.}, volume = {291}, number = {2}, pages = {512--524}, year = {2021}, url = {https://doi.org/10.1016/j.ejor.2019.12.013}, doi = {10.1016/J.EJOR.2019.12.013}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/JinLSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/SunSDPZ21, author = {Yi Sun and Hong Shen and Zhenguo Du and Lan Peng and Chunming Zhao}, title = {ICINet: ICI-Aware Neural Network Based Channel Estimation for Rapidly Time-Varying {OFDM} Systems}, journal = {{IEEE} Commun. Lett.}, volume = {25}, number = {9}, pages = {2973--2977}, year = {2021}, url = {https://doi.org/10.1109/LCOMM.2021.3090151}, doi = {10.1109/LCOMM.2021.3090151}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/SunSDPZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ChoiSJPKCJK21, author = {Hongrok Choi and Sangwon Seo and Daeyoung Jung and Sangheon Pack and Min Wook Kang and Yun Won Chung and Hyungjun Joo and Kyungran Kang}, title = {Design and implementation of integrated tactical mobility testbed}, journal = {{ICT} Express}, volume = {7}, number = {1}, pages = {23--27}, year = {2021}, url = {https://doi.org/10.1016/j.icte.2021.01.011}, doi = {10.1016/J.ICTE.2021.01.011}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/ChoiSJPKCJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ChuLYSDMFS21, author = {Yonghe Chu and Hongfei Lin and Liang Yang and Shichang Sun and Yufeng Diao and Changrong Min and Xiaochao Fan and Chen Shen}, title = {Hyperspectral image classification with discriminative manifold broad learning system}, journal = {Neurocomputing}, volume = {442}, pages = {236--248}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.01.120}, doi = {10.1016/J.NEUCOM.2021.01.120}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/ChuLYSDMFS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpe/ZhaoSZY21, author = {Chuan Zhao and Yan Song and Min Zuo and Hongji Yang}, title = {Performance Analysis for Green Food in Dual-Channel Supply Chain Considering Fairness Concern}, journal = {Int. J. Perform. Eng.}, volume = {17}, number = {1}, pages = {155--166}, year = {2021}, url = {https://doi.org/10.23940/ijpe.21.01.p15.155166}, doi = {10.23940/IJPE.21.01.P15.155166}, timestamp = {Thu, 01 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpe/ZhaoSZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/GuoYZLJL21, author = {Fengxian Guo and F. Richard Yu and Heli Zhang and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Enabling Massive IoT Toward 6G: {A} Comprehensive Survey}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {15}, pages = {11891--11915}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3063686}, doi = {10.1109/JIOT.2021.3063686}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/GuoYZLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/WuYLKL21, author = {Yan Wu and Qinghai Yang and Hongyan Li and Kyung Sup Kwak and Victor C. M. Leung}, title = {Control-Aware Energy-Efficient Transmissions for Wireless Control Systems With Short Packets}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {19}, pages = {14920--14933}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3072996}, doi = {10.1109/JIOT.2021.3072996}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/WuYLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/ChengHZZY21, author = {Chen Cheng and Chunhong Hu and Shengli Zhou and Hongyan Zhao and Ming Yu}, title = {Qualitative Diagnosis of Solid Breast Mass by Blood Flow in Solid Breast Mass}, journal = {J. Medical Imaging Health Informatics}, volume = {11}, number = {7}, pages = {1887--1894}, year = {2021}, url = {https://doi.org/10.1166/jmihi.2021.3593}, doi = {10.1166/JMIHI.2021.3593}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/ChengHZZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/QinZDZY21, author = {Chuan Qin and Weiming Zhang and Xiaoyi Dong and Hongyue Zha and Nenghai Yu}, title = {Adversarial steganography based on sparse cover enhancement}, journal = {J. Vis. Commun. Image Represent.}, volume = {80}, pages = {103325}, year = {2021}, url = {https://doi.org/10.1016/j.jvcir.2021.103325}, doi = {10.1016/J.JVCIR.2021.103325}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/QinZDZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/ZhangKZHXWYLZ21, author = {Yanyi Zhang and Ming Kong and Tianqi Zhao and Wenchen Hong and Di Xie and Chunmao Wang and Rongwang Yang and Rong Li and Qiang Zhu}, title = {Auxiliary diagnostic system for {ADHD} in children based on {AI} technology}, journal = {Frontiers Inf. Technol. Electron. Eng.}, volume = {22}, number = {3}, pages = {400--414}, year = {2021}, url = {https://doi.org/10.1631/FITEE.1900729}, doi = {10.1631/FITEE.1900729}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jzusc/ZhangKZHXWYLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LiZLFD21, author = {Chun{-}Lai Li and Yang Zhou and Hong{-}Min Li and Wei Feng and Jian{-}Rong Du}, title = {Image encryption scheme with bit-level scrambling and multiplication diffusion}, journal = {Multim. Tools Appl.}, volume = {80}, number = {12}, pages = {18479--18501}, year = {2021}, url = {https://doi.org/10.1007/s11042-021-10631-7}, doi = {10.1007/S11042-021-10631-7}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LiZLFD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ZhangTYLZH21, author = {Hong Zhang and Chunwei Tian and Lei You and Zhengming Li and Ming Zong and Kan Huang}, title = {Design and implementation on matching between music and color}, journal = {Multim. Tools Appl.}, volume = {80}, number = {21}, pages = {32091--32109}, year = {2021}, url = {https://doi.org/10.1007/s11042-021-11162-x}, doi = {10.1007/S11042-021-11162-X}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ZhangTYLZH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueB0ZXHZLZCSMZ21, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Shuhui Song and Lina Ma and Dong Zou and Dongmei Tian and Cuiping Li and Junwei Zhu and Zheng Gong and Meili Chen and Anke Wang and Yingke Ma and Mengwei Li and Xufei Teng and Ying Cui and Guangya Duan and Mochen Zhang and Tong Jin and Chengmin Shi and Zhenglin Du and Yadong Zhang and Chuandong Liu and Rujiao Li and Jingyao Zeng and Lili Hao and Shuai Jiang and Hua Chen and Dali Han and Tao Zhang and Wang Kang and Fei Yang and Jing Qu and Weiqi Zhang and Guanghui Liu and Lin Liu and Yang Zhang and Guangyi Niu and Tongtong Zhu and Changrui Feng and Xiaonan Liu and Yuansheng Zhang and Zhao Li and Ruru Chen and Qianpeng Li and Zhongyi Hua and Chao Jiang and Ziyuan Chen and Fangshu He and Yuyang Zhao and Yan Jin and Luqi Huang and Yuan Yuan and Chenfen Zhou and Qingwei Xu and Sheng He and Wei Ye and Ruifang Cao and Pengyu Wang and Yunchao Ling and Xing Yan and Qingzhong Wang and Qiang Du and Wenting Zong and Hongen Kang and Zhuang Xiong and Wendi Huan and Sirui Zhang and Qiguang Xia and Xiaojuan Fan and Zefeng Wang and Xu Chen and Tingting Chen and Sisi Zhang and Bixia Tang and Lili Dong and Zhewen Zhang and Zhonghuang Wang and Hailong Kang and Yanqing Wang and Song Wu and Ming Chen and Chang Liu and Yujia Xiong and Xueying Shao and Yanyan Li and Honghong Zhou and Xiaomin Chen and Yu Zheng and Quan Kang and Di Hao and Lili Zhang and Huaxia Luo and Yajing Hao and Peng Zhang and Zhi Nie and Shuhuan Yu and Jian Sang and Zhaohua Li and Xiangquan Zhang and Qing Zhou and Shuang Zhai and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Jun Yan and Chen Li and Zhennan Wang and Xiangfeng Wang and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Hai{-}Chun Jing and Lianhe Zhao and Jiajia Wang and Tinrui Song and Yi Zhao and Furrukh Mehmood and Shahid Ali and Amjad Ali and Shoaib Saleem and Irfan Hussain and Amir Ali Abbasi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Yiran Tu and Yu Xue and Wanying Wu and Peifeng Ji and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Wanshan Ning and Shaofeng Lin and Teng Liu and An{-}Yuan Guo and Hao Yuan and Yong E. Zhang and Xiaodan Tan and Weizhi Zhang and Yubin Xie and Chenwei Wang and Chun{-}Jie Liu and De{-}Chang Yang and Feng Tian and Ge Gao and Dachao Tang and Lan Yao and Qinghua Cui and Ni A. An and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D18--D28}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1022}, doi = {10.1093/NAR/GKAA1022}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueB0ZXHZLZCSMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/PuFZYLLZS21, author = {Haitao Pu and Mingqu Fan and Hong{-}Bin Zhang and Bi{-}Zhen You and Jinjiao Lin and Chunfang Liu and Yanze Zhao and Rui Song}, title = {Predicting academic performance of students in Chinese-foreign cooperation in running schools with graph convolutional network}, journal = {Neural Comput. Appl.}, volume = {33}, number = {2}, pages = {637--645}, year = {2021}, url = {https://doi.org/10.1007/s00521-020-05045-9}, doi = {10.1007/S00521-020-05045-9}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/PuFZYLLZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/HossainGRLWW21, author = {M. Shamim Hossain and Nadra Guizani and Ammar Rayes and Victor C. M. Leung and Honggang Wang and Cheng{-}Xiang Wang}, title = {Guest Editorial: AI-Enabled Networking Technologies for Tackling Epidemic Diseases}, journal = {{IEEE} Netw.}, volume = {35}, number = {3}, pages = {12--13}, year = {2021}, url = {https://doi.org/10.1109/MNET.2021.9454555}, doi = {10.1109/MNET.2021.9454555}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/HossainGRLWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/FengZWYFSZLZZW21, author = {Ruimin Feng and Jiayi Zhao and He Wang and Baofeng Yang and Jie Feng and Yuting Shi and Ming Zhang and Chunlei Liu and Yuyao Zhang and Jie Zhuang and Hongjiang Wei}, title = {MoDL-QSM: Model-based deep learning for quantitative susceptibility mapping}, journal = {NeuroImage}, volume = {240}, pages = {118376}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118376}, doi = {10.1016/J.NEUROIMAGE.2021.118376}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/FengZWYFSZLZZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ChungLPLKSKCH21, author = {Chaeyeon Chung and Jungsoo Lee and Kyungmin Park and Junsoo Lee and Minjae Kim and Mookyung Song and Yeonwoo Kim and Jaegul Choo and Sungsoo Ray Hong}, title = {Understanding Human-side Impact of Sampling Image Batches in Subjective Attribute Labeling}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {5}, number = {{CSCW2}}, pages = {296:1--296:26}, year = {2021}, url = {https://doi.org/10.1145/3476037}, doi = {10.1145/3476037}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/ChungLPLKSKCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AnXHKSLHZ21, author = {Gangqiang An and Minfeng Xing and Binbin He and Haiqi Kang and Jiali Shang and Chunhua Liao and Xiaodong Huang and Hongguo Zhang}, title = {Extraction of Areas of Rice False Smut Infection Using {UAV} Hyperspectral Data}, journal = {Remote. Sens.}, volume = {13}, number = {16}, pages = {3185}, year = {2021}, url = {https://doi.org/10.3390/rs13163185}, doi = {10.3390/RS13163185}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/AnXHKSLHZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HeYZZLZ21, author = {Xiong He and Xiaodie Yuan and Dahao Zhang and Rongrong Zhang and Ming Li and Chunshan Zhou}, title = {Delineation of Urban Agglomeration Boundary Based on Multisource Big Data Fusion - {A} Case Study of Guangdong-Hong Kong-Macao Greater Bay Area {(GBA)}}, journal = {Remote. Sens.}, volume = {13}, number = {9}, pages = {1801}, year = {2021}, url = {https://doi.org/10.3390/rs13091801}, doi = {10.3390/RS13091801}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HeYZZLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HongSFDLDZWLDLL21, author = {Tiansheng Hong and Yan Su and Mingyi Fan and Shun Dai and Peng Lv and Chunyu Ding and Zongyu Zhang and Ruigang Wang and Chendi Liu and Wei Du and Shuning Liu and Chunlai Li}, title = {Flight Experiment Validation of Altitude Measurement Performance of {MOSIR} on Tianwen-1 Orbiter}, journal = {Remote. Sens.}, volume = {13}, number = {24}, pages = {5049}, year = {2021}, url = {https://doi.org/10.3390/rs13245049}, doi = {10.3390/RS13245049}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HongSFDLDZWLDLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HuangLLQAWYH21, author = {Chuan Huang and Zhongyu Li and Mingyue Lou and Xingye Qiu and Hongyang An and Junjie Wu and Jianyu Yang and Wei Huang}, title = {BeiDou-Based Passive Radar Vessel Target Detection: Method and Experiment via Long-Time Optimized Integration}, journal = {Remote. Sens.}, volume = {13}, number = {19}, pages = {3933}, year = {2021}, url = {https://doi.org/10.3390/rs13193933}, doi = {10.3390/RS13193933}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HuangLLQAWYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangTHYLW21, author = {Ming{-}Der Yang and Hsin{-}Hung Tseng and Yu{-}Chun Hsu and Chin{-}Ying Yang and Ming{-}Hsin Lai and Dong{-}Hong Wu}, title = {A {UAV} Open Dataset of Rice Paddies for Deep Learning Practice}, journal = {Remote. Sens.}, volume = {13}, number = {7}, pages = {1358}, year = {2021}, url = {https://doi.org/10.3390/rs13071358}, doi = {10.3390/RS13071358}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/YangTHYLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangFZWTXYW21, author = {Xuefei Zhang and Min Feng and Hong Zhang and Chao Wang and Yixian Tang and Jinhao Xu and Dezhao Yan and Chunling Wang}, title = {Detecting Rock Glacier Displacement in the Central Himalayas Using Multi-Temporal InSAR}, journal = {Remote. Sens.}, volume = {13}, number = {23}, pages = {4738}, year = {2021}, url = {https://doi.org/10.3390/rs13234738}, doi = {10.3390/RS13234738}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangFZWTXYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/DongLYZPC21, author = {Chuanzhi Dong and Liangding Li and Jin Yan and Zhiming Zhang and Hong Pan and Fikret Necati Catbas}, title = {Pixel-Level Fatigue Crack Segmentation in Large-Scale Images of Steel Structures Using an Encoder-Decoder Network}, journal = {Sensors}, volume = {21}, number = {12}, pages = {4135}, year = {2021}, url = {https://doi.org/10.3390/s21124135}, doi = {10.3390/S21124135}, timestamp = {Thu, 27 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/DongLYZPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongBPCS21, author = {Sungchul Hong and Antyanta Bangunharcana and Jae{-}Min Park and Minseong Choi and Hyu{-}Soung Shin}, title = {Visual SLAM-Based Robotic Mapping Method for Planetary Construction}, journal = {Sensors}, volume = {21}, number = {22}, pages = {7715}, year = {2021}, url = {https://doi.org/10.3390/s21227715}, doi = {10.3390/S21227715}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HongBPCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NohKKPJLL21, author = {Seungwoo Noh and Jinmyeong Kim and Gahyeon Kim and Chulhwan Park and Hongje Jang and Min{-}Ho Lee and Taek Lee}, title = {Recent Advances in {CRP} Biosensor Based on Electrical, Electrochemical and Optical Methods}, journal = {Sensors}, volume = {21}, number = {9}, pages = {3024}, year = {2021}, url = {https://doi.org/10.3390/s21093024}, doi = {10.3390/S21093024}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NohKKPJLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangHTLYLW21, author = {Ming{-}Der Yang and Yu{-}Chun Hsu and Wei{-}Cheng Tseng and Chian{-}Yu Lu and Chin{-}Ying Yang and Ming{-}Hsin Lai and Dong{-}Hong Wu}, title = {Assessment of Grain Harvest Moisture Content Using Machine Learning on Smartphone Images for Optimal Harvest Timing}, journal = {Sensors}, volume = {21}, number = {17}, pages = {5875}, year = {2021}, url = {https://doi.org/10.3390/s21175875}, doi = {10.3390/S21175875}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangHTLYLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YinCQQH21, author = {Jie Yin and Hongtao Chu and Shili Qin and Haiyan Qi and Minggang Hu}, title = {Preparation of Eu0.075Tb0.925-Metal Organic Framework as a Fluorescent Probe and Application in the Detection of Fe3+ and Cr2O72-}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7355}, year = {2021}, url = {https://doi.org/10.3390/s21217355}, doi = {10.3390/S21217355}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YinCQQH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamco/HanLZF21, author = {Chunyan Han and Hongdan Li and Huanshui Zhang and Minyue Fu}, title = {Optimal Control and Stabilization for Discrete-Time Markov Jump Linear Systems with Input Delay}, journal = {{SIAM} J. Control. Optim.}, volume = {59}, number = {5}, pages = {3524--3551}, year = {2021}, url = {https://doi.org/10.1137/19M1303484}, doi = {10.1137/19M1303484}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/siamco/HanLZF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/AlhoSCRHB21, author = {Andre Romano Alho and Takanori Sakai and Ming Hong Chua and Max Raven and Yusuke Hara and Moshe E. Ben{-}Akiva}, title = {Assessing the reproducibility of freight vehicle flows using tour and trip-based models for shipment-to-vehicle flow conversion}, journal = {Simul. Model. Pract. Theory}, volume = {107}, pages = {102207}, year = {2021}, url = {https://doi.org/10.1016/j.simpat.2020.102207}, doi = {10.1016/J.SIMPAT.2020.102207}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/AlhoSCRHB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/HongWSC21, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Ming{-}Hsiang Su and Chia{-}Cheng Chang}, title = {Exploring Macroscopic and Microscopic Fluctuations of Elicited Facial Expressions for Mood Disorder Classification}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {12}, number = {4}, pages = {989--1001}, year = {2021}, url = {https://doi.org/10.1109/TAFFC.2019.2909873}, doi = {10.1109/TAFFC.2019.2909873}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/HongWSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ParkKLKCHKJ21, author = {Yechan Park and Seok{-}Tae Koh and Jeongeun Lee and Hongkyun Kim and Jaesuk Choi and Sohmyung Ha and Chul Kim and Minkyu Je}, title = {A Wireless Power and Data Transfer {IC} for Neural Prostheses Using a Single Inductive Link With Frequency-Splitting Characteristic}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {6}, pages = {1306--1319}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3135843}, doi = {10.1109/TBCAS.2021.3135843}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/ParkKLKCHKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/HeXSPZX21, author = {Muxin He and Wei Xu and Hong Shen and Cunhua Pan and Chunming Zhao and Guo Xie}, title = {Is Multipath Channel Beneficial for Wideband Massive {MIMO} With Low-Resolution ADCs?}, journal = {{IEEE} Trans. Commun.}, volume = {69}, number = {6}, pages = {4083--4097}, year = {2021}, url = {https://doi.org/10.1109/TCOMM.2021.3060036}, doi = {10.1109/TCOMM.2021.3060036}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/HeXSPZX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/ShenXGZN21, author = {Hong Shen and Wei Xu and Shulei Gong and Chunming Zhao and Derrick Wing Kwan Ng}, title = {Beamforming Optimization for IRS-Aided Communications With Transceiver Hardware Impairments}, journal = {{IEEE} Trans. Commun.}, volume = {69}, number = {2}, pages = {1214--1227}, year = {2021}, url = {https://doi.org/10.1109/TCOMM.2020.3033575}, doi = {10.1109/TCOMM.2020.3033575}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/ShenXGZN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/YangMHCL21, author = {Cheng{-}Hong Yang and Sin{-}Hua Moi and Ming{-}Feng Hou and Li{-}Yeh Chuang and Yu{-}Da Lin}, title = {Applications of Deep Learning and Fuzzy Systems to Detect Cancer Mortality in Next-Generation Genomic Data}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {29}, number = {12}, pages = {3833--3844}, year = {2021}, url = {https://doi.org/10.1109/TFUZZ.2020.3028909}, doi = {10.1109/TFUZZ.2020.3028909}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/YangMHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/FengZLZQF21, author = {Liangjun Feng and Chunhui Zhao and Yuanlong Li and Min Zhou and Honglin Qiao and Chuan Fu}, title = {Multichannel Diffusion Graph Convolutional Network for the Prediction of Endpoint Composition in the Converter Steelmaking Process}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--13}, year = {2021}, url = {https://doi.org/10.1109/TIM.2020.3037953}, doi = {10.1109/TIM.2020.3037953}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/FengZLZQF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/XuGCFLL21, author = {Lei Xu and Hongcan Gu and Ming Chang and Liqing Fang and Pengfei Lin and Chunsheng Lin}, title = {Magnetic Target Linear Location Method Using Two-Point Gradient Full Tensor}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--8}, year = {2021}, url = {https://doi.org/10.1109/TIM.2021.3084283}, doi = {10.1109/TIM.2021.3084283}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/XuGCFLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ZhuHLWGHX21, author = {Hongbo Zhu and Guangjie Han and Chuan Lin and Min Wang and Mohsen Guizani and Jianxia Hou and Wei Xing}, title = {Two-Way MR-Forest Based Growing Path Classification for Malignancy Estimation of Pulmonary Nodules}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {25}, number = {10}, pages = {3752--3762}, year = {2021}, url = {https://doi.org/10.1109/JBHI.2021.3057627}, doi = {10.1109/JBHI.2021.3057627}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ZhuHLWGHX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkdd/GanLZYFCY21, author = {Wensheng Gan and Jerry Chun{-}Wei Lin and Jiexiong Zhang and Hongzhi Yin and Philippe Fournier{-}Viger and Han{-}Chieh Chao and Philip S. Yu}, title = {Utility Mining Across Multi-Dimensional Sequences}, journal = {{ACM} Trans. Knowl. Discov. Data}, volume = {15}, number = {5}, pages = {82:1--82:24}, year = {2021}, url = {https://doi.org/10.1145/3446938}, doi = {10.1145/3446938}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkdd/GanLZYFCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkdd/JiYYZZSF21, author = {Yugang Ji and Mingyang Yin and Hongxia Yang and Jingren Zhou and Vincent W. Zheng and Chuan Shi and Yuan Fang}, title = {Accelerating Large-Scale Heterogeneous Interaction Graph Embedding Learning via Importance Sampling}, journal = {{ACM} Trans. Knowl. Discov. Data}, volume = {15}, number = {1}, pages = {10:1--10:23}, year = {2021}, url = {https://doi.org/10.1145/3418684}, doi = {10.1145/3418684}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkdd/JiYYZZSF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/XuXLYZCZ21, author = {Jingyuan Xu and Hongtao Xie and Chuanbin Liu and Fang Yang and Sicheng Zhang and Xun Chen and Yongdong Zhang}, title = {Hip Landmark Detection With Dependency Mining in Ultrasound Image}, journal = {{IEEE} Trans. Medical Imaging}, volume = {40}, number = {12}, pages = {3762--3774}, year = {2021}, url = {https://doi.org/10.1109/TMI.2021.3097355}, doi = {10.1109/TMI.2021.3097355}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/XuXLYZCZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/WeiLLTWL21, author = {Wen{-}Li Wei and Jen{-}Chun Lin and Tyng{-}Luh Liu and Hsiao{-}Rong Tyan and Hsin{-}Min Wang and Hong{-}Yuan Mark Liao}, title = {Learning to Visualize Music Through Shot Sequence for Automatic Concert Video Mashup}, journal = {{IEEE} Trans. Multim.}, volume = {23}, pages = {1731--1743}, year = {2021}, url = {https://doi.org/10.1109/TMM.2020.3003631}, doi = {10.1109/TMM.2020.3003631}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/WeiLLTWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/LinYNTWL21, author = {Sheng{-}Chieh Lin and Jheng{-}Hong Yang and Rodrigo Frassetto Nogueira and Ming{-}Feng Tsai and Chuan{-}Ju Wang and Jimmy Lin}, title = {Multi-Stage Conversational Passage Retrieval: An Approach to Fusing Term Importance Estimation and Neural Query Rewriting}, journal = {{ACM} Trans. Inf. Syst.}, volume = {39}, number = {4}, pages = {48:1--48:29}, year = {2021}, url = {https://doi.org/10.1145/3446426}, doi = {10.1145/3446426}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tois/LinYNTWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/HongHKCKLK21, author = {Duwon Hong and Keonsoo Ha and Minseok Ko and Myoungjun Chun and Yoona Kim and Sungjin Lee and Jihong Kim}, title = {Reparo: {A} Fast {RAID} Recovery Scheme for Ultra-large SSDs}, journal = {{ACM} Trans. Storage}, volume = {17}, number = {3}, pages = {21:1--21:24}, year = {2021}, url = {https://doi.org/10.1145/3450977}, doi = {10.1145/3450977}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tos/HongHKCKLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/WangGFZL21, author = {Qianpeng Wang and Deyun Gao and Chuan Heng Foh and Hongke Zhang and Victor C. M. Leung}, title = {Protocols Design and Area Division for Privacy-Preserving Delay-Aware Authentication in Vehicular Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {11}, pages = {11129--11144}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3116262}, doi = {10.1109/TVT.2021.3116262}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/WangGFZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/HeXSXZR21, author = {Muxin He and Wei Xu and Hong Shen and Guo Xie and Chunming Zhao and Marco Di Renzo}, title = {Cooperative Multi-RIS Communications for Wideband mmWave {MISO-OFDM} Systems}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {10}, number = {11}, pages = {2360--2364}, year = {2021}, url = {https://doi.org/10.1109/LWC.2021.3100479}, doi = {10.1109/LWC.2021.3100479}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wcl/HeXSXZR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/HongWNW21, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Thanh Binh Nguyen and Hsin{-}Min Wang}, title = {Improvement of Spatial Ambiguity in Multi-Channel Speech Separation Using Channel Attention}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {619--623}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689352}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/HongWNW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crypto/ChungCWS21, author = {Kai{-}Min Chung and T.{-}H. Hubert Chan and Ting Wen and Elaine Shi}, editor = {Tal Malkin and Chris Peikert}, title = {Game-Theoretic Fairness Meets Multi-party Protocols: The Case of Leader Election}, booktitle = {Advances in Cryptology - {CRYPTO} 2021 - 41st Annual International Cryptology Conference, {CRYPTO} 2021, Virtual Event, August 16-20, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12826}, pages = {3--32}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-84245-1\_1}, doi = {10.1007/978-3-030-84245-1\_1}, timestamp = {Mon, 16 Aug 2021 09:08:14 +0200}, biburl = {https://dblp.org/rec/conf/crypto/ChungCWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiNMZYYFMPRABC21, author = {Shubham Rai and Walter Lau Neto and Yukio Miyasaka and Xinpei Zhang and Mingfei Yu and Qingyang Yi and Masahiro Fujita and Guilherme B. Manske and Matheus F. Pontes and Leomar S. da Rosa and Marilton S. de Aguiar and Paulo F. Butzen and Po{-}Chun Chien and Yu{-}Shan Huang and Hoa{-}Ren Wang and Jie{-}Hong R. Jiang and Jiaqi Gu and Zheng Zhao and Zixuan Jiang and David Z. Pan and Brunno A. Abreu and Isac de Souza Campos and Augusto Andre Souza Berndt and Cristina Meinhardt and J{\^{o}}nata Tyska Carvalho and Mateus Grellert and Sergio Bampi and Aditya Lohana and Akash Kumar and Wei Zeng and Azadeh Davoodi and Rasit Onur Topaloglu and Yuan Zhou and Jordan Dotzel and Yichi Zhang and Hanyu Wang and Zhiru Zhang and Valerio Tenace and Pierre{-}Emmanuel Gaillardon and Alan Mishchenko and Satrajit Chatterjee}, title = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1026--1031}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473972}, doi = {10.23919/DATE51398.2021.9473972}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RaiNMZYYFMPRABC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/GuoWHQCLWM21, author = {Xiaoyi Guo and Zhongpeng Wang and Feng He and Hongzhi Qi and Long Chen and Chunyu Li and Yanchen Wang and Dong Ming}, title = {A High-Precision, Low-Cost, Wireless, Multi-Channel Electrogastrography System}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {6779--6782}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9629576}, doi = {10.1109/EMBC46164.2021.9629576}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/GuoWHQCLWM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/MinCY0L21, author = {Changrong Min and Yonghe Chu and Liang Yang and Bo Xu and Hongfei Lin}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {Locality Preserving Sentence Encoding}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 16-20 November, 2021}, pages = {3050--3060}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-emnlp.262}, doi = {10.18653/V1/2021.FINDINGS-EMNLP.262}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/MinCY0L21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ChoSPOLHKJ21, author = {Donghee Cho and Hongseok Shin and Hyunwoo Park and Sein Oh and Taeju Lee and Sohmyung Ha and Chul Kim and Minkyu Je}, title = {A Load-Current-Regulating {OLED} Lamp Driver Using a Hybrid Step-Up Converter with 93.21{\%} Efficiency at a High Conversion Ratio of 4.1}, booktitle = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR} 2021, Grenoble, France, September 13-22, 2021}, pages = {315--318}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSCIRC53450.2021.9567889}, doi = {10.1109/ESSCIRC53450.2021.9567889}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/ChoSPOLHKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ZhengLH21, author = {Xin{-}Ying Zheng and Ming{-}Chun Lee and Y.{-}W. Peter Hong}, title = {Knowledge Caching for Federated Learning}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2021, Madrid, Spain, December 7-11, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GLOBECOM46510.2021.9685861}, doi = {10.1109/GLOBECOM46510.2021.9685861}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ZhengLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeeH21, author = {Ming{-}Chun Lee and Yao{-}Win Peter Hong}, title = {Socially-Aware Joint Recommendation and Caching Policy Design in Wireless {D2D} Networks}, booktitle = {{ICC} 2021 - {IEEE} International Conference on Communications, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICC42927.2021.9500378}, doi = {10.1109/ICC42927.2021.9500378}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LeeH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/TsaiCYYCCY21, author = {Shu{-}Min Tsai and Ming{-}Lin Chuang and Hong{-}Wei Yan and Yung{-}Cheng Yao and Guan{-}Wei Chen and Lu{-}Hung Chen and Hao{-}An Yang}, title = {Identification System of Fish Freshness Based on Deep Learning}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9602879}, doi = {10.1109/ICCE-TW52618.2021.9602879}, timestamp = {Tue, 23 Nov 2021 09:27:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/TsaiCYYCCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/WuCWWZZ21, author = {Ao Wu and Hongwei Chen and Chunzhi Wang and Ming Wei and Xianjing Zhou and Yucheng Zhang}, title = {Study on Dynamic Equilibrium Factor based on Gauss-Cauchy Distribution in Artificial Bee Colony Algorithm}, booktitle = {16th International Conference on Computer Science {\&} Education, {ICCSE} 2021, Lancaster, United Kingdom, August 17-21, 2021}, pages = {607--611}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCSE51940.2021.9569272}, doi = {10.1109/ICCSE51940.2021.9569272}, timestamp = {Wed, 03 Nov 2021 16:14:37 +0100}, biburl = {https://dblp.org/rec/conf/iccse2/WuCWWZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ZhouZLCCG21, author = {Yunsong Zhou and Hongzi Zhu and Chunqin Li and Tiankai Cui and Shan Chang and Minyi Guo}, title = {TempNet: Online Semantic Segmentation on Large-scale Point Cloud Series}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {7098--7107}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00703}, doi = {10.1109/ICCV48922.2021.00703}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ZhouZLCCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/CaoHWWYZZZGHHHL21, author = {Yaru Cao and Zhijian He and Lujia Wang and Wenguan Wang and Yixuan Yuan and Dingwen Zhang and Jinglin Zhang and Pengfei Zhu and Luc Van Gool and Junwei Han and Steven C. H. Hoi and Qinghua Hu and Ming Liu and Chong Cheng and Fanfan Liu and Guojin Cao and Guozhen Li and Hongkai Wang and Jianye He and Junfeng Wan and Qi Wan and Qi Zhao and Shuchang Lyu and Wenzhe Zhao and Xiaoqiang Lu and Xingkui Zhu and Yingjie Liu and Yixuan Lv and Yujing Ma and Yuting Yang and Zhe Wang and Zhenyu Xu and Zhipeng Luo and Zhimin Zhang and Zhiguang Zhang and Zihao Li and Zixiao Zhang}, title = {VisDrone-DET2021: The Vision Meets Drone Object detection Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {2847--2854}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00319}, doi = {10.1109/ICCVW54120.2021.00319}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/CaoHWWYZZZGHHHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/ChenWHWYZZZGHHH21, author = {Guanlin Chen and Wenguan Wang and Zhijian He and Lujia Wang and Yixuan Yuan and Dingwen Zhang and Jinglin Zhang and Pengfei Zhu and Luc Van Gool and Junwei Han and Steven Chu{-}Hong Hoi and Qinghua Hu and Ming Liu and Andrea Sciarrone and Chao Sun and Chiara Garibotto and Duong Nguyen{-}Ngoc Tran and Fabio Lavagetto and Halar Haleem and Hakki Motorcu and Hasan F. Ates and Huy{-}Hung Nguyen and Hyung{-}Joon Jeon and Igor Bisio and Jae Wook Jeon and Jiahao Li and Long Hoang Pham and Moongu Jeon and Qianyu Feng and Shengwen Li and Tai Huu{-}Phuong Tran and Xiao Pan and Young{-}Min Song and Yuehan Yao and Yunhao Du and Zhenyu Xu and Zhipeng Luo}, title = {VisDrone-MOT2021: The Vision Meets Drone Multiple Object Tracking Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {2839--2846}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00318}, doi = {10.1109/ICCVW54120.2021.00318}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/ChenWHWYZZZGHHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/LiuHWWYZZZGHHHL21, author = {Zhihao Liu and Zhijian He and Lujia Wang and Wenguan Wang and Yixuan Yuan and Dingwen Zhang and Jinglin Zhang and Pengfei Zhu and Luc Van Gool and Junwei Han and Steven C. H. Hoi and Qinghua Hu and Ming Liu and Junwen Pan and Baoqun Yin and Binyu Zhang and Chengxin Liu and Ding Ding and Dingkang Liang and Guanchen Ding and Hao Lu and Hui Lin and Jingyuan Chen and Jiong Li and Liang Liu and Lin Zhou and Min Shi and Qianqian Yang and Qing He and Sifan Peng and Wei Xu and Wenwei Han and Xiang Bai and Xiwu Chen and Yabin Wang and Yinfeng Xia and Yiran Tao and Zhenzhong Chen and Zhiguo Cao}, title = {VisDrone-CC2021: The Vision Meets Drone Crowd Counting Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {2830--2838}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00317}, doi = {10.1109/ICCVW54120.2021.00317}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/LiuHWWYZZZGHHHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/ChenSYLSYC21, author = {Hsi{-}Wen Chen and Hong{-}Han Shuai and De{-}Nian Yang and Wang{-}Chien Lee and Chuan Shi and Philip S. Yu and Ming{-}Syan Chen}, title = {Structure-Aware Parameter-Free Group Query via Heterogeneous Information Network Transformer}, booktitle = {37th {IEEE} International Conference on Data Engineering, {ICDE} 2021, Chania, Greece, April 19-22, 2021}, pages = {2075--2080}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICDE51399.2021.00203}, doi = {10.1109/ICDE51399.2021.00203}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/ChenSYLSYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/NiCCCSG21, author = {Renkun Ni and Hong{-}Min Chu and Oscar Casta{\~{n}}eda and Ping{-}yeh Chiang and Christoph Studer and Tom Goldstein}, title = {WrapNet: Neural Net Inference with Ultra-Low-Precision Arithmetic}, booktitle = {9th International Conference on Learning Representations, {ICLR} 2021, Virtual Event, Austria, May 3-7, 2021}, publisher = {OpenReview.net}, year = {2021}, url = {https://openreview.net/forum?id=3SqrRe8FWQ-}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/NiCCCSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/YeowCKHLTHMH021, author = {Bok Seng Yeow and Catherine Jiayi Cai and Manivannan Sivaperuman Kalairaj and Feng Wen Hoo and Zu Xuan Lee and Janice Chui Shien Tan and Jian Rong Ho and Vienna Minhui Ma and Hui Huang and Hongliang Ren}, title = {Origami-Inspired Snap-through Bistability in Parallel and Curved Mechanisms Through the Inflection of Degree Four Vertexes}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {10863--10869}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9562094}, doi = {10.1109/ICRA48506.2021.9562094}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/YeowCKHLTHMH021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YooSJJKYJC21, author = {Joo Hun Yoo and Ha Min Son and Hyejun Jeong and Eun{-}Hye Jang and Ah{-}Young Kim and Han{-}Young Yu and Hong Jin Jeon and Tai{-}Myoung Chung}, title = {Personalized Federated Learning with Clustering: Non-IID Heart Rate Variability Data Application}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1046--1051}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620852}, doi = {10.1109/ICTC52510.2021.9620852}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/YooSJJKYJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/HongKCHLL21, author = {Tzung{-}Pei Hong and Meng{-}Ping Ku and Hsiu{-}Wei Chiu and Wei{-}Ming Huang and Shu{-}Min Li and Jerry Chun{-}Wei Lin}, editor = {Hamido Fujita and Ali Selamat and Jerry Chun{-}Wei Lin and Moonis Ali}, title = {A Single-Stage Tree-Structure-Based Approach to Determine Fuzzy Average-Utility Itemsets}, booktitle = {Advances and Trends in Artificial Intelligence. Artificial Intelligence Practices - 34th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2021, Kuala Lumpur, Malaysia, July 26-29, 2021, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12798}, pages = {66--72}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-79457-6\_6}, doi = {10.1007/978-3-030-79457-6\_6}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/HongKCHLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/GuangYWQJ21, author = {Mingjian Guang and Chungang Yan and Junli Wang and Hongda Qi and Changjun Jiang}, title = {Benchmark Datasets for Stochastic Petri Net Learning}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533785}, doi = {10.1109/IJCNN52387.2021.9533785}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/GuangYWQJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/WuCCWR21, author = {Chunwei Wu and Guitao Cao and Wenming Cao and Hong Wang and He Ren}, title = {Debiased Prototype Network for Adversarial Domain Adaptation}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533346}, doi = {10.1109/IJCNN52387.2021.9533346}, timestamp = {Wed, 29 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/WuCCWR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/LeeJYJWLLHCSKKK21, author = {Jaehun Lee and Youngcheon Jeong and Kyongsik Yeom and Changmin Jeon and Jongsung Woo and Sangjin Lee and Ga{-}Young Lee and Dong{-}Hwee Hwang and Yong Seok Chung and Minji Seo and Dong{-}Hyun Kim and DalHwan Kim and Yongsik Kim and HyunChang Lee and Soomin Cho and MyeongHee Oh and Hyun{-}Jin Shin and Gun Rae Kim and Sungyoung Yoon and Yong Kyu Lee and Young Ki Hong}, title = {Highly Reliable 28nm Embedded Flash Process Development for High-Density and High-Speed Automotive Grade-1 Application}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2021, Dresden, Germany, May 16-19, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IMW51353.2021.9439602}, doi = {10.1109/IMW51353.2021.9439602}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imw2/LeeJYJWLLHCSKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/Chen0WLCZZW21, author = {Xiang Chen and Qun Huang and Peiqiao Wang and Hongyan Liu and Yuxin Chen and Dong Zhang and Haifeng Zhou and Chunming Wu}, title = {{MTP:} Avoiding Control Plane Overload with Measurement Task Placement}, booktitle = {40th {IEEE} Conference on Computer Communications, {INFOCOM} 2021, Vancouver, BC, Canada, May 10-13, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/INFOCOM42981.2021.9488732}, doi = {10.1109/INFOCOM42981.2021.9488732}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/Chen0WLCZZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/MengXCXZQ21, author = {Zeyu Meng and Hongli Xu and Min Chen and Yang Xu and Yangming Zhao and Chunming Qiao}, title = {Learning-Driven Decentralized Machine Learning in Resource-Constrained Wireless Edge Computing}, booktitle = {40th {IEEE} Conference on Computer Communications, {INFOCOM} 2021, Vancouver, BC, Canada, May 10-13, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/INFOCOM42981.2021.9488817}, doi = {10.1109/INFOCOM42981.2021.9488817}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/MengXCXZQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JoseYCHSZZ21, author = {Sumy Jose and Chunshan Yin and Yu Chen and Cheong Min Hong and Mehul D. Shroff and Xiaoling Zhao and Fan Zhang}, title = {An efficient methodology to evaluate {BEOL} and {MOL} {TDDB} in advanced nodes}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey, CA, USA, March 21-25, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IRPS46558.2021.9405138}, doi = {10.1109/IRPS46558.2021.9405138}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/JoseYCHSZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/HongCLT21, author = {Tzung{-}Pei Hong and Hao Chang and Shu{-}Min Li and Yu{-}Chuan Tsai}, editor = {Ajith Abraham and Niketa Gandhi and Thomas Hanne and Tzung{-}Pei Hong and Tatiane Nogueira Rios and Weiping Ding}, title = {A Dedicated Temporal Erasable-Itemset Mining Algorithm}, booktitle = {Intelligent Systems Design and Applications - 21st International Conference on Intelligent Systems Design and Applications {(ISDA} 2021) Held During December 13-15, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {418}, pages = {977--985}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96308-8\_91}, doi = {10.1007/978-3-030-96308-8\_91}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isda/HongCLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iske/WuC0CL21, author = {Xinping Wu and Hongmei Chen and Tianrui Li and Hao Chen and Chuan Luo}, editor = {Shuwei Chen and Jie Hu and Tianrui Li and Luis Mart{\'{\i}}nez and Jun Liu}, title = {Semi-supervised Multi-Label Feature Selection Using Hessian Energy based on Maximum Relevance and Minimum Redundancy}, booktitle = {16th International Conference on Intelligent Systems and Knowledge Engineering, {ISKE} 2021, Chengdu, China, November 26-28, 2021}, pages = {242--248}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISKE54062.2021.9755324}, doi = {10.1109/ISKE54062.2021.9755324}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iske/WuC0CL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KeelKKBKCSLJSHA21, author = {Min{-}Sun Keel and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Myoungoh Ki and Bumsik Chung and Sooho Son and Hoyong Lee and Heeyoung Jo and Seung{-}Chul Shin and Sunjoo Hong and Jaeil An and Yonghun Kwon and Sungyoung Seo and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Youngsun Oh and Yitae Kim and JungChak Ahn and Kyoungmin Koh and Yongin Park}, title = {A 4-tap 3.5 {\(\mu\)}m 1.2 Mpixel Indirect Time-of-Flight {CMOS} Image Sensor with Peak Current Mitigation and Multi-User Interference Cancellation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {106--108}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365854}, doi = {10.1109/ISSCC42613.2021.9365854}, timestamp = {Wed, 10 Mar 2021 15:02:58 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KeelKKBKCSLJSHA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCYKLBKKKCCPJ21, author = {Kyunghoon Kim and Joo{-}Hyung Chae and Jaehyeok Yang and Jihyo Kang and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Youngtaek Kim and Boram Kim and Dong{-}Hyun Kim and Yeongmuk Cho and Kangmoo Choi and Hyeongyeol Park and Junghwan Ji and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Kyubong Kong and Sunho Kim and Sangkwon Lee and Junhyun Chun and Hyungsoo Kim and Seon{-}Yong Cha}, title = {A 24Gb/s/pin 8Gb {GDDR6} with a Half-Rate Daisy-Chain-Based Clocking Architecture and {IO} Circuitry for Low-Noise Operation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {344--346}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365844}, doi = {10.1109/ISSCC42613.2021.9365844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCYKLBKKKCCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKLKCHKJ21, author = {Yechan Park and Seok{-}Tae Koh and Jeongeun Lee and Hongkyun Kim and Jaesuk Choi and Sohmyung Ha and Chul Kim and Minkyu Je}, title = {A Frequency-Splitting-Based Wireless Power and Data Transfer {IC} for Neural Prostheses with Simultaneous 115mWPower and 2.5Mb/s Forward Data Delivery}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {472--474}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365781}, doi = {10.1109/ISSCC42613.2021.9365781}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkKLKCHKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/Chen0WMLCZZZW21, author = {Xiang Chen and Qun Huang and Peiqiao Wang and Zili Meng and Hongyan Liu and Yuxin Chen and Dong Zhang and Haifeng Zhou and Boyang Zhou and Chunming Wu}, title = {LightNF: Simplifying Network Function Offloading in Programmable Networks}, booktitle = {29th {IEEE/ACM} International Symposium on Quality of Service, {IWQOS} 2021, Tokyo, Japan, June 25-28, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IWQOS52092.2021.9521329}, doi = {10.1109/IWQOS52092.2021.9521329}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwqos/Chen0WMLCZZZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/LiLWMZZQ0M21, author = {Jiayu Li and Hongyu Lu and Chenyang Wang and Weizhi Ma and Min Zhang and Xiangyu Zhao and Wei Qi and Yiqun Liu and Shaoping Ma}, editor = {Feida Zhu and Beng Chin Ooi and Chunyan Miao}, title = {A Difficulty-Aware Framework for Churn Prediction and Intervention in Games}, booktitle = {{KDD} '21: The 27th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, Singapore, August 14-18, 2021}, pages = {943--952}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447548.3467277}, doi = {10.1145/3447548.3467277}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/LiLWMZZQ0M21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ksem/WuJZCYXQ21, author = {Zhiyuan Wu and Yu Jiang and Minghao Zhao and Chupeng Cui and Zongmin Yang and Xinhui Xue and Hong Qi}, editor = {Han Qiu and Cheng Zhang and Zongming Fei and Meikang Qiu and Sun{-}Yuan Kung}, title = {Spirit Distillation: {A} Model Compression Method with Multi-domain Knowledge Transfer}, booktitle = {Knowledge Science, Engineering and Management - 14th International Conference, {KSEM} 2021, Tokyo, Japan, August 14-16, 2021, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12815}, pages = {553--565}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-82136-4\_45}, doi = {10.1007/978-3-030-82136-4\_45}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ksem/WuJZCYXQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/NguyenHWCC21, author = {Hong{-}Minh Nguyen and Szu{-}Chi Huang and Chia{-}Chien Wei and Chun{-}Yen Chuang and Jyehong Chen}, title = {{\textgreater}55-Gbps and 30-dB Loss Budget {LR-OFDM} {PON} Downstream Enabled by ANN-based Predistortion}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489864}, timestamp = {Tue, 03 Aug 2021 11:38:19 +0200}, biburl = {https://dblp.org/rec/conf/ofc/NguyenHWCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcar/ChuGCNZDH21, author = {Lingling Chu and Feng Gu and Changlong Chen and Hongyu Nie and Mingxi Zhang and Xintian Du and Yuqing He}, title = {Theoretical and Experimental Study of Adaptive Control for Fixed-wing {UAV} Arrested Recovery on the {USV}}, booktitle = {{IEEE} International Conference on Real-time Computing and Robotics, {RCAR} 2021, Xining, China, July 15-19, 2021}, pages = {1329--1334}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/RCAR52367.2021.9517417}, doi = {10.1109/RCAR52367.2021.9517417}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rcar/ChuGCNZDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/NieZGCZDH21, author = {Hongyu Nie and Mingxi Zhang and Feng Gu and Lingling Chu and Guangyu Zhang and Xintian Du and Yuqing He}, title = {Fully Automated Control System for Recovery of Fixed-wing {UAV}}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2021, Sanya, China, December 27-31, 2021}, pages = {1642--1649}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ROBIO54168.2021.9739276}, doi = {10.1109/ROBIO54168.2021.9739276}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robio/NieZGCZDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/FanKLLCHWTWSLWC21, author = {Cheng{-}Chung Fan and Chia{-}Chih Kuo and Shang{-}Bao Luo and Pei{-}Jun Liao and Kuang{-}Yu Chang and Chiao{-}Wei Hsu and Meng{-}Tse Wu and Shih{-}Hong Tsai and Tzu{-}Man Wu and Aleksandra Smolka and Chao{-}Chun Liang and Hsin{-}Min Wang and Kuan{-}Yu Chen and Yu Tsao and Keh{-}Yih Su}, editor = {Lung{-}Hao Lee and Chia{-}Hui Chang and Kuan{-}Yu Chen}, title = {A Flexible and Extensible Framework for Multiple Answer Modes Question Answering}, booktitle = {Proceedings of the 33rd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2021, Taoyuan, Taiwan, October 15-16, 2021}, pages = {33--42}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2021}, url = {https://aclanthology.org/2021.rocling-1.5}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/FanKLLCHWTWSLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LiaoLZHC21, author = {Lizi Liao and Le Hong Long and Zheng Zhang and Minlie Huang and Tat{-}Seng Chua}, editor = {Fernando Diaz and Chirag Shah and Torsten Suel and Pablo Castells and Rosie Jones and Tetsuya Sakai}, title = {MMConv: An Environment for Multimodal Conversational Search across Multiple Domains}, booktitle = {{SIGIR} '21: The 44th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Virtual Event, Canada, July 11-15, 2021}, pages = {675--684}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3404835.3462970}, doi = {10.1145/3404835.3462970}, timestamp = {Mon, 22 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/LiaoLZHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/HongCLT21, author = {Tzung{-}Pei Hong and Hao Chang and Shu{-}Min Li and Yu{-}Chuan Tsai}, title = {A Unified Temporal Erasable Itemset Mining Approach}, booktitle = {2021 International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2021, Taichung, Taiwan, November 18-20, 2021}, pages = {194--198}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/TAAI54685.2021.00044}, doi = {10.1109/TAAI54685.2021.00044}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/taai/HongCLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/SeoCJKSLKLBBKLS21, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Sung{-}Jae Byun and Daehee Bae and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Changrok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {A 2.6 e-rms Low-Random-Noise, 116.2 mW Low-Power 2-Mp Global Shutter {CMOS} Image Sensor with Pixel-Level {ADC} and In-Pixel Memory}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492357}, doi = {10.23919/VLSICIRCUITS52068.2021.9492357}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/SeoCJKSLKLBBKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinJKKKHJ21, author = {Hongseok Shin and Doojin Jang and Gyeong{-}Gu Kang and Jinuk Kim and Chul Kim and Sohmyung Ha and Minkyu Je}, title = {A 96.6{\%}-Efficiency Continuous-Input-Current Hybrid Dual-Path Buck-Boost Converter with Single-Mode Operation and Non-Stopping Output Current Delivery}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492409}, doi = {10.23919/VLSICIRCUITS52068.2021.9492409}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/ShinJKKKHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wasa/LuoHCLY21, author = {Chuanwen Luo and Yi Hong and Zhibo Chen and Deying Li and Jiguo Yu}, editor = {Zhe Liu and Fan Wu and Sajal K. Das}, title = {Minimizing Energy Consumption with Devices Placement and Scheduling in Internet of Things}, booktitle = {Wireless Algorithms, Systems, and Applications - 16th International Conference, {WASA} 2021, Nanjing, China, June 25-27, 2021, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12937}, pages = {584--596}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85928-2\_46}, doi = {10.1007/978-3-030-85928-2\_46}, timestamp = {Tue, 06 Aug 2024 08:21:18 +0200}, biburl = {https://dblp.org/rec/conf/wasa/LuoHCLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-03701, author = {Zhiming Zhang and Jin Yan and Liangding Li and Hong Pan and Chuanzhi Dong}, title = {Condition Assessment of Stay Cables through Enhanced Time Series Classification Using a Deep Learning Approach}, journal = {CoRR}, volume = {abs/2101.03701}, year = {2021}, url = {https://arxiv.org/abs/2101.03701}, eprinttype = {arXiv}, eprint = {2101.03701}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-03701.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-06066, author = {Mudit Chaudhary and Borislav Dzodzo and Sida Huang and Chun Hei Lo and Mingzhi Lyu and Lun Yiu Nie and Jinbo Xing and Tianhua Zhang and Xiaoying Zhang and Jingyan Zhou and Hong Cheng and Wai Lam and Helen Meng}, title = {Unstructured Knowledge Access in Task-oriented Dialog Modeling using Language Inference, Knowledge Retrieval and Knowledge-Integrative Response Generation}, journal = {CoRR}, volume = {abs/2101.06066}, year = {2021}, url = {https://arxiv.org/abs/2101.06066}, eprinttype = {arXiv}, eprint = {2101.06066}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-06066.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-08413, author = {Ruimin Feng and Jiayi Zhao and He Wang and Baofeng Yang and Jie Feng and Yuting Shi and Ming Zhang and Chunlei Liu and Yuyao Zhang and Jie Zhuang and Hongjiang Wei}, title = {MoG-QSM: Model-based Generative Adversarial Deep Learning Network for Quantitative Susceptibility Mapping}, journal = {CoRR}, volume = {abs/2101.08413}, year = {2021}, url = {https://arxiv.org/abs/2101.08413}, eprinttype = {arXiv}, eprint = {2101.08413}, timestamp = {Sat, 30 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-08413.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-12375, author = {Xi Li and Zehua Wang and Victor C. M. Leung and Hong Ji and Yiming Liu and Heli Zhang}, title = {Blockchain-empowered Data-driven Networks: {A} Survey and Outlook}, journal = {CoRR}, volume = {abs/2101.12375}, year = {2021}, url = {https://arxiv.org/abs/2101.12375}, eprinttype = {arXiv}, eprint = {2101.12375}, timestamp = {Thu, 06 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-12375.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-14696, author = {Zhiyuan Wu and Yu Jiang and Minghao Zhao and Chupeng Cui and Zongmin Yang and Xinhui Xue and Hong Qi}, title = {Spirit Distillation: {A} Model Compression Method with Multi-domain Knowledge Transfer}, journal = {CoRR}, volume = {abs/2104.14696}, year = {2021}, url = {https://arxiv.org/abs/2104.14696}, eprinttype = {arXiv}, eprint = {2104.14696}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-14696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-03746, author = {Huangjie Zheng and Xu Chen and Jiangchao Yao and Hongxia Yang and Chunyuan Li and Ya Zhang and Hao Zhang and Ivor W. Tsang and Jingren Zhou and Mingyuan Zhou}, title = {Contrastive Conditional Transport for Representation Learning}, journal = {CoRR}, volume = {abs/2105.03746}, year = {2021}, url = {https://arxiv.org/abs/2105.03746}, eprinttype = {arXiv}, eprint = {2105.03746}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-03746.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-06939, author = {Shaobo Min and Qi Dai and Hongtao Xie and Chuang Gan and Yongdong Zhang and Jingdong Wang}, title = {Cross-Modal Attention Consistency for Video-Audio Unsupervised Learning}, journal = {CoRR}, volume = {abs/2106.06939}, year = {2021}, url = {https://arxiv.org/abs/2106.06939}, eprinttype = {arXiv}, eprint = {2106.06939}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-06939.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-07240, author = {Yung{-}Sung Chuang and Mingye Gao and Hongyin Luo and James R. Glass and Hung{-}Yi Lee and Yun{-}Nung Chen and Shang{-}Wen Li}, title = {Mitigating Biases in Toxic Language Detection through Invariant Rationalization}, journal = {CoRR}, volume = {abs/2106.07240}, year = {2021}, url = {https://arxiv.org/abs/2106.07240}, eprinttype = {arXiv}, eprint = {2106.07240}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-07240.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-09891, author = {Yi Sun and Hong Shen and Zhenguo Du and Lan Peng and Chunming Zhao}, title = {ICINet: ICI-Aware Neural Network Based Channel Estimation for Rapidly Time-Varying {OFDM} Systems}, journal = {CoRR}, volume = {abs/2106.09891}, year = {2021}, url = {https://arxiv.org/abs/2106.09891}, eprinttype = {arXiv}, eprint = {2106.09891}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-09891.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-01903, author = {Joo Hun Yoo and Ha Min Son and Hyejun Jeong and Eun{-}Hye Jang and Ah{-}Young Kim and Han{-}Young Yu and Hong Jin Jeon and Tai{-}Myoung Chung}, title = {Personalized Federated Learning with Clustering: Non-IID Heart Rate Variability Data Application}, journal = {CoRR}, volume = {abs/2108.01903}, year = {2021}, url = {https://arxiv.org/abs/2108.01903}, eprinttype = {arXiv}, eprint = {2108.01903}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-01903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-06460, author = {Kai Hong and Chunhua Wu and Cailian Yang and Minghui Zhang and Yancheng Lu and Yuhao Wang and Qiegen Liu}, title = {High-dimensional Assisted Generative Model for Color Image Restoration}, journal = {CoRR}, volume = {abs/2108.06460}, year = {2021}, url = {https://arxiv.org/abs/2108.06460}, eprinttype = {arXiv}, eprint = {2108.06460}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-06460.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-01401, author = {Arjun R. Akula and Keze Wang and Changsong Liu and Sari Saba{-}Sadiya and Hongjing Lu and Sinisa Todorovic and Joyce Chai and Song{-}Chun Zhu}, title = {CX-ToM: Counterfactual Explanations with Theory-of-Mind for Enhancing Human Trust in Image Recognition Models}, journal = {CoRR}, volume = {abs/2109.01401}, year = {2021}, url = {https://arxiv.org/abs/2109.01401}, eprinttype = {arXiv}, eprint = {2109.01401}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-01401.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-01394, author = {Xiang Huang and Hongsheng Liu and Beiji Shi and Zidong Wang and Kang Yang and Yang Li and Bingya Weng and Min Wang and Haotian Chu and Jing Zhou and Fan Yu and Bei Hua and Lei Chen and Bin Dong}, title = {Solving Partial Differential Equations with Point Source Based on Physics-Informed Neural Networks}, journal = {CoRR}, volume = {abs/2111.01394}, year = {2021}, url = {https://arxiv.org/abs/2111.01394}, eprinttype = {arXiv}, eprint = {2111.01394}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-01394.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-08823, author = {Xiang Huang and Zhanhong Ye and Hongsheng Liu and Beiji Shi and Zidong Wang and Kang Yang and Yang Li and Bingya Weng and Min Wang and Haotian Chu and Jing Zhou and Fan Yu and Bei Hua and Lei Chen and Bin Dong}, title = {Meta-Auto-Decoder for Solving Parametric Partial Differential Equations}, journal = {CoRR}, volume = {abs/2111.08823}, year = {2021}, url = {https://arxiv.org/abs/2111.08823}, eprinttype = {arXiv}, eprint = {2111.08823}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-08823.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-12880, author = {Zeyad Ali Sami Emam and Hong{-}Min Chu and Ping{-}Yeh Chiang and Wojciech Czaja and Richard Leapman and Micah Goldblum and Tom Goldstein}, title = {Active Learning at the ImageNet Scale}, journal = {CoRR}, volume = {abs/2111.12880}, year = {2021}, url = {https://arxiv.org/abs/2111.12880}, eprinttype = {arXiv}, eprint = {2111.12880}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-12880.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-15210, author = {Yongbin Liao and Hongyuan Zhu and Yanggang Zhang and Chuangguan Ye and Tao Chen and Jiayuan Fan}, title = {Point Cloud Instance Segmentation with Semi-supervised Bounding-Box Mining}, journal = {CoRR}, volume = {abs/2111.15210}, year = {2021}, url = {https://arxiv.org/abs/2111.15210}, eprinttype = {arXiv}, eprint = {2111.15210}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-15210.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-05755, author = {Ming Yu and Shuyun Wang and Cui{-}Hong Xue and Yingchun Guo and Gang Yan}, title = {Information Prebuilt Recurrent Reconstruction Network for Video Super-Resolution}, journal = {CoRR}, volume = {abs/2112.05755}, year = {2021}, url = {https://arxiv.org/abs/2112.05755}, eprinttype = {arXiv}, eprint = {2112.05755}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-05755.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCHN20, author = {Chun{-}Hao Chen and Hsiang Chou and Tzung{-}Pei Hong and Yusuke Nojima}, title = {Cluster-Based Membership Function Acquisition Approaches for Mining Fuzzy Temporal Association Rules}, journal = {{IEEE} Access}, volume = {8}, pages = {123996--124006}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3004095}, doi = {10.1109/ACCESS.2020.3004095}, timestamp = {Fri, 31 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCHN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongLHLWL20, author = {Tzung{-}Pei Hong and Cheng{-}Yu Lin and Wei{-}Ming Huang and Katherine Shu{-}Min Li and Shyue{-}Liang Leon Wang and Jerry Chun{-}Wei Lin}, title = {Using Tree Structure to Mine High Temporal Fuzzy Utility Itemsets}, journal = {{IEEE} Access}, volume = {8}, pages = {153692--153706}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3018155}, doi = {10.1109/ACCESS.2020.3018155}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HongLHLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongLLLYMLHGCW20, author = {Jia{-}Sheng Hong and Chung{-}Jung Lin and Yue{-}Hsin Lin and Cheng{-}Chia Lee and Huai{-}Che Yang and Ling{-}Hsuan Meng and Te{-}Ming Lin and Yong{-}Sin Hu and Wan{-}Yuo Guo and Wei{-}Fa Chu and Yu{-}Te Wu}, title = {Machine Learning Application With Quantitative Digital Subtraction Angiography for Detection of Hemorrhagic Brain Arteriovenous Malformations}, journal = {{IEEE} Access}, volume = {8}, pages = {204573--204584}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3036692}, doi = {10.1109/ACCESS.2020.3036692}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HongLLLYMLHGCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HungTWLWZKW20, author = {Faan Hei Hung and Kim Fung Tsang and Chung Kit Wu and Yucheng Liu and Hao Wang and Hongxu Zhu and Cheon Hoi Koo and Wai Hin Wan}, title = {An Adaptive Indoor Air Quality Control Scheme for Minimizing Volatile Organic Compounds Density}, journal = {{IEEE} Access}, volume = {8}, pages = {22357--22365}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2969212}, doi = {10.1109/ACCESS.2020.2969212}, timestamp = {Thu, 29 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HungTWLWZKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NguyenHWCC20, author = {Hong{-}Minh Nguyen and Szu{-}Chi Huang and Chia{-}Chien Wei and Chun{-}Yen Chuang and Jyehong Chen}, title = {Artificial Neural-Network-Based Pre-Distortion for High Loss-Budget 60-km Long-Reach Passive Optical Network}, journal = {{IEEE} Access}, volume = {8}, pages = {124824--124832}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3007030}, doi = {10.1109/ACCESS.2020.3007030}, timestamp = {Fri, 31 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NguyenHWCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XiongFYH20, author = {Xingliang Xiong and Mingyu Fan and Chuang Yu and Zhenjie Hong}, title = {A Novel Active Learning Algorithm for Robust Image Classification}, journal = {{IEEE} Access}, volume = {8}, pages = {71106--71116}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2968082}, doi = {10.1109/ACCESS.2020.2968082}, timestamp = {Sat, 04 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/XiongFYH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XuWSMZP20, author = {Fangwei Xu and Chuan Wang and Qin Shu and Zhiquan Ma and Hongru Zheng and Anqing Peng}, title = {Study on the Estimation of Utility Harmonic Impedance Based on Minimum Norm of Impedance Difference}, journal = {{IEEE} Access}, volume = {8}, pages = {207389--207396}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3033388}, doi = {10.1109/ACCESS.2020.3033388}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/XuWSMZP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangXJDLJXYHCDW20, author = {Wen{-}Yan Yang and Guang{-}Qiong Xia and Zai{-}Fu Jiang and Tao Deng and Xiao{-}Dong Lin and Yan{-}Hong Jin and Zhen{-}Zhen Xiao and Dian{-}Zuo Yue and Chun{-}Xia Hu and Bing Cui and Min Dai and Zheng{-}Mao Wu}, title = {Experimental Investigation on Wideband Optical Frequency Comb Generation Based on a Gain-Switched 1550 nm Multi-Transverse Mode Vertical-Cavity Surface-Emitting Laser Subject to Dual Optical Injection}, journal = {{IEEE} Access}, volume = {8}, pages = {170203--170210}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3023965}, doi = {10.1109/ACCESS.2020.3023965}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YangXJDLJXYHCDW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/LiuDLHZLYTCSMZH20, author = {Dong Liu and Hongtao Duan and Steven A. Loiselle and Chuanmin Hu and Guoqing Zhang and Junli Li and Hong Yang and Julian R. Thompson and Zhigang Cao and Ming Shen and Ronghua Ma and Min Zhang and Weixiao Han}, title = {Observations of water transparency in China's lakes from space}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {92}, pages = {102187}, year = {2020}, url = {https://doi.org/10.1016/j.jag.2020.102187}, doi = {10.1016/J.JAG.2020.102187}, timestamp = {Fri, 23 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aeog/LiuDLHZLYTCSMZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/GeZHZ0TZC20, author = {Hongwei Ge and Mingde Zhao and Yaqing Hou and Kai Zhang and Liang Sun and Guozhen Tan and Qiang Zhang and C. L. Philip Chen}, title = {Bi-space Interactive Cooperative Coevolutionary algorithm for large scale black-box optimization}, journal = {Appl. Soft Comput.}, volume = {97}, number = {Part}, pages = {106798}, year = {2020}, url = {https://doi.org/10.1016/j.asoc.2020.106798}, doi = {10.1016/J.ASOC.2020.106798}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/GeZHZ0TZC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LinWLCCH20, author = {Hao{-}Chiang Koong Lin and Tao{-}Hua Wang and Guo{-}Chung Lin and Shu{-}Chen Cheng and Hong{-}Ren Chen and Yueh{-}Min Huang}, title = {Applying sentiment analysis to automatically classify consumer comments concerning marketing 4Cs aspects}, journal = {Appl. Soft Comput.}, volume = {97}, number = {Part}, pages = {106755}, year = {2020}, url = {https://doi.org/10.1016/j.asoc.2020.106755}, doi = {10.1016/J.ASOC.2020.106755}, timestamp = {Tue, 16 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/LinWLCCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/ZhangYZZWOZZWLZ20, author = {Yanfang Zhang and Xiujia Yang and Yanxia Zhang and Yan Zhang and Minhui Wang and Jin Xia Ou and Yan Zhu and Huikun Zeng and Jiaqi Wu and Chunhong Lan and Hong{-}Wei Zhou and Wei Yang and Zhenhai Zhang}, title = {Tools for fundamental analysis functions of {TCR} repertoires: a systematic comparison}, journal = {Briefings Bioinform.}, volume = {21}, number = {5}, pages = {1706--1716}, year = {2020}, url = {https://doi.org/10.1093/bib/bbz092}, doi = {10.1093/BIB/BBZ092}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/ZhangYZZWOZZWLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChangWHTHYGOC20, author = {Yu{-}Chuan Chang and June{-}Tai Wu and Ming{-}Yi Hong and Yi{-}An Tung and Ping{-}Han Hsieh and Sook Wah Yee and Kathleen M. Giacomini and Yen{-}Jen Oyang and Chien{-}Yu Chen}, title = {GenEpi: gene-based epistasis discovery using machine learning}, journal = {{BMC} Bioinform.}, volume = {21}, number = {1}, pages = {68}, year = {2020}, url = {https://doi.org/10.1186/s12859-020-3368-2}, doi = {10.1186/S12859-020-3368-2}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChangWHTHYGOC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cam/LiGZDZG20, author = {Shun{-}Chu Li and Hong Guo and Peng{-}she Zheng and Xiaoxu Dong and Chaochao Zhao and Qin{-}Ming Gui}, title = {The elastic boundary value problem of extended modified Bessel equation and its application in fractal homogeneous reservoir}, journal = {Comput. Appl. Math.}, volume = {39}, number = {2}, year = {2020}, url = {https://doi.org/10.1007/s40314-020-1104-1}, doi = {10.1007/S40314-020-1104-1}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cam/LiGZDZG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChungLHPLLYLS20, author = {Minyoung Chung and Minkyung Lee and Jioh Hong and Sanguk Park and Jusang Lee and Jingyu Lee and Il{-}Hyung Yang and Jeongjin Lee and Yeong{-}Gil Shin}, title = {Pose-aware instance segmentation framework from cone beam {CT} images for tooth segmentation}, journal = {Comput. Biol. Medicine}, volume = {120}, pages = {103720}, year = {2020}, url = {https://doi.org/10.1016/j.compbiomed.2020.103720}, doi = {10.1016/J.COMPBIOMED.2020.103720}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/ChungLHPLLYLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/LiuYLJL20, author = {Yiming Liu and F. Richard Yu and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Blockchain and Machine Learning for Communications and Networking Systems}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {22}, number = {2}, pages = {1392--1431}, year = {2020}, url = {https://doi.org/10.1109/COMST.2020.2975911}, doi = {10.1109/COMST.2020.2975911}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsur/LiuYLJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/SunZWGYOYWZLLMZ20, author = {Jing Sun and Yanfang Zhang and Minhui Wang and Qian Guan and Xiujia Yang and Jin Xia Ou and Mingchen Yan and Chengrui Wang and Yan Zhang and Zhi{-}Hao Li and Chunhong Lan and Chen Mao and Hong{-}Wei Zhou and Bingtao Hao and Zhenhai Zhang}, title = {The Biological Significance of Multi-copy Regions and Their Impact on Variant Discovery}, journal = {Genom. Proteom. Bioinform.}, volume = {18}, number = {5}, pages = {516--524}, year = {2020}, url = {https://doi.org/10.1016/j.gpb.2019.05.004}, doi = {10.1016/J.GPB.2019.05.004}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/SunZWGYOYWZLLMZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ShenDXZ20, author = {Hong Shen and Tian Ding and Wei Xu and Chunming Zhao}, title = {Beamformig Design With Fast Convergence for IRS-Aided Full-Duplex Communication}, journal = {{IEEE} Commun. Lett.}, volume = {24}, number = {12}, pages = {2849--2853}, year = {2020}, url = {https://doi.org/10.1109/LCOMM.2020.3014201}, doi = {10.1109/LCOMM.2020.3014201}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/ShenDXZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/ZhuWDH20, author = {Minghao Zhu and Chunhua Wang and Quanli Deng and Qinghui Hong}, title = {Locally Active Memristor with Three Coexisting Pinched Hysteresis Loops and Its Emulator Circuit}, journal = {Int. J. Bifurc. Chaos}, volume = {30}, number = {13}, pages = {2050184:1--2050184:12}, year = {2020}, url = {https://doi.org/10.1142/S0218127420501849}, doi = {10.1142/S0218127420501849}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbc/ZhuWDH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/LiLJZZL20, author = {Xi Li and Changqing Luo and Hong Ji and Yuandong Zhuang and Heli Zhang and Victor C. M. Leung}, title = {Energy consumption optimization for self-powered IoT networks with non-orthogonal multiple access}, journal = {Int. J. Commun. Syst.}, volume = {33}, number = {1}, year = {2020}, url = {https://doi.org/10.1002/dac.4174}, doi = {10.1002/DAC.4174}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/LiLJZZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/ShenLLCY20, author = {Chen Shen and Hongfei Lin and Zhengguang Li and Yonghe Chu and Zhihao Yang}, title = {Sensitivity-controlled event trigger identification in multi-level biomedical context}, journal = {Int. J. Data Min. Bioinform.}, volume = {24}, number = {3}, pages = {238--257}, year = {2020}, url = {https://doi.org/10.1504/IJDMB.2020.112852}, doi = {10.1504/IJDMB.2020.112852}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdmb/ShenLLCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/XuCYCZ20, author = {Zhiqiang Xu and Yumin Chen and Fan Yang and Tianyou Chu and Hongyan Zhou}, title = {A Postearthquake Multiple Scene Recognition Model Based on Classical {SSD} Method and Transfer Learning}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {9}, number = {4}, pages = {238}, year = {2020}, url = {https://doi.org/10.3390/ijgi9040238}, doi = {10.3390/IJGI9040238}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/XuCYCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/FengZCLZQF20, author = {Liangjun Feng and Chunhui Zhao and C. L. Philip Chen and Yuanlong Li and Min Zhou and Honglin Qiao and Chuan Fu}, title = {{BNGBS:} An efficient network boosting system with triple incremental learning capabilities for more nodes, samples, and classes}, journal = {Neurocomputing}, volume = {412}, pages = {486--501}, year = {2020}, url = {https://doi.org/10.1016/j.neucom.2020.06.100}, doi = {10.1016/J.NEUCOM.2020.06.100}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/FengZCLZQF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwgs/WangBWCYY20, author = {Chunzhi Wang and Wenshuo Bian and Ruoxi Wang and Hongwei Chen and Zhiwei Ye and Lingyu Yan}, title = {Association rules mining in parallel conditional tree based on grid computing inspired partition algorithm}, journal = {Int. J. Web Grid Serv.}, volume = {16}, number = {3}, pages = {321--339}, year = {2020}, url = {https://doi.org/10.1504/IJWGS.2020.109475}, doi = {10.1504/IJWGS.2020.109475}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwgs/WangBWCYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/XingYSL20, author = {Fangyuan Xing and Hongxi Yin and Zhongwei Shen and Victor C. M. Leung}, title = {Joint Relay Assignment and Power Allocation for Multiuser Multirelay Networks Over Underwater Wireless Optical Channels}, journal = {{IEEE} Internet Things J.}, volume = {7}, number = {10}, pages = {9688--9701}, year = {2020}, url = {https://doi.org/10.1109/JIOT.2020.2990925}, doi = {10.1109/JIOT.2020.2990925}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/XingYSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/JiaoTLWLW20, author = {Pengfei Jiao and Minghu Tang and Hongtao Liu and Yaping Wang and Chunyu Lu and Huaming Wu}, title = {Variational autoencoder based bipartite network embedding by integrating local and global structure}, journal = {Inf. Sci.}, volume = {519}, pages = {9--21}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2020.01.033}, doi = {10.1016/J.INS.2020.01.033}, timestamp = {Thu, 19 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/JiaoTLWLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKKLLKCKKKOPI20, author = {Dongkyun Kim and Kibong Koo and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Ki Hun Kwon and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Jong{-}Sam Kim and Seungwook Oh and Minsu Park and Dain Im and Yongsung Lee and Mingyu Park and Jonghyuck Choi and Junhyun Chun and Kyowon Jin and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Changhyun Kim and Minsik Han}, title = {A 1.1-V 10-nm Class 6.4-Gb/s/Pin 16-Gb {DDR5} {SDRAM} With a Phase Rotator-ILO DLL, High-Speed SerDes, and {DFE/FFE} Equalization Scheme for Rx/Tx}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {167--177}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948806}, doi = {10.1109/JSSC.2019.2948806}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKKLLKCKKKOPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ChengKZ20, author = {Chun{-}Hung Cheng and Yong{-}Hong Kuo and Ziye Zhou}, title = {Outbreak minimization v.s. influence maximization: an optimization framework}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {20}, number = {1}, pages = {266}, year = {2020}, url = {https://doi.org/10.1186/s12911-020-01281-0}, doi = {10.1186/S12911-020-01281-0}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/ChengKZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenPCXSLCWL20, author = {Tao Chen and Jinmei Pan and Shunli Chang and Chuan Xiong and Jiancheng Shi and Mingyu Liu and Tao Che and Lifu Wang and Hongrui Liu}, title = {Validation of the {SNTHERM} Model Applied for Snow Depth, Grain Size, and Brightness Temperature Simulation at Meteorological Stations in China}, journal = {Remote. Sens.}, volume = {12}, number = {3}, pages = {507}, year = {2020}, url = {https://doi.org/10.3390/rs12030507}, doi = {10.3390/RS12030507}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenPCXSLCWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuZLXCLCSM20, author = {Jingjing Liu and Mingxu Zuo and Sze Shin Low and Ning Xu and Zhiqing Chen and Chuang Lv and Ying Cui and Yan Shi and Hong Men}, title = {Fuzzy Evaluation Output of Taste Information for Liquor Using Electronic Tongue Based on Cloud Model}, journal = {Sensors}, volume = {20}, number = {3}, pages = {686}, year = {2020}, url = {https://doi.org/10.3390/s20030686}, doi = {10.3390/S20030686}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiuZLXCLCSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MengJSSYWY20, author = {Ling{-}Tong Meng and Ping Jia and Honghai Shen and Ming{-}Jie Sun and Dong Yao and Han{-}Yu Wang and Chunhui Yan}, title = {Sinusoidal Single-Pixel Imaging Based on Fourier Positive-Negative Intensity Correlation}, journal = {Sensors}, volume = {20}, number = {6}, pages = {1674}, year = {2020}, url = {https://doi.org/10.3390/s20061674}, doi = {10.3390/S20061674}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MengJSSYWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangYTHLLWL20, author = {Chin{-}Ying Yang and Ming{-}Der Yang and Wei{-}Cheng Tseng and Yu{-}Chun Hsu and Guan{-}Sin Li and Ming{-}Hsin Lai and Dong{-}Hong Wu and Hsiu{-}Ying Lu}, title = {Assessment of Rice Developmental Stage Using Time Series {UAV} Imagery for Variable Irrigation Management}, journal = {Sensors}, volume = {20}, number = {18}, pages = {5354}, year = {2020}, url = {https://doi.org/10.3390/s20185354}, doi = {10.3390/S20185354}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YangYTHLLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhuZWWY20, author = {Chuanmei Zhu and Zipeng Zhang and Hongwei Wang and Jingzhe Wang and Shengtian Yang}, title = {Assessing Soil Organic Matter Content in a Coal Mining Area through Spectral Variables of Different Numbers of Dimensions}, journal = {Sensors}, volume = {20}, number = {6}, pages = {1795}, year = {2020}, url = {https://doi.org/10.3390/s20061795}, doi = {10.3390/S20061795}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhuZWWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamma/FengHM20, author = {Zhewen Feng and Min{-}Chun Hong and Yu Mei}, title = {Convergence of the Ginzburg-Landau Approximation for the Ericksen-Leslie System}, journal = {{SIAM} J. Math. Anal.}, volume = {52}, number = {1}, pages = {481--523}, year = {2020}, url = {https://doi.org/10.1137/18M1182887}, doi = {10.1137/18M1182887}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamma/FengHM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/BuiNHVLH20, author = {Toan Bui and Tram Nguyen and Huy Minh Huynh and Bay Vo and Jerry Chun{-}Wei Lin and Tzung{-}Pei Hong}, title = {Multiswarm Multiobjective Particle Swarm Optimization with Simulated Annealing for Extracting Multiple Tests}, journal = {Sci. Program.}, volume = {2020}, pages = {7081653:1--7081653:15}, year = {2020}, url = {https://doi.org/10.1155/2020/7081653}, doi = {10.1155/2020/7081653}, timestamp = {Mon, 18 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/BuiNHVLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/ZhangGCYLS20, author = {Xiaoci Zhang and Naijie Gu and Jie Chang and Hong Ye and Chuanwen Lin and Jie Shen}, title = {Mining discriminative spatial cues for aerial image quality assessment towards big data}, journal = {Signal Process. Image Commun.}, volume = {80}, year = {2020}, url = {https://doi.org/10.1016/j.image.2019.115646}, doi = {10.1016/J.IMAGE.2019.115646}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/ZhangGCYLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ChuKRISCB20, author = {Honghu Chu and Humaira Kalsoom and Saima Rashid and Muhammad Idrees and Farhat Safdar and Yu{-}Ming Chu and Dumitru Baleanu}, title = {Quantum Analogs of Ostrowski-Type Inequalities for Raina's Function correlated with Coordinated Generalized {\(\Phi\)}-Convex Functions}, journal = {Symmetry}, volume = {12}, number = {2}, pages = {308}, year = {2020}, url = {https://doi.org/10.3390/sym12020308}, doi = {10.3390/SYM12020308}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/ChuKRISCB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/KimTPLTH20, author = {Oanh Tran Thi Kim and Nguyen Hoang Tran and Chuan Pham and Tuan LeAnh and My T. Thai and Choong Seon Hong}, title = {Parking Assignment: Minimizing Parking Expenses and Balancing Parking Demand Among Multiple Parking Lots}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {17}, number = {3}, pages = {1320--1331}, year = {2020}, url = {https://doi.org/10.1109/TASE.2019.2948200}, doi = {10.1109/TASE.2019.2948200}, timestamp = {Sat, 29 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/KimTPLTH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/MaHLLYGL20, author = {Lijia Ma and Xiaopeng Huang and Jianqiang Li and Qiuzhen Lin and Zhuhong You and Maoguo Gong and Victor C. M. Leung}, title = {Privacy-Preserving Global Structural Balance Computation in Signed Networks}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {7}, number = {1}, pages = {164--177}, year = {2020}, url = {https://doi.org/10.1109/TCSS.2019.2944002}, doi = {10.1109/TCSS.2019.2944002}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/MaHLLYGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/DingLWWT20, author = {Hongbing Ding and Yiming Li and Chao Wang and Chuang Wen and Yuhe Tian}, title = {Feature Extraction of Oscillating Flow With Vapor Condensation of Moist Air in a Sonic Nozzle}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {69}, number = {9}, pages = {6465--6477}, year = {2020}, url = {https://doi.org/10.1109/TIM.2020.2967130}, doi = {10.1109/TIM.2020.2967130}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/DingLWWT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ChenZTXHG20, author = {Peihao Chen and Yang Zhang and Mingkui Tan and Hongdong Xiao and Deng Huang and Chuang Gan}, title = {Generating Visually Aligned Sound From Videos}, journal = {{IEEE} Trans. Image Process.}, volume = {29}, pages = {8292--8302}, year = {2020}, url = {https://doi.org/10.1109/TIP.2020.3009820}, doi = {10.1109/TIP.2020.3009820}, timestamp = {Sat, 15 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/ChenZTXHG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/YangYRLSWZZXPZQ20, author = {Wenhan Yang and Ye Yuan and Wenqi Ren and Jiaying Liu and Walter J. Scheirer and Zhangyang Wang and Taiheng Zhang and Qiaoyong Zhong and Di Xie and Shiliang Pu and Yuqiang Zheng and Yanyun Qu and Yuhong Xie and Liang Chen and Zhonghao Li and Chen Hong and Hao Jiang and Siyuan Yang and Yan Liu and Xiaochao Qu and Pengfei Wan and Shuai Zheng and Minhui Zhong and Taiyi Su and Lingzhi He and Yandong Guo and Yao Zhao and Zhenfeng Zhu and Jinxiu Liang and Jingwen Wang and Tianyi Chen and Yuhui Quan and Yong Xu and Bo Liu and Xin Liu and Qi Sun and Tingyu Lin and Xiaochuan Li and Feng Lu and Lin Gu and Shengdi Zhou and Cong Cao and Shifeng Zhang and Cheng Chi and Chubin Zhuang and Zhen Lei and Stan Z. Li and Shizheng Wang and Ruizhe Liu and Dong Yi and Zheming Zuo and Jianning Chi and Huan Wang and Kai Wang and Yixiu Liu and Xingyu Gao and Zhenyu Chen and Chang Guo and Yongzhou Li and Huicai Zhong and Jing Huang and Heng Guo and Jianfei Yang and Wenjuan Liao and Jiangang Yang and Liguo Zhou and Mingyue Feng and Likun Qin}, title = {Advancing Image Understanding in Poor Visibility Environments: {A} Collective Benchmark Study}, journal = {{IEEE} Trans. Image Process.}, volume = {29}, pages = {5737--5752}, year = {2020}, url = {https://doi.org/10.1109/TIP.2020.2981922}, doi = {10.1109/TIP.2020.2981922}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/YangYRLSWZZXPZQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/MesnagerQRT20, author = {Sihem Mesnager and Yanfeng Qi and Hongming Ru and Chunming Tang}, title = {Minimal Linear Codes From Characteristic Functions}, journal = {{IEEE} Trans. Inf. Theory}, volume = {66}, number = {9}, pages = {5404--5413}, year = {2020}, url = {https://doi.org/10.1109/TIT.2020.2978387}, doi = {10.1109/TIT.2020.2978387}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/MesnagerQRT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/PaulWXS20, author = {Prosanta Paul and Hongyi Wu and Chunsheng Xin and Min Song}, title = {Beamforming Oriented Topology Control for mmWave Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {19}, number = {7}, pages = {1519--1531}, year = {2020}, url = {https://doi.org/10.1109/TMC.2019.2911577}, doi = {10.1109/TMC.2019.2911577}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/PaulWXS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ZhangFWWWWDH20, author = {Yucheng Zhang and Min Fu and Xinyun Wu and Fang Wang and Qiang Wang and Chunzhi Wang and Xinhua Dong and Hongmu Han}, title = {Improving Restore Performance of Packed Datasets in Deduplication Systems via Reducing Persistent Fragmented Chunks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {31}, number = {7}, pages = {1651--1664}, year = {2020}, url = {https://doi.org/10.1109/TPDS.2020.2972898}, doi = {10.1109/TPDS.2020.2972898}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/ZhangFWWWWDH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/WangPWXWY20, author = {Xiaoming Wang and Yonggang Peng and Chudi Weng and Yang{-}hong Xia and Wei Wei and Miao Yu}, title = {Decentralized and Per-Unit Primary Control Framework for {DC} Distribution Networks With Multiple Voltage Levels}, journal = {{IEEE} Trans. Smart Grid}, volume = {11}, number = {5}, pages = {3993--4004}, year = {2020}, url = {https://doi.org/10.1109/TSG.2020.2988763}, doi = {10.1109/TSG.2020.2988763}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/WangPWXWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/GuoYZJLL20, author = {Fengxian Guo and F. Richard Yu and Heli Zhang and Hong Ji and Victor C. M. Leung and Xi Li}, title = {An Adaptive Wireless Virtual Reality Framework in Future Wireless Networks: {A} Distributed Learning Approach}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {8}, pages = {8514--8528}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.2995877}, doi = {10.1109/TVT.2020.2995877}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/GuoYZJLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhuangLJZL20, author = {Yuandong Zhuang and Xi Li and Hong Ji and Heli Zhang and Victor C. M. Leung}, title = {Optimal Resource Allocation for RF-Powered Underlay Cognitive Radio Networks With Ambient Backscatter Communication}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {12}, pages = {15216--15228}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.3037152}, doi = {10.1109/TVT.2020.3037152}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/ZhuangLJZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/GuoYZJLL20, author = {Fengxian Guo and F. Richard Yu and Heli Zhang and Hong Ji and Mengting Liu and Victor C. M. Leung}, title = {Adaptive Resource Allocation in Future Wireless Networks With Blockchain and Mobile Edge Computing}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {19}, number = {3}, pages = {1689--1703}, year = {2020}, url = {https://doi.org/10.1109/TWC.2019.2956519}, doi = {10.1109/TWC.2019.2956519}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/GuoYZJLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/XingYJL20, author = {Fangyuan Xing and Hongxi Yin and Xiuyang Ji and Victor C. M. Leung}, title = {Joint Relay Selection and Power Allocation for Underwater Cooperative Optical Wireless Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {19}, number = {1}, pages = {251--264}, year = {2020}, url = {https://doi.org/10.1109/TWC.2019.2943867}, doi = {10.1109/TWC.2019.2943867}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/XingYJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/JuY20, author = {MinChul Ju and Hong{-}Chuan Yang}, title = {Outage of Multiple Access Channels With Applications to Performance Analysis of Massive {MTC} Transmissions}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {9}, number = {7}, pages = {985--989}, year = {2020}, url = {https://doi.org/10.1109/LWC.2020.2977017}, doi = {10.1109/LWC.2020.2977017}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/JuY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/0002Y00L20, author = {Yiming Liu and F. Richard Yu and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Distributed self-optimizing interference management in ultra-dense networks with non-orthogonal multiple access}, journal = {Wirel. Networks}, volume = {26}, number = {4}, pages = {2809--2823}, year = {2020}, url = {https://doi.org/10.1007/s11276-019-02215-z}, doi = {10.1007/S11276-019-02215-Z}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/0002Y00L20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-clinicalnlp/LinDZWCLHWHCLCY20, author = {Yan{-}Jie Lin and Hong{-}Jie Dai and You{-}Chen Zhang and Chung{-}Yang Wu and Yu{-}Cheng Chang and Pin{-}Jou Lu and Chih{-}Jen Huang and Yu{-}Tsang Wang and Hui{-}Min Hsieh and Kun{-}San Chao and Tsang{-}Wu Liu and I{-}Shou Chang and Yi{-}Hsin Connie Yang and Ti{-}Hao Wang and Ko{-}Jiunn Liu and Li{-}Tzong Chen and Sheau{-}Fang Yang}, editor = {Anna Rumshisky and Kirk Roberts and Steven Bethard and Tristan Naumann}, title = {Cancer Registry Information Extraction via Transfer Learning}, booktitle = {Proceedings of the 3rd Clinical Natural Language Processing Workshop, ClinicalNLP@EMNLP 2020, Online, November 19, 2020}, pages = {201--208}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.clinicalnlp-1.22}, doi = {10.18653/V1/2020.CLINICALNLP-1.22}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-clinicalnlp/LinDZWCLHWHCLCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apgv/BabuTHC20, author = {Sabarish V. Babu and Ming{-}Han Tsai and Ting{-}Wei Hsu and Jung{-}Hong Chuang}, editor = {Cindy Grimm and Mar Gonz{\'{a}}lez{-}Franco and Elham Ebrahimi}, title = {An Evaluation of the Efficiency of Popular Personal Space Pointing versus Controller based Spatial Selection in {VR}}, booktitle = {{SAP} '20: {ACM} Symposium on Applied Perception 2020, Virtual Event, USA, September 12-13, 2020}, pages = {12:1--12:10}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3385955.3407939}, doi = {10.1145/3385955.3407939}, timestamp = {Mon, 06 Sep 2021 13:59:54 +0200}, biburl = {https://dblp.org/rec/conf/apgv/BabuTHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/TengCHC20, author = {Che{-}Chun Teng and Mei{-}Chun Chen and Min{-}Han Hung and Hong{-}Jie Chen}, title = {End-to-end Service Assurance in 5G Crosshaul Networks}, booktitle = {21st Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2020, Daegu, South Korea, September 22-25, 2020}, pages = {306--309}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/APNOMS50412.2020.9236977}, doi = {10.23919/APNOMS50412.2020.9236977}, timestamp = {Thu, 29 Oct 2020 12:28:14 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/TengCHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/WuLWCC20, author = {Cheng{-}En Wu and Jia{-}Hong Lee and Timmy S. T. Wan and Yi{-}Ming Chan and Chu{-}Song Chen}, title = {Merging Well-Trained Deep {CNN} Models for Efficient Inference}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2020, Auckland, New Zealand, December 7-10, 2020}, pages = {1594--1600}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9306411}, timestamp = {Thu, 11 Feb 2021 11:44:30 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/WuLWCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/LinSLHW20, author = {Jerry Chun{-}Wei Lin and Gautam Srivastava and Yuanfa Li and Tzung{-}Pei Hong and Shyue{-}Liang Wang}, editor = {Xintao Wu and Chris Jermaine and Li Xiong and Xiaohua Hu and Olivera Kotevska and Siyuan Lu and Weija Xu and Srinivas Aluru and Chengxiang Zhai and Eyhab Al{-}Masri and Zhiyuan Chen and Jeff Saltz}, title = {Mining High-Utility Sequential Patterns in Uncertain Databases}, booktitle = {2020 {IEEE} International Conference on Big Data {(IEEE} BigData 2020), Atlanta, GA, USA, December 10-13, 2020}, pages = {5373--5380}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigData50022.2020.9378089}, doi = {10.1109/BIGDATA50022.2020.9378089}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/LinSLHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LiuZFZH20, author = {Zemin Liu and Wentao Zhang and Yuan Fang and Xinming Zhang and Steven C. H. Hoi}, editor = {Mathieu d'Aquin and Stefan Dietze and Claudia Hauff and Edward Curry and Philippe Cudr{\'{e}}{-}Mauroux}, title = {Towards Locality-Aware Meta-Learning of Tail Node Embeddings on Networks}, booktitle = {{CIKM} '20: The 29th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Ireland, October 19-23, 2020}, pages = {975--984}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3340531.3411910}, doi = {10.1145/3340531.3411910}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LiuZFZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/YangLNTWL20, author = {Jheng{-}Hong Yang and Sheng{-}Chieh Lin and Rodrigo Frassetto Nogueira and Ming{-}Feng Tsai and Chuan{-}Ju Wang and Jimmy Lin}, editor = {Donia Scott and N{\'{u}}ria Bel and Chengqing Zong}, title = {Designing Templates for Eliciting Commonsense Knowledge from Pretrained Sequence-to-Sequence Models}, booktitle = {Proceedings of the 28th International Conference on Computational Linguistics, {COLING} 2020, Barcelona, Spain (Online), December 8-13, 2020}, pages = {3449--3453}, publisher = {International Committee on Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.coling-main.307}, doi = {10.18653/V1/2020.COLING-MAIN.307}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coling/YangLNTWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiAVTLWXQMH20, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Jing Liu and Haiyan Wu and Yuan Xie and Yanyun Qu and Lizhuang Ma and Ziling Huang and Qili Deng and Ju{-}Chin Chao and Tsung{-}Shan Yang and Peng{-}Wen Chen and Po{-}Min Hsu and Tzu{-}Yi Liao and Chung{-}En Sun and Pei{-}Yuan Wu and Jeonghyeok Do and Jongmin Park and Munchurl Kim and Kareem Metwaly and Xuelu Li and Tiantong Guo and Vishal Monga and Mingzhao Yu and Venkateswararao Cherukuri and Shiue{-}Yuan Chuang and Tsung{-}Nan Lin and David Lee and Jerome Chang and Zhan{-}Han Wang and Yu{-}Bang Chang and Chang{-}Hong Lin and Yu Dong and Hongyu Zhou and Xiangzhen Kong and Sourya Dipta Das and Saikat Dutta and Xuan Zhao and Bing Ouyang and Dennis Estrada and Meiqi Wang and Tianqi Su and Siyi Chen and Bangyong Sun and Vincent Jacob Whannou de Dravo and Zhe Yu and Pratik Narang and Aryan Mehra and Navaneeth Raghunath and Murari Mandal}, title = {{NTIRE} 2020 Challenge on NonHomogeneous Dehazing}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2029--2044}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Ancuti\_NTIRE\_2020\_Challenge\_on\_NonHomogeneous\_Dehazing\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00253}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiAVTLWXQMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YuanTLSLZQH0LXC20, author = {Shanxin Yuan and Radu Timofte and Ales Leonardis and Gregory G. Slabaugh and Xiaotong Luo and Jiangtao Zhang and Yanyun Qu and Ming Hong and Yuan Xie and Cuihua Li and Dejia Xu and Yihao Chu and Qingyan Sun and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Sangmin Kim and Hyungjoon Nam and Jisu Kim and Jechang Jeong and Manri Cheon and Sung{-}Jun Yoon and Byungyeon Kang and Junwoo Lee and Bolun Zheng and Xiaohong Liu and Linhui Dai and Jun Chen and Xi Cheng and Zhenyong Fu and Jian Yang and Chul Lee and Vien Gia An and Hyunkook Park and Sabari Nathan and M. Parisa Beham and S. Mohamed Mansoor Roomi and Florian Lemarchand and Maxime Pelcat and Erwan Nogues and Densen Puthussery and Hrishikesh P. S and C. V. Jiji and Ashish Sinha and Xuan Zhao}, title = {{NTIRE} 2020 Challenge on Image Demoireing: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {1882--1893}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Yuan\_NTIRE\_2020\_Challenge\_on\_Image\_Demoireing\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00238}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YuanTLSLZQH0LXC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/HongLHLWL20, author = {Tzung{-}Pei Hong and Cheng{-}Yu Lin and Wei{-}Ming Huang and Shu{-}Min Li and Shyue{-}Liang Wang and Jerry Chun{-}Wei Lin}, title = {One-Phase Temporal Fuzzy Utility Mining}, booktitle = {29th {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2020, Glasgow, UK, July 19-24, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FUZZ48607.2020.9177621}, doi = {10.1109/FUZZ48607.2020.9177621}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/HongLHLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LinWDSH20, author = {Jerry Chun{-}Wei Lin and Jimmy Ming{-}Tai Wu and Youcef Djenouri and Gautam Srivastava and Tzung{-}Pei Hong}, title = {Mining Multiple Fuzzy Frequent Patterns with Compressed List Structures}, booktitle = {29th {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2020, Glasgow, UK, July 19-24, 2020}, pages = {1--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FUZZ48607.2020.9177543}, doi = {10.1109/FUZZ48607.2020.9177543}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LinWDSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChuXW20, author = {Hongyun Chu and Yicheng Xu and Guilu Wu}, title = {A Full-Dimension Channel Estimator for mmWave/THz {MIMO} Using Weighted Atomic Norm Minimization}, booktitle = {{IEEE} Globecom Workshops, {GLOBECOM} Workshops 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GCWkshps50303.2020.9367438}, doi = {10.1109/GCWKSHPS50303.2020.9367438}, timestamp = {Thu, 11 Mar 2021 09:42:16 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChuXW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LiuC0ZZW20, author = {Hongyan Liu and Xiang Chen and Qun Huang and Haifeng Zhou and Dong Zhang and Chunming Wu}, title = {{SRA:} Switch Resource Aggregation for Application Offloading in Programmable Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9322112}, doi = {10.1109/GLOBECOM42002.2020.9322112}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LiuC0ZZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HongWWH20, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Hsin{-}Min Wang and Chien{-}Lin Huang}, title = {Statistics Pooling Time Delay Neural Network Based on X-Vector for Speaker Verification}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {6849--6853}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054350}, doi = {10.1109/ICASSP40776.2020.9054350}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HongWWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HongWWH20a, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Hsin{-}Min Wang and Chien{-}Lin Huang}, title = {Combining Deep Embeddings of Acoustic and Articulatory Features for Speaker Identification}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {7589--7593}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053640}, doi = {10.1109/ICASSP40776.2020.9053640}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HongWWH20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChouCTWCCWWW20, author = {Shih{-}Jie Chou and Yi{-}Chih Chen and Vipin Ting and Rui{-}Cian Weng and Chun{-}Ming Chang and Chun{-}Li Chang and Wen{-}Hong Wu and Jung{-}Hsing Wang and Tzong{-}Dar Wu}, title = {Cyber-Physical System for Smart Factories: Case Study of the Taiwan Instrument Research Institute of the National Applied Research Laboratories}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258139}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258139}, timestamp = {Wed, 24 Nov 2021 09:22:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChouCTWCCWWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChungZHJ20, author = {Ching{-}Che Chung and Huai{-}Xiang Zhang and Ming{-}You Hung and Hong{-}Jin Jian}, title = {A {DBN} Hardware Accelerator for Auditory Scene Classification}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258082}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258082}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChungZHJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/HuangCTZHT20, author = {Chun{-}Hsian Huang and Po{-}Jung Chen and Hsin{-}Ting Tseng and Zhe{-}Ming Zhang and Hao{-}Lun Hong and Yi{-}Zhen Tu}, title = {Design of an Intelligent Robotic Vehicle for Agricultural Cyber Physical Systems}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9043017}, doi = {10.1109/ICCE46568.2020.9043017}, timestamp = {Mon, 30 Mar 2020 16:23:11 +0200}, biburl = {https://dblp.org/rec/conf/iccel/HuangCTZHT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icct/LiGZMWZ20, author = {Jianqi Li and Hongjian Gao and Ming Zhang and Zhoujun Ma and Lei Wei and Chuanyuan Zhang}, title = {Power Frequency Communication Signal Detection Based on Reassigned Time-frequency Spectrogram}, booktitle = {20th {IEEE} International Conference on Communication Technology, {ICCT} 2020, Nanning, China, October 28-31, 2020}, pages = {1213--1216}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCT50939.2020.9295845}, doi = {10.1109/ICCT50939.2020.9295845}, timestamp = {Wed, 03 Feb 2021 13:54:35 +0100}, biburl = {https://dblp.org/rec/conf/icct/LiGZMWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/HongKHLL20, author = {Tzung{-}Pei Hong and Meng{-}Ping Ku and Wei{-}Ming Huang and Shu{-}Min Li and Jerry Chun{-}Wei Lin}, editor = {Giuseppe Di Fatta and Victor S. Sheng and Alfredo Cuzzocrea and Carlo Zaniolo and Xindong Wu}, title = {A Tree-based Fuzzy Average-Utility Mining Algorithm}, booktitle = {20th International Conference on Data Mining Workshops, {ICDM} Workshops 2020, Sorrento, Italy, November 17-20, 2020}, pages = {669--672}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICDMW51313.2020.00094}, doi = {10.1109/ICDMW51313.2020.00094}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/HongKHLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/ChenL0WZZW20, author = {Xiang Chen and Hongyan Liu and Qun Huang and Peiqiao Wang and Dong Zhang and Haifeng Zhou and Chunming Wu}, title = {{SPEED:} Resource-Efficient and High-Performance Deployment for Data Plane Programs}, booktitle = {28th {IEEE} International Conference on Network Protocols, {ICNP} 2020, Madrid, Spain, October 13-16, 2020}, pages = {1--12}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICNP49622.2020.9259384}, doi = {10.1109/ICNP49622.2020.9259384}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnp/ChenL0WZZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcs/HuangCLPLC20, author = {Yung{-}Fa Huang and Chien{-}Min Chung and Chuan{-}Bi Lin and Yan{-}Bo Peng and Shing{-}Hong Liu and Honda Chen}, title = {Traffic Classification of QoS Types Based on Machine Learning Combined with {IP} Query and Deep Packet Inspection}, booktitle = {14th International Conference on Signal Processing and Communication Systems, {ICSPCS} 2020, Adelaide, Australia, December 14-16, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICSPCS50536.2020.9310061}, doi = {10.1109/ICSPCS50536.2020.9310061}, timestamp = {Fri, 15 Jan 2021 09:21:24 +0100}, biburl = {https://dblp.org/rec/conf/icspcs/HuangCLPLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/YangCHL20, author = {Hsuan{-}Kung Yang and Po{-}Han Chiang and Min{-}Fong Hong and Chun{-}Yi Lee}, editor = {Christian Bessiere}, title = {Flow-based Intrinsic Curiosity Module}, booktitle = {Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, {IJCAI} 2020}, pages = {2065--2072}, publisher = {ijcai.org}, year = {2020}, url = {https://doi.org/10.24963/ijcai.2020/286}, doi = {10.24963/IJCAI.2020/286}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/YangCHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/YangCLNZZ20, author = {Hong Yang and Ling Chen and Minglong Lei and Lingfeng Niu and Chuan Zhou and Peng Zhang}, editor = {Christian Bessiere}, title = {Discrete Embedding for Latent Networks}, booktitle = {Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, {IJCAI} 2020}, pages = {1223--1229}, publisher = {ijcai.org}, year = {2020}, url = {https://doi.org/10.24963/ijcai.2020/170}, doi = {10.24963/IJCAI.2020/170}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/YangCLNZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/0001LCC20, author = {Cheng{-}Hao Tu and Jia{-}Hong Lee and Yi{-}Ming Chan and Chu{-}Song Chen}, title = {Pruning Depthwise Separable Convolutions for MobileNet Compression}, booktitle = {2020 International Joint Conference on Neural Networks, {IJCNN} 2020, Glasgow, United Kingdom, July 19-24, 2020}, pages = {1--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IJCNN48605.2020.9207259}, doi = {10.1109/IJCNN48605.2020.9207259}, timestamp = {Tue, 06 Oct 2020 15:44:04 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/0001LCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/innovations/ChanCLS20, author = {T.{-}H. Hubert Chan and Kai{-}Min Chung and Wei{-}Kai Lin and Elaine Shi}, editor = {Thomas Vidick}, title = {{MPC} for {MPC:} Secure Computation on a Massively Parallel Computing Architecture}, booktitle = {11th Innovations in Theoretical Computer Science Conference, {ITCS} 2020, January 12-14, 2020, Seattle, Washington, {USA}}, series = {LIPIcs}, volume = {151}, pages = {75:1--75:52}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2020}, url = {https://doi.org/10.4230/LIPIcs.ITCS.2020.75}, doi = {10.4230/LIPICS.ITCS.2020.75}, timestamp = {Mon, 06 Jan 2020 16:50:09 +0100}, biburl = {https://dblp.org/rec/conf/innovations/ChanCLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/ZhouLMCG0020, author = {Yufan Zhou and Zheshuo Li and Chunwei Ma and Changyou Chen and Mingchen Gao and Hong Zhu and Jinhui Xu}, title = {Weakly-Supervised Brain Tumor Classification with Global Diagnosis Label}, booktitle = {17th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2020, Iowa City, IA, USA, April 3-7, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISBI45749.2020.9098640}, doi = {10.1109/ISBI45749.2020.9098640}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/ZhouLMCG0020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LuLDRWCCLCPTLCH20, author = {Eric Lu and Wen{-}Kai Li and Zhiming Deng and Edris Rostami and Pi{-}An Wu and Keng{-}Meng Chang and Yu{-}Chen Chuang and Chang{-}Ming Lai and Yang{-}Chuan Chen and Tzu{-}Hsuin Peng and Tzung{-}Chuen Tsai and Hui{-}Hsien Liu and Chien{-}Chih Chiu and Bryan Huang and Yao{-}Chi Wang and Jing{-}Hong Conan Zhan and Osama Shana'a}, title = {10.4 {A} 4{\texttimes}4 Dual-Band Dual-Concurrent WiFi 802.11ax Transceiver with Integrated LNA, {PA} and {T/R} Switch Achieving +20dBm 1024-QAM {MCS11} Pout and -43dB {EVM} Floor in 55nm {CMOS}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {178--180}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063127}, doi = {10.1109/ISSCC19947.2020.9063127}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LuLDRWCCLCPTLCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/YangLY20, author = {Hsin{-}Chang Yang and Chung{-}Hong Lee and Chia{-}Yi Yeh}, title = {Mining Personality Traits from Social Text Messages}, booktitle = {{MISNC} 2020 {\&} {IEMT} 2020: The 7th Multidisciplinary in International Social Networks Conference and The 3rd International Conference on Economics, Management and Technology, Kaohsiung, Taiwan, October, 2020}, pages = {17:1--17:5}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3429395.3429412}, doi = {10.1145/3429395.3429412}, timestamp = {Mon, 21 Mar 2022 12:18:02 +0100}, biburl = {https://dblp.org/rec/conf/misnc/YangLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LinCNCWCS30, author = {Yi{-}Yu Lin and Chun{-}Jui Chen and Hong{-}Minh Nguyen and Chun{-}Yen Chuang and Chia{-}Chien Wei and Jyehong Chen and Jin{-}Wei Shi}, title = {Reduction in Complexity of Volterra Filter by Employing {\(\mathscr{l}\)}0-Regularization in 112-Gbps {PAM-4} {VCSEL} Optical Interconnect}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083250}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LinCNCWCS30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/JiY0YWJS20, author = {Yugang Ji and Mingyang Yin and Yuan Fang and Hongxia Yang and Xiangwei Wang and Tianrui Jia and Chuan Shi}, editor = {Frank Hutter and Kristian Kersting and Jefrey Lijffijt and Isabel Valera}, title = {Temporal Heterogeneous Interaction Graph Embedding for Next-Item Recommendation}, booktitle = {Machine Learning and Knowledge Discovery in Databases - European Conference, {ECML} {PKDD} 2020, Ghent, Belgium, September 14-18, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12459}, pages = {314--329}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67664-3\_19}, doi = {10.1007/978-3-030-67664-3\_19}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/JiY0YWJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/YeYZLTYWWGJSMCX20, author = {Fangdan Ye and Da Yu and Ennan Zhai and Hongqiang Harry Liu and Bingchuan Tian and Qiaobo Ye and Chunsheng Wang and Xin Wu and Tianchen Guo and Cheng Jin and Duncheng She and Qing Ma and Biao Cheng and Hui Xu and Ming Zhang and Zhiliang Wang and Rodrigo Fonseca}, editor = {Henning Schulzrinne and Vishal Misra}, title = {Accuracy, Scalability, Coverage: {A} Practical Configuration Verifier on a Global {WAN}}, booktitle = {{SIGCOMM} '20: Proceedings of the 2020 Annual conference of the {ACM} Special Interest Group on Data Communication on the applications, technologies, architectures, and protocols for computer communication, Virtual Event, USA, August 10-14, 2020}, pages = {599--614}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3387514.3406217}, doi = {10.1145/3387514.3406217}, timestamp = {Thu, 21 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/YeYZLTYWWGJSMCX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinKJCJCLKHJ20, author = {Hongseok Shin and Jinuk Kim and Doojin Jang and Donghee Cho and Yoontae Jung and Hyungjoo Cho and Unbong Lee and Chul Kim and Sohmyung Ha and Minkyu Je}, title = {A 0.0046mm\({}^{\mbox{2}}\) 6.7{\(\mu\)}W Three-Stage Amplifier Capable of Driving 0.5-to-1.9nF Capacitive Load with {\textgreater}0.68MHz {GBW} without Compensation Zero}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162960}, doi = {10.1109/VLSICIRCUITS18222.2020.9162960}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/ShinKJCJCLKHJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/HsuTBHCLC20, author = {Ting{-}Wei Hsu and Ming{-}Han Tsai and Sabarish V. Babu and Pei{-}Hsien Hsu and Hsuan{-}Ming Chang and Wen{-}Chieh Lin and Jung{-}Hong Chuang}, title = {Design and Initial Evaluation of a {VR} based Immersive and Interactive Architectural Design Discussion System}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2010, Atlanta, GA, USA, March 22-26, 2020}, pages = {363--371}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VR46266.2020.1581231362069}, doi = {10.1109/VR46266.2020.1581231362069}, timestamp = {Mon, 18 May 2020 15:16:46 +0200}, biburl = {https://dblp.org/rec/conf/vr/HsuTBHCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/Lei0MWHKC20, author = {Wenqiang Lei and Xiangnan He and Yisong Miao and Qingyun Wu and Richang Hong and Min{-}Yen Kan and Tat{-}Seng Chua}, editor = {James Caverlee and Xia (Ben) Hu and Mounia Lalmas and Wei Wang}, title = {Estimation-Action-Reflection: Towards Deep Interaction Between Conversational and Recommender Systems}, booktitle = {{WSDM} '20: The Thirteenth {ACM} International Conference on Web Search and Data Mining, Houston, TX, USA, February 3-7, 2020}, pages = {304--312}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3336191.3371769}, doi = {10.1145/3336191.3371769}, timestamp = {Fri, 24 Jan 2020 11:22:16 +0100}, biburl = {https://dblp.org/rec/conf/wsdm/Lei0MWHKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-02908, author = {Mingxing Xu and Wenrui Dai and Chunmiao Liu and Xing Gao and Weiyao Lin and Guo{-}Jun Qi and Hongkai Xiong}, title = {Spatial-Temporal Transformer Networks for Traffic Flow Forecasting}, journal = {CoRR}, volume = {abs/2001.02908}, year = {2020}, url = {http://arxiv.org/abs/2001.02908}, eprinttype = {arXiv}, eprint = {2001.02908}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-02908.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-02143, author = {Minyoung Chung and Minkyung Lee and Jioh Hong and Sanguk Park and Jusang Lee and Jingyu Lee and Jeongjin Lee and Yeong{-}Gil Shin}, title = {Pose-Aware Instance Segmentation Framework from Cone Beam {CT} Images for Tooth Segmentation}, journal = {CoRR}, volume = {abs/2002.02143}, year = {2020}, url = {https://arxiv.org/abs/2002.02143}, eprinttype = {arXiv}, eprint = {2002.02143}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-02143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-09102, author = {Wenqiang Lei and Xiangnan He and Yisong Miao and Qingyun Wu and Richang Hong and Min{-}Yen Kan and Tat{-}Seng Chua}, title = {Estimation-Action-Reflection: Towards Deep Interaction Between Conversational and Recommender Systems}, journal = {CoRR}, volume = {abs/2002.09102}, year = {2020}, url = {https://arxiv.org/abs/2002.09102}, eprinttype = {arXiv}, eprint = {2002.09102}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-09102.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-06321, author = {Jielei Chu and Jing Liu and Hongjun Wang and Zhiguo Gong and Tianrui Li}, title = {Minor Constraint Disturbances for Deep Semi-supervised Learning}, journal = {CoRR}, volume = {abs/2003.06321}, year = {2020}, url = {https://arxiv.org/abs/2003.06321}, eprinttype = {arXiv}, eprint = {2003.06321}, timestamp = {Thu, 15 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-06321.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-08380, author = {Sheng{-}Chieh Lin and Jheng{-}Hong Yang and Rodrigo Frassetto Nogueira and Ming{-}Feng Tsai and Chuan{-}Ju Wang and Jimmy Lin}, title = {TTTTTackling WinoGrande Schemas}, journal = {CoRR}, volume = {abs/2003.08380}, year = {2020}, url = {https://arxiv.org/abs/2003.08380}, eprinttype = {arXiv}, eprint = {2003.08380}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-08380.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-01909, author = {Sheng{-}Chieh Lin and Jheng{-}Hong Yang and Rodrigo Frassetto Nogueira and Ming{-}Feng Tsai and Chuan{-}Ju Wang and Jimmy Lin}, title = {Conversational Question Reformulation via Sequence-to-Sequence Architectures and Pretrained Language Models}, journal = {CoRR}, volume = {abs/2004.01909}, year = {2020}, url = {https://arxiv.org/abs/2004.01909}, eprinttype = {arXiv}, eprint = {2004.01909}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-01909.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-02230, author = {Sheng{-}Chieh Lin and Jheng{-}Hong Yang and Rodrigo Frassetto Nogueira and Ming{-}Feng Tsai and Chuan{-}Ju Wang and Jimmy Lin}, title = {Query Reformulation using Query History for Passage Retrieval in Conversational Search}, journal = {CoRR}, volume = {abs/2005.02230}, year = {2020}, url = {https://arxiv.org/abs/2005.02230}, eprinttype = {arXiv}, eprint = {2005.02230}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-02230.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03155, author = {Shanxin Yuan and Radu Timofte and Ales Leonardis and Gregory G. Slabaugh and Xiaotong Luo and Jiangtao Zhang and Yanyun Qu and Ming Hong and Yuan Xie and Cuihua Li and Dejia Xu and Yihao Chu and Qingyan Sun and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Sangmin Kim and Hyungjoon Nam and Jisu Kim and Jechang Jeong and Manri Cheon and Sung{-}Jun Yoon and Byungyeon Kang and Junwoo Lee and Bolun Zheng and Xiaohong Liu and Linhui Dai and Jun Chen and Xi Cheng and Zhenyong Fu and Jian Yang and Chul Lee and Vien Gia An and Hyunkook Park and Sabari Nathan and M. Parisa Beham and S. Mohamed Mansoor Roomi and Florian Lemarchand and Maxime Pelcat and Erwan Nogues and Densen Puthussery and Hrishikesh P. S and C. V. Jiji and Ashish Sinha and Xuan Zhao}, title = {{NTIRE} 2020 Challenge on Image Demoireing: Methods and Results}, journal = {CoRR}, volume = {abs/2005.03155}, year = {2020}, url = {https://arxiv.org/abs/2005.03155}, eprinttype = {arXiv}, eprint = {2005.03155}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03155.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03457, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Jing Liu and Haiyan Wu and Yuan Xie and Yanyun Qu and Lizhuang Ma and Ziling Huang and Qili Deng and Ju{-}Chin Chao and Tsung{-}Shan Yang and Peng{-}Wen Chen and Po{-}Min Hsu and Tzu{-}Yi Liao and Chung{-}En Sun and Pei{-}Yuan Wu and Jeonghyeok Do and Jongmin Park and Munchurl Kim and Kareem Metwaly and Xuelu Li and Tiantong Guo and Vishal Monga and Mingzhao Yu and Venkateswararao Cherukuri and Shiue{-}Yuan Chuang and Tsung{-}Nan Lin and David Lee and Jerome Chang and Zhan{-}Han Wang and Yu{-}Bang Chang and Chang{-}Hong Lin and Yu Dong and Hongyu Zhou and Xiangzhen Kong and Sourya Dipta Das and Saikat Dutta and Xuan Zhao and Bing Ouyang and Dennis Estrada and Meiqi Wang and Tianqi Su and Siyi Chen and Bangyong Sun and Vincent Jacob Whannou de Dravo and Zhe Yu and Pratik Narang and Aryan Mehra and Navaneeth Raghunath and Murari Mandal}, title = {{NTIRE} 2020 Challenge on NonHomogeneous Dehazing}, journal = {CoRR}, volume = {abs/2005.03457}, year = {2020}, url = {https://arxiv.org/abs/2005.03457}, eprinttype = {arXiv}, eprint = {2005.03457}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03457.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-13242, author = {Renkun Ni and Hong{-}Min Chu and Oscar Casta{\~{n}}eda and Ping{-}yeh Chiang and Christoph Studer and Tom Goldstein}, title = {WrapNet: Neural Net Inference with Ultra-Low-Resolution Arithmetic}, journal = {CoRR}, volume = {abs/2007.13242}, year = {2020}, url = {https://arxiv.org/abs/2007.13242}, eprinttype = {arXiv}, eprint = {2007.13242}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-13242.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-00448, author = {Hong Shen and Tian Ding and Wei Xu and Chunming Zhao}, title = {Beamforming Design with Fast Convergence for IRS-Aided Full-Duplex Communication}, journal = {CoRR}, volume = {abs/2008.00448}, year = {2020}, url = {https://arxiv.org/abs/2008.00448}, eprinttype = {arXiv}, eprint = {2008.00448}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-00448.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-00820, author = {Peihao Chen and Yang Zhang and Mingkui Tan and Hongdong Xiao and Deng Huang and Chuang Gan}, title = {Generating Visually Aligned Sound from Videos}, journal = {CoRR}, volume = {abs/2008.00820}, year = {2020}, url = {https://arxiv.org/abs/2008.00820}, eprinttype = {arXiv}, eprint = {2008.00820}, timestamp = {Sat, 15 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-00820.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11074, author = {Hong Shen and Wei Xu and Shulei Gong and Chunming Zhao and Derrick Wing Kwan Ng}, title = {Beamforming Optimization for IRS-Aided Communications with Transceiver Hardware Impairments}, journal = {CoRR}, volume = {abs/2010.11074}, year = {2020}, url = {https://arxiv.org/abs/2010.11074}, eprinttype = {arXiv}, eprint = {2010.11074}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11074.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-04322, author = {Hsu{-}Chun Hsiao and Chun{-}Ying Huang and Bing{-}Kai Hong and Shin{-}Ming Cheng and Hsin{-}Yuan Hu and Chia{-}Chien Wu and Jian{-}Sin Lee and Shih{-}Hong Wang and Wei Jeng}, title = {An Empirical Evaluation of Bluetooth-based Decentralized Contact Tracing in Crowds}, journal = {CoRR}, volume = {abs/2011.04322}, year = {2020}, url = {https://arxiv.org/abs/2011.04322}, eprinttype = {arXiv}, eprint = {2011.04322}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-04322.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-02530, author = {Shubham Rai and Walter Lau Neto and Yukio Miyasaka and Xinpei Zhang and Mingfei Yu and Qingyang Yi and Masahiro Fujita and Guilherme B. Manske and Matheus F. Pontes and Leomar S. da Rosa Jr. and Marilton S. de Aguiar and Paulo F. Butzen and Po{-}Chun Chien and Yu{-}Shan Huang and Hoa{-}Ren Wang and Jie{-}Hong R. Jiang and Jiaqi Gu and Zheng Zhao and Zixuan Jiang and David Z. Pan and Brunno A. Abreu and Isac de Souza Campos and Augusto Andre Souza Berndt and Cristina Meinhardt and J{\^{o}}nata Tyska Carvalho and Mateus Grellert and Sergio Bampi and Aditya Lohana and Akash Kumar and Wei Zeng and Azadeh Davoodi and Rasit Onur Topaloglu and Yuan Zhou and Jordan Dotzel and Yichi Zhang and Hanyu Wang and Zhiru Zhang and Valerio Tenace and Pierre{-}Emmanuel Gaillardon and Alan Mishchenko and Satrajit Chatterjee}, title = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization}, journal = {CoRR}, volume = {abs/2012.02530}, year = {2020}, url = {https://arxiv.org/abs/2012.02530}, eprinttype = {arXiv}, eprint = {2012.02530}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-02530.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-03803, author = {Tsai{-}Min Chen and Yuan{-}Hong Tsai and Huan{-}Hsin Tseng and Jhih{-}Yu Chen and Chih{-}Han Huang and Guo{-}Yuan Li and Chun{-}Yen Shen and Yu Tsao}, title = {{ECG} Signal Super-resolution by Considering Reconstruction and Cardiac Arrhythmias Classification Loss}, journal = {CoRR}, volume = {abs/2012.03803}, year = {2020}, url = {https://arxiv.org/abs/2012.03803}, eprinttype = {arXiv}, eprint = {2012.03803}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-03803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-13117, author = {Alain Monteil and Alejandra N. Gonz{\'{a}}lez{-}Beltr{\'{a}}n and Alexandros Ioannidis and Alice Allen and Allen Lee and Anita E. Bandrowski and Bruce E. Wilson and Bryce D. Mecum and Caifan Du and Carly Robinson and Daniel Garijo and Daniel S. Katz and David Long and Genevieve Milliken and Herv{\'{e}} M{\'{e}}nager and Jessica Hausman and Jurriaan H. Spaaks and Katrina Fenlon and Kristin Vanderbilt and Lorraine J. Hwang and Lynn Davis and Martin Fenner and Michael R. Crusoe and Michael Hucka and Mingfang Wu and Neil P. Chue Hong and Peter J. Teuben and Shelley Stall and Stephan Druskat and Ted Carnevale and Thomas Morrell}, title = {Nine Best Practices for Research Software Registries and Repositories: {A} Concise Guide}, journal = {CoRR}, volume = {abs/2012.13117}, year = {2020}, url = {https://arxiv.org/abs/2012.13117}, eprinttype = {arXiv}, eprint = {2012.13117}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-13117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChanCLS20, author = {T.{-}H. Hubert Chan and Kai{-}Min Chung and Wei{-}Kai Lin and Elaine Shi}, title = {{MPC} for {MPC:} Secure Computation on a Massively Parallel Computing Architecture}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {240}, year = {2020}, url = {https://eprint.iacr.org/2020/240}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChanCLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChungCWS20, author = {Kai{-}Min Chung and T.{-}H. Hubert Chan and Ting Wen and Elaine Shi}, title = {Game-Theoretically Fair Leader Election in O(log log n) Rounds under Majority Coalitions}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1591}, year = {2020}, url = {https://eprint.iacr.org/2020/1591}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ChungCWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CaoYCMC19, author = {Wujing Cao and Hongliu Yu and Wenming Chen and Qiaoling Meng and Chunjie Chen}, title = {Design and Evaluation of a Novel Microprocessor-Controlled Prosthetic Knee}, journal = {{IEEE} Access}, volume = {7}, pages = {178553--178562}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2957823}, doi = {10.1109/ACCESS.2019.2957823}, timestamp = {Thu, 26 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/CaoYCMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenHHK19, author = {Chun{-}Hao Chen and Ji{-}Syuan He and Tzung{-}Pei Hong and Subbaiya Rammohan Kannan}, title = {Post-Analysis Framework for Mining Actionable Patterns Using Clustering and Genetic Algorithms}, journal = {{IEEE} Access}, volume = {7}, pages = {108101--108115}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2933505}, doi = {10.1109/ACCESS.2019.2933505}, timestamp = {Thu, 05 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenHHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChiLC19, author = {Pei{-}Ling Chi and Hong{-}Min Lin and Chun{-}Pin Chien}, title = {A Tunable Balanced Coupler With Improved Phase Balance and Extended Bandwidth}, journal = {{IEEE} Access}, volume = {7}, pages = {37927--37935}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2906551}, doi = {10.1109/ACCESS.2019.2906551}, timestamp = {Tue, 16 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChiLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanFZSHL19, author = {Mingxu Han and Yuan Feng and Xueli Zhao and Chunjian Sun and Feng Hong and Chao Liu}, title = {A Convolutional Neural Network Using Surface Data to Predict Subsurface Temperatures in the Pacific Ocean}, journal = {{IEEE} Access}, volume = {7}, pages = {172816--172829}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2955957}, doi = {10.1109/ACCESS.2019.2955957}, timestamp = {Mon, 06 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HanFZSHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HeSXZ19, author = {Zhenyao He and Hong Shen and Wei Xu and Chunming Zhao}, title = {Energy Efficient Joint Power Optimization for Full-Duplex Relaying}, journal = {{IEEE} Access}, volume = {7}, pages = {137040--137047}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2942640}, doi = {10.1109/ACCESS.2019.2942640}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HeSXZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangLCTCTLHL19, author = {Yu{-}Jie Huang and Chih{-}Hsueh Lin and Hsiao{-}Han Chang and Hui{-}Min Ting and Pei{-}Ju Chao and I{-}Hsing Tsai and Chao{-}Hong Liu and Chun{-}Chieh Huang and Tsair{-}Fwu Lee}, title = {Lung Locations Most Affected by Dose-Calculation Algorithms in CyberKnife Stereotactic Body Radiotherapy}, journal = {{IEEE} Access}, volume = {7}, pages = {170763--170773}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2954649}, doi = {10.1109/ACCESS.2019.2954649}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuangLCTCTLHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JuY19, author = {MinChul Ju and Hong{-}Chuan Yang}, title = {Rate-Energy Outage Analysis of {MISO} {SWIPT} With Multiple Energy Harvesting Sensors}, journal = {{IEEE} Access}, volume = {7}, pages = {177187--177197}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2958117}, doi = {10.1109/ACCESS.2019.2958117}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JuY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiLJZL19, author = {Xi Li and Yiming Liu and Hong Ji and Heli Zhang and Victor C. M. Leung}, title = {Optimizing Resources Allocation for Fog Computing-Based Internet of Things Networks}, journal = {{IEEE} Access}, volume = {7}, pages = {64907--64922}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2917557}, doi = {10.1109/ACCESS.2019.2917557}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LiLJZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShenXGHZ19, author = {Hong Shen and Wei Xu and Shulei Gong and Zhenyao He and Chunming Zhao}, title = {Statistically Robust Beamforming Optimization for Multi-Antenna Full-Duplex {DF} Relaying}, journal = {{IEEE} Access}, volume = {7}, pages = {175564--175575}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2957885}, doi = {10.1109/ACCESS.2019.2957885}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ShenXGHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongWXYYCLHCL19, author = {Qing Song and Yingqi Wu and Xueshi Xin and Lu Yang and Min Yang and Hongming Chen and Chun Liu and Mengjie Hu and Xuesong Chai and Jianchao Li}, title = {Real-Time Tunnel Crack Analysis System via Deep Learning}, journal = {{IEEE} Access}, volume = {7}, pages = {64186--64197}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2916330}, doi = {10.1109/ACCESS.2019.2916330}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SongWXYYCLHCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XuWTYCZS19, author = {Yan Xu and Fushuan Wen and Chung{-}Li Tseng and Zeng Yang and Minghui Chen and Hongwei Zhao and Huiyu Shang}, title = {Cooperative Control for Damping Inter-Area Oscillations Using Dynamic State Information}, journal = {{IEEE} Access}, volume = {7}, pages = {77877--77884}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2922732}, doi = {10.1109/ACCESS.2019.2922732}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/XuWTYCZS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangMOCHL19, author = {Cheng{-}Hong Yang and Sin{-}Hua Moi and Fu Ou{-}Yang and Li{-}Yeh Chuang and Ming{-}Feng Hou and Yu{-}Da Lin}, title = {Identifying Risk Stratification Associated With a Cancer for Overall Survival by Deep Learning-Based CoxPH}, journal = {{IEEE} Access}, volume = {7}, pages = {67708--67717}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2916586}, doi = {10.1109/ACCESS.2019.2916586}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YangMOCHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YaoWLWH19, author = {Hongfei Yao and Hongjian Wang and Yiming Li and Ying Wang and Chunsong Han}, title = {Research on Unmanned Underwater Vehicle Threat Assessment}, journal = {{IEEE} Access}, volume = {7}, pages = {11387--11396}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2891940}, doi = {10.1109/ACCESS.2019.2891940}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YaoWLWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangCHHWZYLC19, author = {Dong Zhang and Xiang Chen and Qun Huang and Xiaoyan Hong and Chunming Wu and Haifeng Zhou and Yi Yang and Hongyan Liu and Yuxin Chen}, title = {{P4SC:} {A} High Performance and Flexible Framework for Service Function Chain}, journal = {{IEEE} Access}, volume = {7}, pages = {160982--160997}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2950446}, doi = {10.1109/ACCESS.2019.2950446}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangCHHWZYLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/NiuRS19, author = {Chunyan Niu and Hongxing Rui and Ming Sun}, title = {A coupling of hybrid mixed and continuous Galerkin finite element methods for poroelasticity}, journal = {Appl. Math. Comput.}, volume = {347}, pages = {767--784}, year = {2019}, url = {https://doi.org/10.1016/j.amc.2018.11.021}, doi = {10.1016/J.AMC.2018.11.021}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/NiuRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/YuDFLJYLSD19, author = {Hongfeng Yu and Yongqian Ding and Xiuqing Fu and Haitao Liu and Minfeng Jin and Chuanlei Yang and Zhuo Liu and Guoxiang Sun and Xianglin Dou}, title = {A solid fertilizer and seed application rate measuring system for a seed-fertilizer drill machine}, journal = {Comput. Electron. Agric.}, volume = {162}, pages = {836--844}, year = {2019}, url = {https://doi.org/10.1016/j.compag.2019.05.007}, doi = {10.1016/J.COMPAG.2019.05.007}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/YuDFLJYLSD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/HuangL19, author = {Chun{-}Hong Huang and Yungho Leu}, title = {Multi-level dataset decomposition for parallel frequent itemset mining on a cluster of personal computers}, journal = {Clust. Comput.}, volume = {22}, number = {Supplement}, pages = {2851--2863}, year = {2019}, url = {https://doi.org/10.1007/s10586-017-1609-6}, doi = {10.1007/S10586-017-1609-6}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/HuangL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ManqingJCWGqMC19, author = {Liu Manqing and Wan Jin and Jing Chuya and Yanyan Wang and Hong Ganji and Ma qilin and Bi Min and Lu Congxia}, title = {Simulation analysis of the pathogenesis of Parkinson's disease based on multiple factors leading to mitochondrial damage}, journal = {Clust. Comput.}, volume = {22}, number = {Supplement}, pages = {4821--4828}, year = {2019}, url = {https://doi.org/10.1007/s10586-018-2392-8}, doi = {10.1007/S10586-018-2392-8}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/ManqingJCWGqMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ShengTHGH19, author = {Gang Sheng and Chunming Tang and Hongyan Han and Wei Gao and Xing Hu}, title = {Authentication of outsourced linear function query with efficient updates}, journal = {Clust. Comput.}, volume = {22}, number = {Supplement}, pages = {10031--10039}, year = {2019}, url = {https://doi.org/10.1007/s10586-017-1064-4}, doi = {10.1007/S10586-017-1064-4}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/ShengTHGH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/LiYZLQLD19, author = {Hong{-}Min Li and Yan{-}Feng Yang and Yang Zhou and Chun{-}Lai Li and Kun Qian and Zhao{-}Yu Li and Jian{-}Rong Du}, title = {Dynamics and Synchronization of a Memristor-Based Chaotic System with No Equilibrium}, journal = {Complex.}, volume = {2019}, pages = {4647608:1--4647608:11}, year = {2019}, url = {https://doi.org/10.1155/2019/4647608}, doi = {10.1155/2019/4647608}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/LiYZLQLD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/LinYFH19, author = {Jerry Chun{-}Wei Lin and Lu Yang and Philippe Fournier{-}Viger and Tzung{-}Pei Hong}, title = {Mining of skyline patterns by considering both frequent and utility constraints}, journal = {Eng. Appl. Artif. Intell.}, volume = {77}, pages = {229--238}, year = {2019}, url = {https://doi.org/10.1016/j.engappai.2018.10.010}, doi = {10.1016/J.ENGAPPAI.2018.10.010}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/LinYFH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/HuynhCHH19, author = {De{-}Thu Huynh and Min Chen and Trong{-}Thua Huynh and Chu Hong Hai}, title = {Energy consumption optimization for green Device-to-Device multimedia communications}, journal = {Future Gener. Comput. Syst.}, volume = {92}, pages = {1131--1141}, year = {2019}, url = {https://doi.org/10.1016/j.future.2017.07.056}, doi = {10.1016/J.FUTURE.2017.07.056}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/HuynhCHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/WangHHCGWHL19, author = {Wei Wang and Qingyuan He and Jin Hou and Dehua Chui and Mingyong Gao and Aibo Wang and Hongbin Han and Huipo Liu}, title = {Stimulation Modeling on Three-Dimensional Anisotropic Diffusion of {MRI} Tracer in the Brain Interstitial Space}, journal = {Frontiers Neuroinformatics}, volume = {13}, pages = {6}, year = {2019}, url = {https://doi.org/10.3389/fninf.2019.00006}, doi = {10.3389/FNINF.2019.00006}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fini/WangHHCGWHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ShenXGHZ19, author = {Hong Shen and Wei Xu and Shulei Gong and Zhenyao He and Chunming Zhao}, title = {Secrecy Rate Maximization for Intelligent Reflecting Surface Assisted Multi-Antenna Communications}, journal = {{IEEE} Commun. Lett.}, volume = {23}, number = {9}, pages = {1488--1492}, year = {2019}, url = {https://doi.org/10.1109/LCOMM.2019.2924214}, doi = {10.1109/LCOMM.2019.2924214}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ShenXGHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ShenXZBZ19, author = {Hong Shen and Wei Xu and Kanglian Zhao and Fan Bai and Chunming Zhao}, title = {Non-Alternating Globally Optimal {MMSE} Precoding for Multiuser {VLC} Downlinks}, journal = {{IEEE} Commun. Lett.}, volume = {23}, number = {4}, pages = {608--611}, year = {2019}, url = {https://doi.org/10.1109/LCOMM.2019.2901685}, doi = {10.1109/LCOMM.2019.2901685}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ShenXZBZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/JuY19, author = {MinChul Ju and Hong{-}Chuan Yang}, title = {Optimum {SWIPT} relaying in bidirectional non-regenerative relay networks}, journal = {{IET} Commun.}, volume = {13}, number = {6}, pages = {679--686}, year = {2019}, url = {https://doi.org/10.1049/iet-com.2018.5580}, doi = {10.1049/IET-COM.2018.5580}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-com/JuY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/HuangHCL19, author = {Wei{-}Ming Huang and Tzung{-}Pei Hong and Ming{-}Chao Chiang and Jerry Chun{-}Wei Lin}, title = {Using Multi-Conditional Minimum Thresholds in Temporal Fuzzy Utility Mining}, journal = {Int. J. Comput. Intell. Syst.}, volume = {12}, number = {2}, pages = {613--626}, year = {2019}, url = {https://doi.org/10.2991/ijcis.d.190426.001}, doi = {10.2991/IJCIS.D.190426.001}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcisys/HuangHCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhaoZZCGLT19, author = {Chuan Zhao and Shengnan Zhao and Minghao Zhao and Zhenxiang Chen and Chong{-}Zhi Gao and Hongwei Li and Yu{-}an Tan}, title = {Secure Multi-Party Computation: Theory, practice and applications}, journal = {Inf. Sci.}, volume = {476}, pages = {357--372}, year = {2019}, url = {https://doi.org/10.1016/j.ins.2018.10.024}, doi = {10.1016/J.INS.2018.10.024}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ZhaoZZCGLT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/LuoWHCDZL19, author = {Chuanwen Luo and Yongcai Wang and Yi Hong and Wenping Chen and Xingjian Ding and Yuqing Zhu and Deying Li}, title = {Minimizing data collection latency with unmanned aerial vehicle in wireless sensor networks}, journal = {J. Comb. Optim.}, volume = {38}, number = {4}, pages = {1019--1042}, year = {2019}, url = {https://doi.org/10.1007/s10878-019-00434-w}, doi = {10.1007/S10878-019-00434-W}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/LuoWHCDZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/FangHYTLG19, author = {Hong Fang and Yikun Hu and Caihui Yu and Ming Tie and Jie Liu and Chunye Gong}, title = {An efficient radial basis functions mesh deformation with greedy algorithm based on recurrence Choleskey decomposition and parallel computing}, journal = {J. Comput. Phys.}, volume = {377}, pages = {183--199}, year = {2019}, url = {https://doi.org/10.1016/j.jcp.2018.10.029}, doi = {10.1016/J.JCP.2018.10.029}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/FangHYTLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/FanMXYYFSXZR19, author = {Jia Fan and Bo Min and Feng Xu and Peng Yang and Hongwei Ye and Yufeng Feng and Yaoliang Shen and Chunyang Xu and Wenjun Zhou and Xiaogang Ren}, title = {Clinical Diagnosis of Pancreatic Cancer Using Texture Analysis in Endoscopic Ultrasonography}, journal = {J. Medical Imaging Health Informatics}, volume = {9}, number = {9}, pages = {1844--1848}, year = {2019}, url = {https://doi.org/10.1166/jmihi.2019.2829}, doi = {10.1166/JMIHI.2019.2829}, timestamp = {Tue, 30 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/FanMXYYFSXZR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/WuLZCYL19, author = {Chin{-}Chia Wu and Win{-}Chin Lin and Xingong Zhang and I{-}Hong Chung and Tzu{-}Hsuan Yang and Kunjung Lai}, title = {Tardiness minimisation for a customer order scheduling problem with sum-of-processing-time-based learning effect}, journal = {J. Oper. Res. Soc.}, volume = {70}, number = {3}, pages = {487--501}, year = {2019}, url = {https://doi.org/10.1080/01605682.2018.1447249}, doi = {10.1080/01605682.2018.1447249}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/WuLZCYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MeinerzhagenTMV19, author = {Pascal Andreas Meinerzhagen and Carlos Tokunaga and Andres Malavasi and Vaibhav A. Vaidya and Ashwin Mendon and Deepak Mathaikutty and Jaydeep Kulkarni and Charles Augustine and Minki Cho and Stephen T. Kim and George E. Matthew and Rinkle Jain and Joseph F. Ryan and Chung{-}Ching Peng and Somnath Paul and Sriram R. Vangal and Brando Perez Esparza and Luis Cuellar and Michael Woodman and Bala Iyer and Subramaniam Maiyuran and Gautham N. Chinya and Xiang Zou and Yuyun Liao and Krishnan Ravichandran and Hong Wang and Muhammad M. Khellah and James W. Tschanz and Vivek De}, title = {An Energy-Efficient Graphics Processor in 14-nm Tri-Gate {CMOS} Featuring Integrated Voltage Regulators for Fine-Grain DVFS, Retentive Sleep, and {\textdollar}\{V\}{\_}\{{\textbackslash}text\{MIN\}\}{\textdollar} Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {144--157}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2875097}, doi = {10.1109/JSSC.2018.2875097}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MeinerzhagenTMV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/HuangKDMCQY19, author = {Wei{-}pei Huang and Bowen P. Y. Kwan and Weiyang Ding and Biao Min and Ray C. C. Cheung and Liqun Qi and Hong Yan}, title = {High performance hardware architecture for singular spectrum analysis of Hankel tensors}, journal = {Microprocess. Microsystems}, volume = {64}, pages = {120--127}, year = {2019}, url = {https://doi.org/10.1016/j.micpro.2018.10.004}, doi = {10.1016/J.MICPRO.2018.10.004}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/HuangKDMCQY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/MinHCY19, author = {Biao Min and Wei{-}pei Huang and Ray C. C. Cheung and Hong Yan}, title = {A high performance hardware architecture for non-negative tensor factorization}, journal = {Microelectron. J.}, volume = {85}, pages = {25--33}, year = {2019}, url = {https://doi.org/10.1016/j.mejo.2018.11.006}, doi = {10.1016/J.MEJO.2018.11.006}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/MinHCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ml/ChuHL19, author = {Hong{-}Min Chu and Kuan{-}Hao Huang and Hsuan{-}Tien Lin}, title = {Dynamic principal projection for cost-sensitive online multi-label classification}, journal = {Mach. Learn.}, volume = {108}, number = {8-9}, pages = {1193--1230}, year = {2019}, url = {https://doi.org/10.1007/s10994-018-5773-6}, doi = {10.1007/S10994-018-5773-6}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ml/ChuHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XuZLCLTZZLZYWH19, author = {Hai{-}Yu Xu and Yan{-}Qiong Zhang and Zhen{-}Ming Liu and Tong Chen and Chuan{-}Yu Lv and Shi{-}Huan Tang and Xiao{-}Bo Zhang and Wei Zhang and Zhi{-}Yong Li and Rong{-}Rong Zhou and Hong{-}Jun Yang and Xiu{-}Jie Wang and Lu{-}Qi Huang}, title = {{ETCM:} an encyclopaedia of traditional Chinese medicine}, journal = {Nucleic Acids Res.}, volume = {47}, number = {Database-Issue}, pages = {D976--D982}, year = {2019}, url = {https://doi.org/10.1093/nar/gky987}, doi = {10.1093/NAR/GKY987}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/XuZLCLTZZLZYWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ChenWMLH19, author = {Min Chen and Honggang Wang and Sanjeev Mehrotra and Victor C. M. Leung and Iztok Humar}, title = {Intelligent Networks Assisted by Cognitive Computing and Machine Learning}, journal = {{IEEE} Netw.}, volume = {33}, number = {3}, pages = {6--8}, year = {2019}, url = {https://doi.org/10.1109/MNET.2019.8726065}, doi = {10.1109/MNET.2019.8726065}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/ChenWMLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShinLCYMAJK19, author = {Seung{-}chul Shin and Jinkyu Lee and Soyeon Choe and Hyuk In Yang and Jihee Min and Ki{-}Yong Ahn and Justin Y. Jeon and Hong{-}Goo Kang}, title = {Dry Electrode-Based Body Fat Estimation System with Anthropometric Data for Use in a Wearable Device}, journal = {Sensors}, volume = {19}, number = {9}, pages = {2177}, year = {2019}, url = {https://doi.org/10.3390/s19092177}, doi = {10.3390/S19092177}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShinLCYMAJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/JiangLTX19, author = {Qi Jiang and Victor C. M. Leung and Hao Tang and Hongsheng Xi}, title = {Adaptive Scheduling of Stochastic Task Sequence for Energy-Efficient Mobile Cloud Computing}, journal = {{IEEE} Syst. J.}, volume = {13}, number = {3}, pages = {3022--3025}, year = {2019}, url = {https://doi.org/10.1109/JSYST.2019.2922436}, doi = {10.1109/JSYST.2019.2922436}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/JiangLTX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/QianWYCCCYLLLCL19, author = {Xin{-}Hong Qian and Yi{-}Chung Wu and Tzu{-}Yi Yang and Cheng{-}Hsiang Cheng and Hsing{-}Chien Chu and Wan{-}Hsueh Cheng and Ting{-}Yang Yen and Tzu{-}Han Lin and Yung{-}Jen Lin and Yu{-}Chi Lee and Jia{-}Heng Chang and Shih{-}Ting Lin and Shang{-}Hsuan Li and Tsung{-}Chen Wu and Chien{-}Chang Huang and Sung{-}Hao Wang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Tai{-}Shih Chi and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design and In Vivo Verification of a {CMOS} Bone-Guided Cochlear Implant Microsystem}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {11}, pages = {3156--3167}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2901374}, doi = {10.1109/TBME.2019.2901374}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/QianWYCCCYLLLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/WangC00Z19, author = {Yin{-}Ying Wang and Chunfeng Cui and Liqun Qi and Hong Yan and Xing{-}Ming Zhao}, title = {DrPOCS: Drug Repositioning Based on Projection Onto Convex Sets}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {16}, number = {1}, pages = {154--162}, year = {2019}, url = {https://doi.org/10.1109/TCBB.2018.2830384}, doi = {10.1109/TCBB.2018.2830384}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/WangC00Z19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tci/DongCACHSJWLY19, author = {Jiahui Dong and Weijian Cong and Danni Ai and Yakui Chu and Yong Huang and Hong Song and Yurong Jiang and Yongtian Wang and Min Li and Jian Yang}, title = {Multiresolution Cube Propagation for 3-D Ultrasound Image Reconstruction}, journal = {{IEEE} Trans. Computational Imaging}, volume = {5}, number = {2}, pages = {251--261}, year = {2019}, url = {https://doi.org/10.1109/TCI.2019.2891392}, doi = {10.1109/TCI.2019.2891392}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tci/DongCACHSJWLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/JuY19, author = {MinChul Ju and Hong{-}Chuan Yang}, title = {Optimum Design of Energy Harvesting Relay for Two-Way Decode-and-Forward Relay Networks Under Max-Min and Max-Sum Criterions}, journal = {{IEEE} Trans. Commun.}, volume = {67}, number = {10}, pages = {6682--6697}, year = {2019}, url = {https://doi.org/10.1109/TCOMM.2019.2927210}, doi = {10.1109/TCOMM.2019.2927210}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/JuY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LinCCCLO19, author = {Hong{-}Shiang Lin and Chao{-}Chin Chang and Hsu{-}Yu Chang and Yung{-}Yu Chuang and Tzong{-}Li Lin and Ming Ouhyoung}, title = {A Low-Cost Portable Polycamera for Stereoscopic 360{\textdegree} Imaging}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {29}, number = {4}, pages = {915--929}, year = {2019}, url = {https://doi.org/10.1109/TCSVT.2018.2818186}, doi = {10.1109/TCSVT.2018.2818186}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LinCCCLO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/GeZSWTZC19, author = {Hongwei Ge and Mingde Zhao and Liang Sun and Zhen Wang and Guozhen Tan and Qiang Zhang and C. L. Philip Chen}, title = {A Many-Objective Evolutionary Algorithm With Two Interacting Processes: Cascade Clustering and Reference Point Incremental Learning}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {23}, number = {4}, pages = {572--586}, year = {2019}, url = {https://doi.org/10.1109/TEVC.2018.2874465}, doi = {10.1109/TEVC.2018.2874465}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tec/GeZSWTZC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ZhangCHYC19, author = {Rui Zhang and Wenguang Chen and Tse{-}Chuan Hsu and Hongji Yang and Yeh{-}Ching Chung}, title = {{ANG:} a combination of Apriori and graph computing techniques for frequent itemsets mining}, journal = {J. Supercomput.}, volume = {75}, number = {2}, pages = {646--661}, year = {2019}, url = {https://doi.org/10.1007/s11227-017-2049-z}, doi = {10.1007/S11227-017-2049-Z}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ZhangCHYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhaoZWHTH19, author = {Peilin Zhao and Yifan Zhang and Min Wu and Steven C. H. Hoi and Mingkui Tan and Junzhou Huang}, title = {Adaptive Cost-Sensitive Online Classification}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {31}, number = {2}, pages = {214--228}, year = {2019}, url = {https://doi.org/10.1109/TKDE.2018.2826011}, doi = {10.1109/TKDE.2018.2826011}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/ZhaoZWHTH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/NguyenLCW19, author = {Ngoc{-}Tu Nguyen and Bing{-}Hong Liu and Shao{-}I Chu and Hao{-}Zhe Weng}, title = {Challenges, Designs, and Performances of a Distributed Algorithm for Minimum-Latency of Data-Aggregation in Multi-Channel WSNs}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {16}, number = {1}, pages = {192--205}, year = {2019}, url = {https://doi.org/10.1109/TNSM.2018.2884445}, doi = {10.1109/TNSM.2018.2884445}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/NguyenLCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/SongLHDJ19, author = {Liang Song and Chunyan Liu and Hejiao Huang and Hongwei Du and Xiaohua Jia}, title = {Minimum Connected Dominating Set Under Routing Cost Constraint in Wireless Sensor Networks With Different Transmission Ranges}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {27}, number = {2}, pages = {546--559}, year = {2019}, url = {https://doi.org/10.1109/TNET.2019.2894749}, doi = {10.1109/TNET.2019.2894749}, timestamp = {Wed, 30 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ton/SongLHDJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/XingMTLSPF19, author = {Lantao Xing and Yateendra Mishra and Yu{-}Chu Tian and Gerard Ledwich and Hongye Su and Chen Peng and Minrui Fei}, title = {Dual-Consensus-Based Distributed Frequency Control for Multiple Energy Storage Systems}, journal = {{IEEE} Trans. Smart Grid}, volume = {10}, number = {6}, pages = {6396--6403}, year = {2019}, url = {https://doi.org/10.1109/TSG.2019.2904075}, doi = {10.1109/TSG.2019.2904075}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/XingMTLSPF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AliotoAABBCCCCC19, author = {Massimo Alioto and Magdy S. Abadir and Tughrul Arslan and Chirn Chye Boon and Andreas Burg and Chip{-}Hong Chang and Meng{-}Fan Chang and Yao{-}Wen Chang and Poki Chen and Pasquale Corsonello and Paolo Crovetti and Shiro Dosho and Rolf Drechsler and Ibrahim Abe M. Elfadel and Ruonan Han and Masanori Hashimoto and Chun{-}Huat Heng and Deukhyoun Heo and Tsung{-}Yi Ho and Houman Homayoun and Yuh{-}Shyan Hwang and Ajay Joshi and Rajiv V. Joshi and Tanay Karnik and Chulwoo Kim and Tony Tae{-}Hyoung Kim and Jaydeep Kulkarni and Volkan Kursun and Yoonmyung Lee and Hai Helen Li and Huawei Li and Prabhat Mishra and Baker Mohammad and Mehran Mozaffari Kermani and Makoto Nagata and Koji Nii and Partha Pratim Pande and Bipul C. Paul and Vasilis F. Pavlidis and Jos{\'{e}} Pineda de Gyvez and Ioannis Savidis and Patrick Schaumont and Fabio Sebastiano and Anirban Sengupta and Mingoo Seok and Mircea R. Stan and Mark M. Tehranipoor and Aida Todri{-}Sanial and Marian Verhelst and Valerio Vignoli and Xiaoqing Wen and Jiang Xu and Wei Zhang and Zhengya Zhang and Jun Zhou and Mark Zwolinski and Stacey Weber}, title = {Editorial {TVLSI} Positioning - Continuing and Accelerating an Upward Trajectory}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {2}, pages = {253--280}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2018.2886389}, doi = {10.1109/TVLSI.2018.2886389}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/AliotoAABBCCCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LiuYLJL19, author = {Yiming Liu and F. Richard Yu and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Decentralized Resource Allocation for Video Transcoding and Delivery in Blockchain-Based System With Mobile Edge Computing}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {11}, pages = {11169--11185}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2937351}, doi = {10.1109/TVT.2019.2937351}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LiuYLJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/XuGDFZL19, author = {Tong Xu and Deyun Gao and Ping Dong and Chuan Heng Foh and Hongke Zhang and Victor C. M. Leung}, title = {Improving the Security of Wireless Communications on High-Speed Trains by Efficient Authentication in {SCN-R}}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {8}, pages = {7283--7295}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2920988}, doi = {10.1109/TVT.2019.2920988}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/XuGDFZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/JiangLTX19, author = {Qi Jiang and Victor C. M. Leung and Hao Tang and Hongsheng Xi}, title = {QoS-Guaranteed Adaptive Bandwidth Allocation for Mobile Multiuser Scalable Video Streaming}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {3}, pages = {721--724}, year = {2019}, url = {https://doi.org/10.1109/LWC.2018.2889078}, doi = {10.1109/LWC.2018.2889078}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/JiangLTX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/ShenHXGZ19, author = {Hong Shen and Zhenyao He and Wei Xu and Shulei Gong and Chunming Zhao}, title = {Is Full-Duplex Relaying More Energy Efficient Than Half-Duplex Relaying?}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {3}, pages = {841--844}, year = {2019}, url = {https://doi.org/10.1109/LWC.2019.2895649}, doi = {10.1109/LWC.2019.2895649}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/ShenHXGZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/XuWHLS19, author = {Zhiping Xu and Lin Wang and Shaohua Hong and Francis C. M. Lau and Chiu{-}Wing Sham}, title = {Joint Shuffled Scheduling Decoding Algorithm for {DP-LDPC} Codes-Based {JSCC} Systems}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {6}, pages = {1696--1699}, year = {2019}, url = {https://doi.org/10.1109/LWC.2019.2937766}, doi = {10.1109/LWC.2019.2937766}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/XuWHLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/ChenLJL19, author = {Lei Chen and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Computation offloading balance in small cell networks with mobile edge computing}, journal = {Wirel. Networks}, volume = {25}, number = {7}, pages = {4133--4145}, year = {2019}, url = {https://doi.org/10.1007/s11276-018-1735-y}, doi = {10.1007/S11276-018-1735-Y}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/ChenLJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/LeeLWLY19, author = {Chung{-}Nan Lee and Jun{-}Hong Lin and Chih{-}Feng Wu and Ming{-}Feng Lee and Fu{-}Ming Yeh}, title = {A dynamic {CRE} and {ABS} scheme for enhancing network capacity in LTE-advanced heterogeneous networks}, journal = {Wirel. Networks}, volume = {25}, number = {6}, pages = {3307--3322}, year = {2019}, url = {https://doi.org/10.1007/s11276-018-1723-2}, doi = {10.1007/S11276-018-1723-2}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/LeeLWLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acii/HongLCL19, author = {Hui{-}Ting Hong and Jeng{-}Lin Li and Chun{-}Min Chang and Chi{-}Chun Lee}, title = {Improving Automatic Pain Level Recognition using Pain Site as an Auxiliary Task}, booktitle = {8th International Conference on Affective Computing and Intelligent Interaction Workshops and Demos, {ACII} Workshops 2019, Cambridge, United Kingdom, September 3-6, 2019}, pages = {284--289}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ACIIW.2019.8925185}, doi = {10.1109/ACIIW.2019.8925185}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acii/HongLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acml/YangLCL19, author = {Yao{-}Yuan Yang and Yi{-}An Lin and Hong{-}Min Chu and Hsuan{-}Tien Lin}, editor = {Wee Sun Lee and Taiji Suzuki}, title = {Deep Learning with a Rethinking Structure for Multi-label Classification}, booktitle = {Proceedings of The 11th Asian Conference on Machine Learning, {ACML} 2019, 17-19 November 2019, Nagoya, Japan}, series = {Proceedings of Machine Learning Research}, volume = {101}, pages = {125--140}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v101/yang19b.html}, timestamp = {Mon, 18 Nov 2019 16:57:55 +0100}, biburl = {https://dblp.org/rec/conf/acml/YangLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adhocnets/ShiW0Z19, author = {Fengfeng Shi and Jiaheng Wang and Hong Shen and Chunming Zhao}, editor = {Jun Zheng and Cheng Li and Peter Han Joo Chong and Weixiao Meng and Feng Yan}, title = {Energy-Efficient Power Allocation for Fading Device-to-Device Channels in Downlink Resource Sharing Communication}, booktitle = {Ad Hoc Networks - 11th {EAI} International Conference, {ADHOCNETS} 2019, Queenstown, New Zealand, November 18-21, 2019, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {306}, pages = {174--186}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-37262-0\_14}, doi = {10.1007/978-3-030-37262-0\_14}, timestamp = {Fri, 12 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/adhocnets/ShiW0Z19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/LiWMTG19, author = {Chunxiao Li and Hongchang Wang and Wei Min and Zhengyang Tang and Bin Gu}, title = {Predicting Financial Risk Using Non-Financial Data: Design and Evaluation of a Predictive Analytics Framework}, booktitle = {25th Americas Conference on Information Systems, {AMCIS} 2019, Canc{\'{u}}n, Mexico, August 15-17, 2019}, publisher = {Association for Information Systems}, year = {2019}, url = {https://aisel.aisnet.org/amcis2019/data\_science\_analytics\_for\_decision\_support/data\_science\_analytics\_for\_decision\_support/23}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcis/LiWMTG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/HongLL19, author = {Guo{-}Chih Hong and Chung{-}Nan Lee and Ming{-}Feng Lee}, title = {Dynamic Threshold for DDoS Mitigation in {SDN} Environment}, booktitle = {2019 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2019, Lanzhou, China, November 18-21, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/APSIPAASC47483.2019.9023229}, doi = {10.1109/APSIPAASC47483.2019.9023229}, timestamp = {Fri, 13 Mar 2020 10:17:58 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/HongLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/HongWSW19, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Ming{-}Hsiang Su and Hsin{-}Min Wang}, title = {Sequential Speaker Embedding and Transfer Learning for Text-Independent Speaker Identification}, booktitle = {2019 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2019, Lanzhou, China, November 18-21, 2019}, pages = {827--832}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/APSIPAASC47483.2019.9023153}, doi = {10.1109/APSIPAASC47483.2019.9023153}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/HongWSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/HongLHLWL19, author = {Tzung{-}Pei Hong and Cheng{-}Yu Lin and Wei{-}Ming Huang and Shu{-}Min Li and Shyue{-}Liang Wang and Jerry Chun{-}Wei Lin}, editor = {Chaitanya K. Baru and Jun Huan and Latifur Khan and Xiaohua Hu and Ronay Ak and Yuanyuan Tian and Roger S. Barga and Carlo Zaniolo and Kisung Lee and Yanfang (Fanny) Ye}, title = {Mining Temporal Fuzzy Utility Itemsets by Tree Structure}, booktitle = {2019 {IEEE} International Conference on Big Data {(IEEE} BigData), Los Angeles, CA, USA, December 9-12, 2019}, pages = {2659--2663}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BigData47090.2019.9006317}, doi = {10.1109/BIGDATA47090.2019.9006317}, timestamp = {Tue, 18 Oct 2022 14:44:48 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/HongLHLWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/WuLFWHP19, author = {Jimmy Ming{-}Tai Wu and Jerry Chun{-}Wei Lin and Philippe Fournier{-}Viger and Tomasz Wiktorski and Tzung{-}Pei Hong and Matin Pirouz}, editor = {Chaitanya K. Baru and Jun Huan and Latifur Khan and Xiaohua Hu and Ronay Ak and Yuanyuan Tian and Roger S. Barga and Carlo Zaniolo and Kisung Lee and Yanfang (Fanny) Ye}, title = {A GA-based Framework for Mining High Fuzzy Utility Itemsets}, booktitle = {2019 {IEEE} International Conference on Big Data {(IEEE} BigData), Los Angeles, CA, USA, December 9-12, 2019}, pages = {2708--2715}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BigData47090.2019.9006171}, doi = {10.1109/BIGDATA47090.2019.9006171}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/WuLFWHP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiATGZ0a19, author = {Codruta O. Ancuti and Cosmin Ancuti and Radu Timofte and Luc Van Gool and Lei Zhang and Ming{-}Hsuan Yang and Tiantong Guo and Xuelu Li and Venkateswararao Cherukuri and Vishal Monga and Hao Jiang and Siyuan Yang and Yan Liu and Xiaochao Qu and Pengfei Wan and Dongwon Park and Se Young Chun and Ming Hong and Jinying Huang and Yizi Chen and Shuxin Chen and Bomin Wang and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Jing Liu and Sanchayan Santra and Ranjan Mondal and Bhabatosh Chanda and Peter Morales and Tzofi Klinghoffer and Le Manh Quan and Yong{-}Guk Kim and Xiao Liang and Runde Li and Jinshan Pan and Jinhui Tang and Kuldeep Purohit and Maitreya Suin and A. N. Rajagopalan and Raimondo Schettini and Simone Bianco and Flavio Piccoli and Claudio Cusano and Luigi Celona and Sunhee Hwang and Yu Seung Ma and Hyeran Byun and Subrahmanyam Murala and Akshay Dudhane and Harshjeet Singh Aulakh and Tianxiang Zheng and Tao Zhang and Weining Qin and Runnan Zhou and Shanhu Wang and Jean{-}Philippe Tarel and Chuansheng Wang and Jiawei Wu}, title = {{NTIRE} 2019 Image Dehazing Challenge Report}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2241--2253}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Ancuti\_NTIRE\_2019\_Image\_Dehazing\_Challenge\_Report\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00277}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiATGZ0a19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Cai\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00274}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CaiGTZa19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WanLCC19, author = {Timmy S. T. Wan and Jia{-}Hong Lee and Yi{-}Ming Chan and Chu{-}Song Chen}, title = {Co-Compressing and Unifying Deep {CNN} Models for Efficient Human Face and Speaker Recognition}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {461--468}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/MULA/Wan\_Co-Compressing\_and\_Unifying\_Deep\_CNN\_Models\_for\_Efficient\_Human\_Face\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00060}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WanLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HongHCFW19, author = {Pei{-}Lun Hong and Jyun{-}Ya Hsiao and Chi{-}Hsun Chung and Yao{-}Min Feng and Shun{-}Chi Wu}, title = {{ECG} Biometric Recognition: Template-Free Approaches Based on Deep Learning}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {2633--2636}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8856916}, doi = {10.1109/EMBC.2019.8856916}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/HongHCFW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/FanYDGBYXM19, author = {Chuang Fan and Hongyu Yan and Jiachen Du and Lin Gui and Lidong Bing and Min Yang and Ruifeng Xu and Ruibin Mao}, editor = {Kentaro Inui and Jing Jiang and Vincent Ng and Xiaojun Wan}, title = {A Knowledge Regularized Hierarchical Approach for Emotion Cause Analysis}, booktitle = {Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing, {EMNLP-IJCNLP} 2019, Hong Kong, China, November 3-7, 2019}, pages = {5613--5623}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/D19-1563}, doi = {10.18653/V1/D19-1563}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/FanYDGBYXM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/enter/ChengRHNK19, author = {Ao Cheng and Gang Ren and Taeho Hong and Kichan Nam and Chulmo Koo}, editor = {Juho Pesonen and Julia Neidhardt}, title = {An Exploratory Analysis of Travel-Related WeChat Mini Program Usage: Affordance Theory Perspective}, booktitle = {Information and Communication Technologies in Tourism 2019, {ENTER} 2019, Proceedings of the International Conference in Nicosia, Cyprus, January 30-February 1, 2019}, pages = {333--343}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-05940-8\_26}, doi = {10.1007/978-3-030-05940-8\_26}, timestamp = {Thu, 07 Feb 2019 08:06:22 +0100}, biburl = {https://dblp.org/rec/conf/enter/ChengRHNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/MoonCKKK19, author = {Jung Min Moon and Chan Jun Chun and Jun Ho Kim and Hong Kook Kim and Tae Woo Kim}, title = {Multi-Channel Audio Source Separation Using Azimuth-Frequency Analysis and Convolutional Neural Network}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2019, Okinawa, Japan, February 11-13, 2019}, pages = {500--503}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICAIIC.2019.8668841}, doi = {10.1109/ICAIIC.2019.8668841}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/MoonCKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HuangWHSC19, author = {Kun{-}Yi Huang and Chung{-}Hsien Wu and Qian{-}Bei Hong and Ming{-}Hsiang Su and Yi{-}Hsuan Chen}, title = {Speech Emotion Recognition Using Deep Neural Network Considering Verbal and Nonverbal Speech Sounds}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {5866--5870}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8682283}, doi = {10.1109/ICASSP.2019.8682283}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HuangWHSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChouWCWCLCW19, author = {Shih{-}Jie Chou and Tzong{-}Dar Wu and Zhi{-}Jun Chen and Rui{-}Cian Weng and Chun{-}Ming Chang and Yen{-}Pei Lu and Chun{-}Li Chang and Wen{-}Hong Wu}, title = {Early Warning System for Smart Street Lights}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991802}, doi = {10.1109/ICCE-TW46550.2019.8991802}, timestamp = {Thu, 25 Nov 2021 08:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChouWCWCLCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LiuHWCJ19, author = {Chia{-}Feng Liu and Pao{-}Cheng Huang and Min{-}Haw Wang and Chun{-}Hong Chen and Ling{-}Sheng Jang}, title = {A Microwave Resonator Biosensor Based on {MEMS} Technology and Rough Cu Electrodes for Biological Cell Detection}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991750}, doi = {10.1109/ICCE-TW46550.2019.8991750}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LiuHWCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/HongKWK19, author = {Je Hyeong Hong and Young Min Kim and Koang{-}Chul Wi and Jinwook Kim}, title = {PotSAC: {A} Robust Axis Estimator for Axially Symmetric Pot Fragments}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {1421--1428}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00179}, doi = {10.1109/ICCVW.2019.00179}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/HongKWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanBZRGBDDN19, author = {Matej Kristan and Amanda Berg and Linyu Zheng and Litu Rout and Luc Van Gool and Luca Bertinetto and Martin Danelljan and Matteo Dunnhofer and Meng Ni and Min Young Kim and Ming Tang and Ming{-}Hsuan Yang and Abdelrahman Eldesokey and Naveen Paluru and Niki Martinel and Pengfei Xu and Pengfei Zhang and Pengkun Zheng and Pengyu Zhang and Philip H. S. Torr and Qi Zhang and Qiang Wang and Qing Guo and Radu Timofte and Jani K{\"{a}}pyl{\"{a}} and Rama Krishna Sai Subrahmanyam Gorthi and Richard M. Everson and Ruize Han and Ruohan Zhang and Shan You and Shao{-}Chuan Zhao and Shengwei Zhao and Shihu Li and Shikun Li and Shiming Ge and Gustavo Fern{\'{a}}ndez and Shuai Bai and Shuosen Guan and Tengfei Xing and Tianyang Xu and Tianyu Yang and Ting Zhang and Tom{\'{a}}s Voj{\'{\i}}r and Wei Feng and Weiming Hu and Weizhao Wang and Abel Gonzalez{-}Garcia and Wenjie Tang and Wenjun Zeng and Wenyu Liu and Xi Chen and Xi Qiu and Xiang Bai and Xiao{-}Jun Wu and Xiaoyun Yang and Xier Chen and Xin Li and Alireza Memarmoghadam and Xing Sun and Xingyu Chen and Xinmei Tian and Xu Tang and Xuefeng Zhu and Yan Huang and Yanan Chen and Yanchao Lian and Yang Gu and Yang Liu and Andong Lu and Yanjie Chen and Yi Zhang and Yinda Xu and Yingming Wang and Yingping Li and Yu Zhou and Yuan Dong and Yufei Xu and Yunhua Zhang and Yunkun Li and Anfeng He and Zeyu Wang and Zhao Luo and Zhaoliang Zhang and Zhen{-}Hua Feng and Zhenyu He and Zhichao Song and Zhihao Chen and Zhipeng Zhang and Zhirong Wu and Zhiwei Xiong and Zhongjian Huang and Anton Varfolomieiev and Zhu Teng and Zihan Ni and Antoni B. Chan and Jir{\'{\i}} Matas and Ardhendu Shekhar Tripathi and Arnold W. M. Smeulders and Bala Suraj Pedasingu and Bao Xin Chen and Baopeng Zhang and Baoyuan Wu and Bi Li and Bin He and Bin Yan and Bing Bai and Ales Leonardis and Bing Li and Bo Li and Byeong Hak Kim and Chao Ma and Chen Fang and Chen Qian and Cheng Chen and Chenglong Li and Chengquan Zhang and Chi{-}Yi Tsai and Michael Felsberg and Chong Luo and Christian Micheloni and Chunhui Zhang and Dacheng Tao and Deepak Gupta and Dejia Song and Dong Wang and Efstratios Gavves and Eunu Yi and Fahad Shahbaz Khan and Roman P. Pflugfelder and Fangyi Zhang and Fei Wang and Fei Zhao and George De Ath and Goutam Bhat and Guangqi Chen and Guangting Wang and Guoxuan Li and Hakan Cevikalp and Hao Du and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Haojie Zhao and Hasan Saribas and Ho Min Jung and Hongliang Bai and Hongyuan Yu and Houwen Peng and Huchuan Lu and Hui Li and Jiakun Li and Luka Cehovin Zajc and Jianhua Li and Jianlong Fu and Jie Chen and Jie Gao and Jie Zhao and Jin Tang and Jing Li and Jingjing Wu and Jingtuo Liu and Jinqiao Wang and Ondrej Drbohlav and Jinqing Qi and Jinyue Zhang and John K. Tsotsos and Jong Hyuk Lee and Joost van de Weijer and Josef Kittler and Jun Ha Lee and Junfei Zhuang and Kangkai Zhang and Kangkang Wang and Alan Lukezic and Kenan Dai and Lei Chen and Lei Liu and Leida Guo and Li Zhang and Liang Wang and Liangliang Wang and Lichao Zhang and Lijun Wang and Lijun Zhou}, title = {The Seventh Visual Object Tracking {VOT2019} Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {2206--2241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00276}, doi = {10.1109/ICCVW.2019.00276}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanBZRGBDDN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/HongCHL19, author = {Tzung{-}Pei Hong and Ping{-}Hung Chiang and Wei{-}Ming Huang and Jerry Chun{-}Wei Lin}, editor = {Panagiotis Papapetrou and Xueqi Cheng and Qing He}, title = {Fuzzy Utility Mining Under Minimum Weight Constraint of Multiple Item Weights}, booktitle = {2019 International Conference on Data Mining Workshops, {ICDM} Workshops 2019, Beijing, China, November 8-11, 2019}, pages = {982--986}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDMW.2019.00142}, doi = {10.1109/ICDMW.2019.00142}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/HongCHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/ChenGHWW19, author = {Chun{-}Hao Chen and Munkhjargal Gankhuyag and Tzung{-}Pei Hong and Mu{-}En Wu and Jimmy Ming{-}Tai Wu}, editor = {Jeng{-}Shyang Pan and Jerry Chun{-}Wei Lin and Yongquan Liang and Shu{-}Chuan Chu}, title = {A Multiobjective-Based Group Trading Strategy Portfolio Optimization Technique}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Thirteenth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2019, November 1-3, 2019, Qingdao, China}, series = {Advances in Intelligent Systems and Computing}, volume = {1107}, pages = {87--93}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-3308-2\_10}, doi = {10.1007/978-981-15-3308-2\_10}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/ChenGHWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/0001YGZLY19, author = {Jie Chang and Minquan Ye and Naijie Gu and Xiaoci Zhang and Chuanwen Lin and Hong Ye}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Automatical Pulmonary Nodule Detection by Feature Contrast Learning}, booktitle = {Intelligent Computing Theories and Application - 15th International Conference, {ICIC} 2019, Nanchang, China, August 3-6, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11643}, pages = {45--53}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26763-6\_5}, doi = {10.1007/978-3-030-26763-6\_5}, timestamp = {Tue, 30 Jul 2019 13:29:10 +0200}, biburl = {https://dblp.org/rec/conf/icic/0001YGZLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ZhaZQY19, author = {Hongyue Zha and Weiming Zhang and Chuan Qin and Nenghai Yu}, title = {Direct Adversarial Attack on Stego Sandwiched Between Black Boxes}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {2284--2288}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8804415}, doi = {10.1109/ICIP.2019.8804415}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ZhaZQY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/WangLGM19, author = {Hongchang Wang and Chunxiao Li and Bin Gu and Wei Min}, editor = {Helmut Krcmar and Jane Fedorowicz and Wai Fong Boh and Jan Marco Leimeister and Sunil Wattal}, title = {Does AI-based Credit Scoring Improve Financial Inclusion? Evidence from Online Payday Lending}, booktitle = {Proceedings of the 40th International Conference on Information Systems, {ICIS} 2019, Munich, Germany, December 15-18, 2019}, publisher = {Association for Information Systems}, year = {2019}, url = {https://aisel.aisnet.org/icis2019/blockchain\_fintech/blockchain\_fintech/20}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icis/WangLGM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/LinH19, author = {Chuan{-}Bi Lin and Z.{-}Ming Hong}, title = {Design and Development of Environmental Protection System Based on {CNC} Machine}, booktitle = {{IEEE} 2nd International Conference on Knowledge Innovation and Invention, {ICKII} 2019, Seoul, Korea (South), July 12-15, 2019}, pages = {97--99}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICKII46306.2019.9042745}, doi = {10.1109/ICKII46306.2019.9042745}, timestamp = {Thu, 09 Apr 2020 18:05:21 +0200}, biburl = {https://dblp.org/rec/conf/ickii/LinH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/LinFHLWH19, author = {Chih{-}Min Lin and Sheng{-}Yu Fu and Ding{-}Yong Hong and Yu{-}Ping Liu and Jan{-}Jan Wu and Wei{-}Chung Hsu}, title = {Exploiting Vector Processing in Dynamic Binary Translation}, booktitle = {Proceedings of the 48th International Conference on Parallel Processing, {ICPP} 2019, Kyoto, Japan, August 05-08, 2019}, pages = {93:1--93:10}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3337821.3337844}, doi = {10.1145/3337821.3337844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/LinFHLWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/LiCHS19, author = {Jian{-}Wei Li and Yi{-}Chun Chang and Wei{-}Hsiang Hsu and Hong{-}Ming Shih}, title = {An Integrated Indoor Positioning System with Web Internet of Things}, booktitle = {8th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2019, Toyama, Japan, July 7-11, 2019}, pages = {56--59}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IIAI-AAI.2019.00022}, doi = {10.1109/IIAI-AAI.2019.00022}, timestamp = {Tue, 25 Feb 2020 17:16:42 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/LiCHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/LuoHCLZZ19, author = {Chuan Luo and Holger H. Hoos and Shaowei Cai and Qingwei Lin and Hongyu Zhang and Dongmei Zhang}, editor = {Sarit Kraus}, title = {Local Search with Efficient Automatic Configuration for Minimum Vertex Cover}, booktitle = {Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, {IJCAI} 2019, Macao, China, August 10-16, 2019}, pages = {1297--1304}, publisher = {ijcai.org}, year = {2019}, url = {https://doi.org/10.24963/ijcai.2019/180}, doi = {10.24963/IJCAI.2019/180}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/LuoHCLZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HongLWNL19, author = {Hui{-}Ting Hong and Jeng{-}Lin Li and Yi{-}Ming Weng and Chip{-}Jin Ng and Chi{-}Chun Lee}, editor = {Gernot Kubin and Zdravko Kacic}, title = {Investigating the Variability of Voice Quality and Pain Levels as a Function of Multiple Clinical Parameters}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {3058--3062}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-2247}, doi = {10.21437/INTERSPEECH.2019-2247}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HongLWNL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ZouYJLXAZLWLSWC19, author = {Xingqi Zou and Liang Yan and Lei Jin and Da Li and Feng Xu and Di Ai and An Zhang and Hongtao Liu and Ming Wang and Wei Li and Yali Song and Huazheng Wei and Yi Chen and Chunlong Li and Zongliang Huo}, title = {Cycling Induced Trap Generation and Recovery Near the Top Select Gate Transistor in 3D {NAND}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey, CA, USA, March 31 - April 4, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IRPS.2019.8720607}, doi = {10.1109/IRPS.2019.8720607}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ZouYJLXAZLWLSWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HungLPWHLHZJLLH19, author = {Chih{-}Ming Hung and Alex T. C. Lin and B. C. Peng and Hua Wang and Jui{-}Lin Hsu and Yen{-}Ju Lu and Wei{-}Show Hsu and Jing{-}Hong Conan Zhan and Brian Juan and Chi{-}Hang Lok and Sam Lee and P. C. Hsiao and Qiang Zhou and Mark Wei and Hsiang{-}Yun Chu and Yu{-}Lun Chen and Chao{-}Ching Hung and Kevin Fong and Po{-}Chun Huang and Pierce Chen and Sheng{-}Yuan Su and Yan{-}Jiun Chen and Kehou Chen and Chun{-}Chao Tung and Yi{-}Jhan Hsieh and Tzung{-}Chuen Tsai and Yi{-}Fu Chen and Wei{-}Kuo Hsin and Liang Guo and Hanfei Liu and Dapeng Jin}, title = {Toward Automotive Surround-View Radars}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {162--164}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662489}, doi = {10.1109/ISSCC.2019.8662489}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HungLPWHLHZJLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/ShenLHHL19, author = {Jianhuo Shen and Teng Li and Chuanrui Hu and Hong He and Jianfei Liu}, editor = {Kensaku Mori and Horst K. Hahn}, title = {Automatic cell segmentation using mini-u-net on fluorescence in situ hybridization images}, booktitle = {Medical Imaging 2019: Computer-Aided Diagnosis, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10950}, pages = {109502T}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2513394}, doi = {10.1117/12.2513394}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/ShenLHHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LiuXZXSZ19, author = {Chuanbin Liu and Hongtao Xie and Sicheng Zhang and Jingyuan Xu and Jun Sun and Yongdong Zhang}, editor = {Dinggang Shen and Tianming Liu and Terry M. Peters and Lawrence H. Staib and Caroline Essert and Sean Zhou and Pew{-}Thian Yap and Ali R. Khan}, title = {Misshapen Pelvis Landmark Detection by Spatial Local Correlation Mining for Diagnosing Developmental Dysplasia of the Hip}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2019 - 22nd International Conference, Shenzhen, China, October 13-17, 2019, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {11769}, pages = {441--449}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32226-7\_49}, doi = {10.1007/978-3-030-32226-7\_49}, timestamp = {Mon, 19 Feb 2024 14:24:13 +0100}, biburl = {https://dblp.org/rec/conf/miccai/LiuXZXSZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mipr/ChouLCC19, author = {Hsin{-}Rung Chou and Jia{-}Hong Lee and Yi{-}Ming Chan and Chu{-}Song Chen}, title = {Data-Specific Adaptive Threshold for Face Recognition and Authentication}, booktitle = {2nd {IEEE} Conference on Multimedia Information Processing and Retrieval, {MIPR} 2019, San Jose, CA, USA, March 28-30, 2019}, pages = {153--156}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MIPR.2019.00034}, doi = {10.1109/MIPR.2019.00034}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mipr/ChouLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mir/HungLWCCC19, author = {Steven C. Y. Hung and Jia{-}Hong Lee and Timmy S. T. Wan and Chien{-}Hung Chen and Yi{-}Ming Chan and Chu{-}Song Chen}, editor = {Abdulmotaleb El{-}Saddik and Alberto Del Bimbo and Zhongfei Zhang and Alexander G. Hauptmann and K. Sel{\c{c}}uk Candan and Marco Bertini and Lexing Xie and Xiao{-}Yong Wei}, title = {Increasingly Packing Multiple Facial-Informatics Modules in {A} Unified Deep-Learning Model via Lifelong Learning}, booktitle = {Proceedings of the 2019 on International Conference on Multimedia Retrieval, {ICMR} 2019, Ottawa, ON, Canada, June 10-13, 2019}, pages = {339--343}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3323873.3325053}, doi = {10.1145/3323873.3325053}, timestamp = {Fri, 02 Jun 2023 16:15:08 +0200}, biburl = {https://dblp.org/rec/conf/mir/HungLWCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/LinWLKL19, author = {Jen{-}Chun Lin and Wen{-}Li Wei and Tyng{-}Luh Liu and C.{-}C. Jay Kuo and Mark Liao}, editor = {Laurent Amsaleg and Benoit Huet and Martha A. Larson and Guillaume Gravier and Hayley Hung and Chong{-}Wah Ngo and Wei Tsang Ooi}, title = {Tell Me Where It is Still Blurry: Adversarial Blurred Region Mining and Refining}, booktitle = {Proceedings of the 27th {ACM} International Conference on Multimedia, {MM} 2019, Nice, France, October 21-25, 2019}, pages = {702--710}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3343031.3351038}, doi = {10.1145/3343031.3351038}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/LinWLKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/LiuZLYZSH19, author = {Chenghao Liu and Teng Zhang and Jundong Li and Jianwen Yin and Peilin Zhao and Jianling Sun and Steven C. H. Hoi}, editor = {Tanya Y. Berger{-}Wolf and Nitesh V. Chawla}, title = {Robust Factorization Machine: {A} Doubly Capped Norms Minimization}, booktitle = {Proceedings of the 2019 {SIAM} International Conference on Data Mining, {SDM} 2019, Calgary, Alberta, Canada, May 2-4, 2019}, pages = {738--746}, publisher = {{SIAM}}, year = {2019}, url = {https://doi.org/10.1137/1.9781611975673.83}, doi = {10.1137/1.9781611975673.83}, timestamp = {Fri, 04 Oct 2019 16:53:59 +0200}, biburl = {https://dblp.org/rec/conf/sdm/LiuZLYZSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/TianZZLYWWJSZYT19, author = {Bingchuan Tian and Xinyi Zhang and Ennan Zhai and Hongqiang Harry Liu and Qiaobo Ye and Chunsheng Wang and Xin Wu and Zhiming Ji and Yihong Sang and Ming Zhang and Da Yu and Chen Tian and Haitao Zheng and Ben Y. Zhao}, editor = {Jianping Wu and Wendy Hall}, title = {Safely and automatically updating in-network {ACL} configurations with intent language}, booktitle = {Proceedings of the {ACM} Special Interest Group on Data Communication, {SIGCOMM} 2019, Beijing, China, August 19-23, 2019}, pages = {214--226}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3341302.3342088}, doi = {10.1145/3341302.3342088}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/TianZZLYWWJSZYT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/GuHWCH19, author = {Chen{-}Sheng Gu and Hong{-}Po Hsieh and Chung{-}Shu Wu and Ray{-}I Chang and Jan{-}Ming Ho}, title = {A Fund Selection Robo-Advisor with Deep-learning Driven Market Prediction}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {2845--2850}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914183}, doi = {10.1109/SMC.2019.8914183}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/GuHWCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LinWFHL19, author = {Jerry Chun{-}Wei Lin and Jimmy Ming{-}Tai Wu and Philippe Fournier{-}Viger and Tzung{-}Pei Hong and Ting Li}, title = {Efficient Mining of High Average-Utility Sequential Patterns from Uncertain Databases}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {1989--1994}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914546}, doi = {10.1109/SMC.2019.8914546}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/LinWFHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/ChanCMS19, author = {T.{-}H. Hubert Chan and Kai{-}Min Chung and Bruce M. Maggs and Elaine Shi}, editor = {Timothy M. Chan}, title = {Foundations of Differentially Oblivious Algorithms}, booktitle = {Proceedings of the Thirtieth Annual {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2019, San Diego, California, USA, January 6-9, 2019}, pages = {2448--2467}, publisher = {{SIAM}}, year = {2019}, url = {https://doi.org/10.1137/1.9781611975482.150}, doi = {10.1137/1.9781611975482.150}, timestamp = {Thu, 15 Jul 2021 13:49:01 +0200}, biburl = {https://dblp.org/rec/conf/soda/ChanCMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/HongCHC19, author = {Tzung{-}Pei Hong and Hsiang{-}Wei Chen and Wei{-}Ming Huang and Chun{-}Hao Chen}, title = {Erasable Pattern Mining with Quantitative Information}, booktitle = {2019 International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2019, Kaohsiung, Taiwan, November 21-23, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TAAI48200.2019.8959876}, doi = {10.1109/TAAI48200.2019.8959876}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/taai/HongCHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/YangLWLT19, author = {Jheng{-}Hong Yang and Sheng{-}Chieh Lin and Chuan{-}Ju Wang and Jimmy Lin and Ming{-}Feng Tsai}, editor = {Ellen M. Voorhees and Angela Ellis}, title = {Query and Answer Expansion from Conversation History}, booktitle = {Proceedings of the Twenty-Eighth Text REtrieval Conference, {TREC} 2019, Gaithersburg, Maryland, USA, November 13-15, 2019}, series = {{NIST} Special Publication}, volume = {1250}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2019}, url = {https://trec.nist.gov/pubs/trec28/papers/CFDA\_CLIP.C.pdf}, timestamp = {Wed, 03 Feb 2021 08:31:24 +0100}, biburl = {https://dblp.org/rec/conf/trec/YangLWLT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-08486, author = {Hsuan{-}Kung Yang and Po{-}Han Chiang and Kuan{-}Wei Ho and Min{-}Fong Hong and Chun{-}Yi Lee}, title = {Never Forget: Balancing Exploration and Exploitation via Learning Optical Flow}, journal = {CoRR}, volume = {abs/1901.08486}, year = {2019}, url = {http://arxiv.org/abs/1901.08486}, eprinttype = {arXiv}, eprint = {1901.08486}, timestamp = {Sat, 02 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-08486.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-09582, author = {Wensheng Gan and Jerry Chun{-}Wei Lin and Jiexiong Zhang and Hongzhi Yin and Philippe Fournier{-}Viger and Han{-}Chieh Chao and Philip S. Yu}, title = {Utility Mining Across Multi-Dimensional Sequences}, journal = {CoRR}, volume = {abs/1902.09582}, year = {2019}, url = {http://arxiv.org/abs/1902.09582}, eprinttype = {arXiv}, eprint = {1902.09582}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-09582.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07386, author = {Kong Aik Lee and Ville Hautam{\"{a}}ki and Tomi Kinnunen and Hitoshi Yamamoto and Koji Okabe and Ville Vestman and Jing Huang and Guohong Ding and Hanwu Sun and Anthony Larcher and Rohan Kumar Das and Haizhou Li and Mickael Rouvier and Pierre{-}Michel Bousquet and Wei Rao and Qing Wang and Chunlei Zhang and Fahimeh Bahmaninezhad and H{\'{e}}ctor Delgado and Jose Patino and Qiongqiong Wang and Ling Guo and Takafumi Koshinaka and Jiacen Zhang and Koichi Shinoda and Trung Ngo Trong and Md. Sahidullah and Fan Lu and Yun Tang and Ming Tu and Kah Kuan Teh and Tran Huy Dat and Kuruvachan K. George and Ivan Kukanov and Florent Desnous and Jichen Yang and Emre Yilmaz and Longting Xu and Jean{-}Fran{\c{c}}ois Bonastre and Chenglin Xu and Zhi Hao Lim and Eng Siong Chng and Shivesh Ranjan and John H. L. Hansen and Massimiliano Todisco and Nicholas W. D. Evans}, title = {{I4U} Submission to {NIST} {SRE} 2018: Leveraging from a Decade of Shared Experiences}, journal = {CoRR}, volume = {abs/1904.07386}, year = {2019}, url = {http://arxiv.org/abs/1904.07386}, eprinttype = {arXiv}, eprint = {1904.07386}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07386.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-08674, author = {Daniel S. Katz and Daina Bouquin and Neil P. Chue Hong and Jessica Hausman and Catherine Mary Jones and Daniel Chivvis and Tim Clark and Merc{\`{e}} Crosas and Stephan Druskat and Martin Fenner and Tom Gillespie and Alejandra N. Gonz{\'{a}}lez{-}Beltr{\'{a}}n and Morane Gruenpeter and Ted Habermann and Robert Haines and Melissa Harrison and Edwin A. Henneken and Lorraine J. Hwang and Matthew B. Jones and Alastair A. Kelly and David N. Kennedy and Katrin Leinweber and Fernando Rios and Carly Robinson and Ilian T. Todorov and Mingfang Wu and Qian Zhang}, title = {Software Citation Implementation Challenges}, journal = {CoRR}, volume = {abs/1905.08674}, year = {2019}, url = {http://arxiv.org/abs/1905.08674}, eprinttype = {arXiv}, eprint = {1905.08674}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-08674.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-10071, author = {Hsuan{-}Kung Yang and Po{-}Han Chiang and Min{-}Fong Hong and Chun{-}Yi Lee}, title = {Exploration via Flow-Based Intrinsic Rewards}, journal = {CoRR}, volume = {abs/1905.10071}, year = {2019}, url = {http://arxiv.org/abs/1905.10071}, eprinttype = {arXiv}, eprint = {1905.10071}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-10071.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-10075, author = {Hong Shen and Wei Xu and Shulei Gong and Zhenyao He and Chunming Zhao}, title = {Secrecy Rate Maximization for Intelligent Reflecting Surface Assisted Multi-Antenna Communications}, journal = {CoRR}, volume = {abs/1905.10075}, year = {2019}, url = {http://arxiv.org/abs/1905.10075}, eprinttype = {arXiv}, eprint = {1905.10075}, timestamp = {Tue, 02 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-10075.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-01650, author = {Sihem Mesnager and Yanfeng Qi and Hongming Ru and Chunming Tang}, title = {Minimal linear codes from characteristic functions}, journal = {CoRR}, volume = {abs/1908.01650}, year = {2019}, url = {http://arxiv.org/abs/1908.01650}, eprinttype = {arXiv}, eprint = {1908.01650}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-01650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-01938, author = {Chuanfeng Hu and Hongwei Lin}, title = {Heterogeneous porous scaffold generation in trivariate B-spline solid with triply periodic minimal surface in the parametric domain}, journal = {CoRR}, volume = {abs/1908.01938}, year = {2019}, url = {http://arxiv.org/abs/1908.01938}, eprinttype = {arXiv}, eprint = {1908.01938}, timestamp = {Fri, 09 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-01938.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-06907, author = {Arjun R. Akula and Changsong Liu and Sari Saba{-}Sadiya and Hongjing Lu and Sinisa Todorovic and Joyce Y. Chai and Song{-}Chun Zhu}, title = {X-ToM: Explaining with Theory-of-Mind for Gaining Justified Human Trust}, journal = {CoRR}, volume = {abs/1909.06907}, year = {2019}, url = {http://arxiv.org/abs/1909.06907}, eprinttype = {arXiv}, eprint = {1909.06907}, timestamp = {Mon, 23 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-06907.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-12170, author = {Woohyung Chun and Sung{-}Min Hong and Junho Huh and Inyup Kang}, title = {Mitigating large adversarial perturbations on {X-MAS} {(X} minus Moving Averaged Samples)}, journal = {CoRR}, volume = {abs/1912.12170}, year = {2019}, url = {http://arxiv.org/abs/1912.12170}, eprinttype = {arXiv}, eprint = {1912.12170}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-12170.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CaiWEHFL18, author = {Wei Cai and Zehua Wang and Jason B. Ernst and Zhen Hong and Chen Feng and Victor C. M. Leung}, title = {Decentralized Applications: The Blockchain-Empowered Software System}, journal = {{IEEE} Access}, volume = {6}, pages = {53019--53033}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2870644}, doi = {10.1109/ACCESS.2018.2870644}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/CaiWEHFL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XinK0CLZGHW18, author = {Yang Xin and Lingshuang Kong and Zhi Liu and Yuling Chen and Yan{-}Miao Li and Hongliang Zhu and Mingcheng Gao and Haixia Hou and Chunhua Wang}, title = {Machine Learning and Deep Learning Methods for Cybersecurity}, journal = {{IEEE} Access}, volume = {6}, pages = {35365--35381}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2836950}, doi = {10.1109/ACCESS.2018.2836950}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/XinK0CLZGHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XinK0WZGZX18, author = {Yang Xin and Lingshuang Kong and Zhi Liu and Chunhua Wang and Hongliang Zhu and Mingcheng Gao and Chensu Zhao and Xiaoke Xu}, title = {Multimodal Feature-Level Fusion for Biometrics Identification System on IoMT Platform}, journal = {{IEEE} Access}, volume = {6}, pages = {21418--21426}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2815540}, doi = {10.1109/ACCESS.2018.2815540}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/XinK0WZGZX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhouDLGLL18, author = {Ming Zhou and Zhe Dong and Hongyu Li and Chun Gan and Gengyin Li and Yilu Liu}, title = {Coordinated Control of {DFIG} Based Wind Farms and SGs for Improving Transient Stability}, journal = {{IEEE} Access}, volume = {6}, pages = {46844--46855}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2866252}, doi = {10.1109/ACCESS.2018.2866252}, timestamp = {Wed, 03 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhouDLGLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodatamining/YangWLCC18, author = {Cheng{-}Hong Yang and Kuo{-}Chuan Wu and Yu{-}Shiun Lin and Li{-}Yeh Chuang and Hsueh{-}Wei Chang}, title = {Protein folding prediction in the {HP} model using ions motion optimization with a greedy algorithm}, journal = {BioData Min.}, volume = {11}, number = {1}, pages = {17:1--17:14}, year = {2018}, url = {https://doi.org/10.1186/s13040-018-0176-6}, doi = {10.1186/S13040-018-0176-6}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodatamining/YangWLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/WuLLYCL18, author = {Chin{-}Chia Wu and Shang{-}Chia Liu and Tzu{-}Yun Lin and Tzu{-}Hsuan Yang and I{-}Hong Chung and Win{-}Chin Lin}, title = {Bicriterion total flowtime and maximum tardiness minimization for an order scheduling problem}, journal = {Comput. Ind. Eng.}, volume = {117}, pages = {152--163}, year = {2018}, url = {https://doi.org/10.1016/j.cie.2018.01.011}, doi = {10.1016/J.CIE.2018.01.011}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/WuLLYCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/SunXZCL18, author = {Lixue Sun and Chunxiang Xu and Mingwu Zhang and Kefei Chen and Hongwei Li}, title = {Secure searchable public key encryption against insider keyword guessing attacks from indistinguishability obfuscation}, journal = {Sci. China Inf. Sci.}, volume = {61}, number = {3}, pages = {038106:1--038106:3}, year = {2018}, url = {https://doi.org/10.1007/s11432-017-9124-0}, doi = {10.1007/S11432-017-9124-0}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/SunXZCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/YangLLTTZ18, author = {Ting Yang and Shujun Liu and Hongqing Liu and Ming{-}Chun Tang and Xiao{-}heng Tan and Xichuan Zhou}, title = {Noise benefits parameter estimation in {LMMSE} sense}, journal = {Digit. Signal Process.}, volume = {73}, pages = {153--163}, year = {2018}, url = {https://doi.org/10.1016/j.dsp.2017.11.006}, doi = {10.1016/J.DSP.2017.11.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/YangLLTTZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChuZW18, author = {Hongyun Chu and Le Zheng and Xiaodong Wang}, title = {Semi-Blind Millimeter-Wave Channel Estimation Using Atomic Norm Minimization}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {12}, pages = {2535--2538}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2018.2875716}, doi = {10.1109/LCOMM.2018.2875716}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ChuZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/XingYJL18, author = {Fangyuan Xing and Hongxi Yin and Xiuyang Ji and Victor C. M. Leung}, title = {An Adaptive and Energy-Efficient Algorithm for Surface Gateway Deployment in Underwater Optical/Acoustic Hybrid Sensor Networks}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {9}, pages = {1810--1813}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2018.2854279}, doi = {10.1109/LCOMM.2018.2854279}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/XingYJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DengYG18, author = {Chun Deng and Hong{-}Qiang Yang and Min Gong}, title = {30-43 GHz cascode sub-harmonic mixer in 0.13-{\(\mathrm{\mu}\)}m {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180793}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180793}, doi = {10.1587/ELEX.15.20180793}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DengYG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/FanHTMPZ18, author = {Chunxiao Fan and Xiaopeng Hong and Lei Tian and Yue Ming and Matti Pietik{\"{a}}inen and Guoying Zhao}, title = {PCANet-II: When PCANet Meets the Second Order Pooling}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {101-D}, number = {8}, pages = {2159--2162}, year = {2018}, url = {https://doi.org/10.1587/transinf.2017EDL8258}, doi = {10.1587/TRANSINF.2017EDL8258}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/FanHTMPZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/LiZYWZ18, author = {Rongpeng Li and Zhifeng Zhao and Chenyang Yang and Chunming Wu and Honggang Zhang}, title = {Wireless big data in cellular networks: the cornerstone of smart cities}, journal = {{IET} Commun.}, volume = {12}, number = {13}, pages = {1517--1523}, year = {2018}, url = {https://doi.org/10.1049/iet-com.2017.1278}, doi = {10.1049/IET-COM.2017.1278}, timestamp = {Wed, 12 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/LiZYWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/FanTMHZP18, author = {Chunxiao Fan and Lei Tian and Yue Ming and Xiaopeng Hong and Guoying Zhao and Matti Pietik{\"{a}}inen}, title = {Sparse projections matrix binary descriptors for face recognition}, journal = {Neurocomputing}, volume = {297}, pages = {8--21}, year = {2018}, url = {https://doi.org/10.1016/j.neucom.2018.02.021}, doi = {10.1016/J.NEUCOM.2018.02.021}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/FanTMHZP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/irob/LiuWFJF18, author = {Hong Liu and Jun Wu and Shaowei Fan and Minghe Jin and Chunguang Fan}, title = {Integrated virtual impedance control based pose correction for a simultaneous three-fingered end-effector}, journal = {Ind. Robot}, volume = {45}, number = {2}, pages = {255--266}, year = {2018}, url = {https://doi.org/10.1108/IR-09-2017-0173}, doi = {10.1108/IR-09-2017-0173}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/irob/LiuWFJF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itl/0002LZLC18, author = {Ngoc{-}Tu Nguyen and Ming C. Leu and Sherali Zeadally and Bing{-}Hong Liu and Shao{-}I Chu}, title = {Optimal solution for data collision avoidance in radio frequency identification networks}, journal = {Internet Technol. Lett.}, volume = {1}, number = {3}, year = {2018}, url = {https://doi.org/10.1002/itl2.49}, doi = {10.1002/ITL2.49}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itl/0002LZLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/HongKZL18, author = {Yao{-}Ming Hong and Yao{-}Chiang Kan and Jian{-}Rong Zeng and Hsueh{-}Chun Lin}, title = {Multiphysics Coupling Model for Computing Pier Scour upon Simulation and Experiment}, journal = {J. Comput. Civ. Eng.}, volume = {32}, number = {1}, year = {2018}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000716}, doi = {10.1061/(ASCE)CP.1943-5487.0000716}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/HongKZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/LiQW18, author = {Hong{-}Biao Li and Sen{-}Nan Qi and Chun{-}Ming Wu}, title = {Forest Fire Positioning Monitoring System based on Wireless Sensor Networks}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {9}, number = {4}, pages = {970--976}, year = {2018}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2018/vol9/JIH-MSP-2018-04-019.pdf}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/LiQW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/WuGYSW18, author = {Chun{-}Ming Wu and Hao{-}Quan Gong and Ji{-}Hong Yang and Qiang{-}Huan Song and Yan{-}Jiao Wang}, title = {An Improved {FOA} to Optimize {GRNN} Method for Wind Turbine Fault Diagnosis}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {9}, number = {1}, pages = {1--10}, year = {2018}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2018/vol9/JIH-MSP-2018-01-001.pdf}, timestamp = {Mon, 20 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/WuGYSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jni/HongWLC18, author = {Tzung{-}Pei Hong and Jimmy Ming{-}Tai Wu and Yan{-}Kang Li and Chun{-}Hao Chen}, title = {Generalizing Concept-Drift Patterns for Fuzzy Association Rules}, journal = {J. Netw. Intell.}, volume = {3}, number = {2}, pages = {126--137}, year = {2018}, url = {http://bit.kuas.edu.tw/\&\#126;jni/2018/vol3/JNI\_2018\_vol3\_n2\_005.pdf}, timestamp = {Mon, 16 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jni/HongWLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChengTYCYLQCLCC18, author = {Cheng{-}Hsiang Cheng and Ping{-}Yuan Tsai and Tzu{-}Yi Yang and Wan{-}Hsueh Cheng and Ting{-}Yang Yen and Zhicong Luo and Xin{-}Hong Qian and Zhi{-}Xin Chen and Tzu{-}Han Lin and Wei{-}Hong Chen and Wei{-}Ming Chen and Sheng{-}Fu Liang and Fu{-}Zen Shaw and Cheng{-}Siu Chang and Yue{-}Loong Hsin and Chen{-}Yi Lee and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {A Fully Integrated 16-Channel Closed-Loop Neural-Prosthetic {CMOS} SoC With Wireless Power and Bidirectional Data Telemetry for Real-Time Efficient Human Epileptic Seizure Control}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {11}, pages = {3314--3326}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2867293}, doi = {10.1109/JSSC.2018.2867293}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChengTYCYLQCLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/ParkKHKJPK18, author = {Chul Min Park and Seongil Kwon and Hanpyo Hong and Sungchul Kang and In{-}Ho Jeon and Shinsuk Park and Keri Kim}, title = {Development and preclinical trials of a wire-driven end effector device for frozen shoulder treatment}, journal = {Medical Biol. Eng. Comput.}, volume = {56}, number = {7}, pages = {1149--1160}, year = {2018}, url = {https://doi.org/10.1007/s11517-017-1759-y}, doi = {10.1007/S11517-017-1759-Y}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/ParkKHKJPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/memetic/TingLWH18, author = {Chuan{-}Kang Ting and Rung{-}Tzuo Liaw and Ting{-}Chen Wang and Tzung{-}Pei Hong}, title = {Mining fuzzy association rules using a memetic algorithm based on structure representation}, journal = {Memetic Comput.}, volume = {10}, number = {1}, pages = {15--28}, year = {2018}, url = {https://doi.org/10.1007/s12293-016-0220-3}, doi = {10.1007/S12293-016-0220-3}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/memetic/TingLWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mfc/ZhuLLHC18, author = {Saide Zhu and Wei Li and Hong Li and Chunqiang Hu and Zhipeng Cai}, title = {A survey: Reward distribution mechanisms and withholding attacks in Bitcoin pool mining}, journal = {Math. Found. Comput.}, volume = {1}, number = {4}, pages = {393--414}, year = {2018}, url = {https://doi.org/10.3934/mfc.2018020}, doi = {10.3934/MFC.2018020}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mfc/ZhuLLHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/DengYG18, author = {Chun Deng and Hong{-}Qiang Yang and Min Gong}, title = {A compact low loss high isolation DC-45GHz {SPST} switch in 0.13-{\(\mu\)}m {CMOS} process}, journal = {Microelectron. J.}, volume = {80}, pages = {1--6}, year = {2018}, url = {https://doi.org/10.1016/j.mejo.2018.04.012}, doi = {10.1016/J.MEJO.2018.04.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/DengYG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/SunGCLW18, author = {Siyu Sun and Qiang Guo and Hongtao Chen and Mingyu Li and Chunqing Wang}, title = {Solderless bonding with nanoporous copper as interlayer for high-temperature applications}, journal = {Microelectron. Reliab.}, volume = {80}, pages = {198--204}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2017.12.012}, doi = {10.1016/J.MICROREL.2017.12.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/SunGCLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiZHWM18, author = {Haoyang Li and Hong Zheng and Chuanzhao Han and Haibo Wang and Min Miao}, title = {Onboard Spectral and Spatial Cloud Detection for Hyperspectral Remote Sensing Images}, journal = {Remote. Sens.}, volume = {10}, number = {1}, pages = {152}, year = {2018}, url = {https://doi.org/10.3390/rs10010152}, doi = {10.3390/RS10010152}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiZHWM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangWLJLCD18, author = {Hongsheng Zhang and Ting Wang and Mingfeng Liu and Mingming Jia and Hui Lin and L. M. Chu and Adam T. Devlin}, title = {Potential of Combining Optical and Dual Polarimetric {SAR} Data for Improving Mangrove Species Discrimination Using Rotation Forest}, journal = {Remote. Sens.}, volume = {10}, number = {3}, pages = {467}, year = {2018}, url = {https://doi.org/10.3390/rs10030467}, doi = {10.3390/RS10030467}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangWLJLCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/KangSJLX18, author = {Baoyuan Kang and Lin Si and Hong Jiang and Chunqing Li and Mingming Xie}, title = {ID-Based Public Auditing Protocol for Cloud Data Integrity Checking with Privacy-Preserving and Effective Aggregation Verification}, journal = {Secur. Commun. Networks}, volume = {2018}, pages = {3205898:1--3205898:9}, year = {2018}, url = {https://doi.org/10.1155/2018/3205898}, doi = {10.1155/2018/3205898}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/KangSJLX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongLKY18, author = {Cheol{-}Ho Hong and Kyungwoon Lee and Minkoo Kang and Chuck Yoo}, title = {qCon: QoS-Aware Network Resource Management for Fog Computing}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3444}, year = {2018}, url = {https://doi.org/10.3390/s18103444}, doi = {10.3390/S18103444}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HongLKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKCKOLRKPHJK18, author = {Hanvit Kim and Haena Kim and Se Young Chun and Jae{-}Hwan Kang and Ian Oakley and Youryang Lee and Jun Oh Ryu and Min Joon Kim and In Kyu Park and Hyuck Ki Hong and Young Chang Jo and Sung{-}Phil Kim}, title = {A Wearable Wrist Band-Type System for Multimodal Biometrics Integrated with Multispectral Skin Photomatrix and Electrocardiogram Sensors}, journal = {Sensors}, volume = {18}, number = {8}, pages = {2738}, year = {2018}, url = {https://doi.org/10.3390/s18082738}, doi = {10.3390/S18082738}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKCKOLRKPHJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TengLDDYXCYZ18, author = {Chuanxin Teng and Houquan Liu and Hongchang Deng and Shijie Deng and Hongyan Yang and Ronghui Xu and Ming Chen and Libo Yuan and Jie Zheng}, title = {Liquid Level Sensor Based on a V-Groove Structure Plastic Optical Fiber}, journal = {Sensors}, volume = {18}, number = {9}, pages = {3111}, year = {2018}, url = {https://doi.org/10.3390/s18093111}, doi = {10.3390/S18093111}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TengLDDYXCYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/KimPHY18, author = {Young{-}Pil Kim and Hyunchan Park and Cheol{-}Ho Hong and Chuck Yoo}, title = {{CRIM:} Conditional Remapping to Improve the Reliability of Solid-State Drives with Minimizing Lifetime Loss}, journal = {Sci. Program.}, volume = {2018}, pages = {8171096:1--8171096:10}, year = {2018}, url = {https://doi.org/10.1155/2018/8171096}, doi = {10.1155/2018/8171096}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/KimPHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/LeeHLHC18, author = {Shuenn{-}Yuh Lee and Peng{-}Wei Huang and Ming{-}Chun Liang and Jia{-}Hua Hong and Ju{-}Yi Chen}, title = {Development of an Arrhythmia Monitoring System and Human Study}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {64}, number = {4}, pages = {442--451}, year = {2018}, url = {https://doi.org/10.1109/TCE.2018.2875799}, doi = {10.1109/TCE.2018.2875799}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/LeeHLHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/JiangLTX18, author = {Qi Jiang and Victor C. M. Leung and Hao Tang and Hongsheng Xi}, title = {Energy-Efficient Traffic Rate Adaptation for Wireless Streaming Media Transmission}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {28}, number = {11}, pages = {3313--3319}, year = {2018}, url = {https://doi.org/10.1109/TCSVT.2017.2735444}, doi = {10.1109/TCSVT.2017.2735444}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/JiangLTX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/QinWCZSL18, author = {Zhen Qin and Yilei Wang and Hongrong Cheng and Yingjie Zhou and Zhengguo Sheng and Victor C. M. Leung}, title = {Demographic Information Prediction: {A} Portrait of Smartphone Application Users}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {6}, number = {3}, pages = {432--444}, year = {2018}, url = {https://doi.org/10.1109/TETC.2016.2570603}, doi = {10.1109/TETC.2016.2570603}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/QinWCZSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ShenLLG18, author = {Chih{-}Lung Shen and Heng Liou and Tsair{-}Chun Liang and Hong{-}Ze Gong}, title = {An Isolated Bidirectional Interleaved Converter With Minimum Active Switches and High Conversion Ratio}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {3}, pages = {2313--2321}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2745441}, doi = {10.1109/TIE.2017.2745441}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ShenLLG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/LinWLYWTL18, author = {Jen{-}Chun Lin and Wen{-}Li Wei and Tyng{-}Luh Liu and Yi{-}Hsuan Yang and Hsin{-}Min Wang and Hsiao{-}Rong Tyan and Hong{-}Yuan Mark Liao}, title = {Coherent Deep-Net Fusion To Classify Shots In Concert Videos}, journal = {{IEEE} Trans. Multim.}, volume = {20}, number = {11}, pages = {3123--3136}, year = {2018}, url = {https://doi.org/10.1109/TMM.2018.2820904}, doi = {10.1109/TMM.2018.2820904}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/LinWLYWTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/ChenWHW18, author = {Fei Chen and Chunming Wu and Xiaoyan Hong and Bin Wang}, title = {Easy Path Programming: Elevate Abstraction Level for Network Functions}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {26}, number = {1}, pages = {189--202}, year = {2018}, url = {https://doi.org/10.1109/TNET.2017.2778179}, doi = {10.1109/TNET.2017.2778179}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/ChenWHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/GuoZJLL18, author = {Fengxian Guo and Heli Zhang and Hong Ji and Xi Li and Victor C. M. Leung}, title = {An Efficient Computation Offloading Management Scheme in the Densely Deployed Small Cell Networks With Mobile Edge Computing}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {26}, number = {6}, pages = {2651--2664}, year = {2018}, url = {https://doi.org/10.1109/TNET.2018.2873002}, doi = {10.1109/TNET.2018.2873002}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ton/GuoZJLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/YangZLJL18, author = {Lichao Yang and Heli Zhang and Xi Li and Hong Ji and Victor C. M. Leung}, title = {A Distributed Computation Offloading Strategy in Small-Cell Networks Integrated With Mobile Edge Computing}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {26}, number = {6}, pages = {2762--2773}, year = {2018}, url = {https://doi.org/10.1109/TNET.2018.2876941}, doi = {10.1109/TNET.2018.2876941}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ton/YangZLJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/TranPNRH18, author = {Nguyen Hoang Tran and Chuan Pham and Minh N. H. Nguyen and Shaolei Ren and Choong Seon Hong}, title = {Incentivizing Energy Reduction for Emergency Demand Response in Multi-Tenant Mixed-Use Buildings}, journal = {{IEEE} Trans. Smart Grid}, volume = {9}, number = {4}, pages = {3701--3715}, year = {2018}, url = {https://doi.org/10.1109/TSG.2016.2639033}, doi = {10.1109/TSG.2016.2639033}, timestamp = {Sat, 29 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/TranPNRH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/LiLLPY18, author = {Minming Li and Hongyu Liang and Shengxin Liu and Chung Keung Poon and Hao Yuan}, title = {Asymptotically Optimal Algorithms for Running Max and Min Filters on Random Inputs}, journal = {{IEEE} Trans. Signal Process.}, volume = {66}, number = {13}, pages = {3421--3435}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TSP.2018.2830309}, doi = {10.1109/TSP.2018.2830309}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/LiLLPY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HongHPC18, author = {JuHyung Hong and Sangwoo Han and Young Min Park and Eui{-}Young Chung}, title = {{ICS:} Interrupt-Based Channel Sneaking for Maximally Exploiting Die-Level Parallelism of {NAND} Flash-Based Storage Devices}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {9}, pages = {1802--1806}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2824818}, doi = {10.1109/TVLSI.2018.2824818}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HongHPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/GuoZLJL18, author = {Fengxian Guo and Heli Zhang and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Joint Optimization of Caching and Association in Energy-Harvesting-Powered Small-Cell Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {7}, pages = {6469--6480}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2805370}, doi = {10.1109/TVT.2018.2805370}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/GuoZLJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KongSGLZZ18, author = {Fancheng Kong and Xinghua Sun and Y. Jay Guo and Victor C. M. Leung and Qi Zhu and Hongbo Zhu}, title = {Queue-Aware Power Consumption Minimization in Two-Tier Heterogeneous Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {9}, pages = {8875--8889}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2852065}, doi = {10.1109/TVT.2018.2852065}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KongSGLZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LiuYLJL18, author = {Yiming Liu and F. Richard Yu and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Distributed Resource Allocation and Computation Offloading in Fog and Cloud Networks With Non-Orthogonal Multiple Access}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {12}, pages = {12137--12151}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2872912}, doi = {10.1109/TVT.2018.2872912}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LiuYLJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ShenXZ18, author = {Hong Shen and Wei Xu and Chunming Zhao}, title = {Outage Minimized Full-Duplex Multiantenna {DF} Relaying With {CSI} Uncertainty}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {9}, pages = {9000--9005}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2847287}, doi = {10.1109/TVT.2018.2847287}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ShenXZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/TanYLJL18, author = {Zhiyuan Tan and F. Richard Yu and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Virtual Resource Allocation for Heterogeneous Services in Full Duplex-Enabled SCNs With Mobile Edge Computing and Caching}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {2}, pages = {1794--1808}, year = {2018}, url = {https://doi.org/10.1109/TVT.2017.2764002}, doi = {10.1109/TVT.2017.2764002}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/TanYLJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/DuXSDZ18, author = {Jingbo Du and Wei Xu and Hong Shen and Xiaodai Dong and Chunming Zhao}, title = {Hybrid Precoding Architecture for Massive Multiuser {MIMO} With Dissipation: Sub-Connected or Fully Connected Structures?}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {8}, pages = {5465--5479}, year = {2018}, url = {https://doi.org/10.1109/TWC.2018.2844207}, doi = {10.1109/TWC.2018.2844207}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/DuXSDZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/MaZLZL18, author = {Jianpeng Ma and Shun Zhang and Hongyan Li and Nan Zhao and Victor C. M. Leung}, title = {Interference-Alignment and Soft-Space-Reuse Based Cooperative Transmission for Multi-cell Massive {MIMO} Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {3}, pages = {1907--1922}, year = {2018}, url = {https://doi.org/10.1109/TWC.2017.2786722}, doi = {10.1109/TWC.2017.2786722}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/MaZLZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/NguyenTIPRH18, author = {Minh N. H. Nguyen and Nguyen Hoang Tran and Mohammad A. Islam and Chuan Pham and Shaolei Ren and Choong Seon Hong}, title = {Fair Sharing of Backup Power Supply in Multi-Operator Wireless Cellular Towers}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {3}, pages = {2080--2093}, year = {2018}, url = {https://doi.org/10.1109/TWC.2017.2789195}, doi = {10.1109/TWC.2017.2789195}, timestamp = {Sat, 29 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/NguyenTIPRH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vjcs/SuHCLC18, author = {Ja{-}Hwung Su and Tzung{-}Pei Hong and Chu{-}Yu Chin and Zhi{-}Feng Liao and Shyr{-}Yuan Cheng}, title = {Mining and applications of repeating patterns}, journal = {Vietnam. J. Comput. Sci.}, volume = {5}, number = {3-4}, pages = {251--261}, year = {2018}, url = {https://doi.org/10.1007/s40595-018-0120-1}, doi = {10.1007/S40595-018-0120-1}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vjcs/SuHCLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/ZhuYLWBZ18, author = {Li Zhu and Fei Richard Yu and Victor C. M. Leung and Hongwei Wang and Cesar Briso{-}Rodr{\'{\i}}guez and Yan Zhang}, title = {Communications and Networking for Connected Vehicles}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2018}, pages = {5612785:1--5612785:4}, year = {2018}, url = {https://doi.org/10.1155/2018/5612785}, doi = {10.1155/2018/5612785}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/ZhuYLWBZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/widm/GanLFCHF18, author = {Wensheng Gan and Jerry Chun{-}Wei Lin and Philippe Fournier{-}Viger and Han{-}Chieh Chao and Tzung{-}Pei Hong and Hamido Fujita}, title = {A survey of incremental high-utility itemset mining}, journal = {WIREs Data Mining Knowl. Discov.}, volume = {8}, number = {2}, year = {2018}, url = {https://doi.org/10.1002/widm.1242}, doi = {10.1002/WIDM.1242}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/widm/GanLFCHF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/ChenYJRL18, author = {Lei Chen and F. Richard Yu and Hong Ji and Bo Rong and Victor C. M. Leung}, title = {Power allocation in small cell networks with full-duplex self-backhauls and massive {MIMO}}, journal = {Wirel. Networks}, volume = {24}, number = {4}, pages = {1083--1098}, year = {2018}, url = {https://doi.org/10.1007/s11276-016-1381-1}, doi = {10.1007/S11276-016-1381-1}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/ChenYJRL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChuYPC18, author = {Hong{-}Min Chu and Shao{-}Wen Yang and Padmanabhan Pillai and Yen{-}Kuang Chen}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {Scheduling in Visual Fog Computing: NP-Completeness and Practical Efficient Solutions}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {6127--6135}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.12080}, doi = {10.1609/AAAI.V32I1.12080}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChuYPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/LinHCCHC18, author = {Chuan{-}Bi Lin and Yung{-}Fa Huang and Long{-}Xin Chen and Yu{-}Chiang Chang and Z.{-}Ming Hong and Jong{-}Shin Chen}, editor = {Ngoc Thanh Nguyen and Duong Hung Hoang and Tzung{-}Pei Hong and Hoang Pham and Bogdan Trawinski}, title = {A Low-Costed Positioning System Based on Wearable Devices for Elders and Children in a Local Area}, booktitle = {Intelligent Information and Database Systems - 10th Asian Conference, {ACIIDS} 2018, Dong Hoi City, Vietnam, March 19-21, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10752}, pages = {324--332}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-75420-8\_31}, doi = {10.1007/978-3-319-75420-8\_31}, timestamp = {Thu, 16 Mar 2023 20:00:29 +0100}, biburl = {https://dblp.org/rec/conf/aciids/LinHCCHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/airs/WarikooCDH18, author = {Neha Warikoo and Yung{-}Chun Chang and Hong{-}Jie Dai and Wen{-}Lian Hsu}, editor = {Yuen{-}Hsien Tseng and Tetsuya Sakai and Jing Jiang and Lun{-}Wei Ku and Dae Hoon Park and Jui{-}Feng Yeh and Liang{-}Chih Yu and Lung{-}Hao Lee and Zhi{-}Hong Chen}, title = {An Ensemble Neural Network Model for Benefiting Pregnancy Health Stats from Mining Social Media}, booktitle = {Information Retrieval Technology - 14th Asia Information Retrieval Societies Conference, {AIRS} 2018, Taipei, Taiwan, November 28-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11292}, pages = {3--15}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03520-4\_1}, doi = {10.1007/978-3-030-03520-4\_1}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/airs/WarikooCDH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/GeS0ZW18, author = {Hongwei Ge and Keyi Sun and Liang Sun and Mingde Zhao and Chunguo Wu}, editor = {Huiru Jane Zheng and Zoraida Callejas and David Griol and Haiying Wang and Xiaohua Hu and Harald H. H. W. Schmidt and Jan Baumbach and Julie Dickerson and Le Zhang}, title = {A Selective Ensemble Learning Framework for ECG-Based Heartbeat Classification with Imbalanced Data}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2018, Madrid, Spain, December 3-6, 2018}, pages = {2753--2755}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.ieeecomputersociety.org/10.1109/BIBM.2018.8621523}, doi = {10.1109/BIBM.2018.8621523}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/GeS0ZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/GanLCHY18, author = {Wensheng Gan and Jerry Chun{-}Wei Lin and Han{-}Chieh Chao and Tzung{-}Pei Hong and Philip S. Yu}, editor = {Naoki Abe and Huan Liu and Calton Pu and Xiaohua Hu and Nesreen K. Ahmed and Mu Qiao and Yang Song and Donald Kossmann and Bing Liu and Kisung Lee and Jiliang Tang and Jingrui He and Jeffrey S. Saltz}, title = {CoUPM: Correlated Utility-based Pattern Mining}, booktitle = {{IEEE} International Conference on Big Data {(IEEE} BigData 2018), Seattle, WA, USA, December 10-13, 2018}, pages = {2607--2616}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BigData.2018.8622242}, doi = {10.1109/BIGDATA.2018.8622242}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/GanLCHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/CaiCCQZAH18, author = {Yi Cai and Jia Chen and Diliang Chen and Guanzhou Qu and Hongping Zhao and Rahila Ansari and Ming{-}Chun Huang}, title = {Smart Prosthesis System: Continuous Automatic Prosthesis Fitting Adjustment and Real-time Stress Visualization}, booktitle = {2018 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2018, Cleveland, OH, USA, October 17-19, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOCAS.2018.8584784}, doi = {10.1109/BIOCAS.2018.8584784}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/CaiCCQZAH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/YangZCTK18, author = {Haw Ching Yang and Chun{-}Hong Zheng and Yu{-}Zhong Chen and Chien{-}Ming Tseng and Yung{-}Chou Kao}, title = {Intelligent Diagnosis of Forging Die based on Deep Learning}, booktitle = {14th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2018, Munich, Germany, August 20-24, 2018}, pages = {199--204}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/COASE.2018.8560420}, doi = {10.1109/COASE.2018.8560420}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/YangZCTK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/FuLHLWH18, author = {Sheng{-}Yu Fu and Chih{-}Min Lin and Ding{-}Yong Hong and Yu{-}Ping Liu and Jan{-}Jan Wu and Wei{-}Chung Hsu}, editor = {Tulika Mitra and Akash Kumar}, title = {Exploiting {SIMD} capability in an ARMv7-to-ARMv8 dynamic binary translator}, booktitle = {Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems, {CASES} 2018, Torino, Italy, September 30 - October 05, 2018}, pages = {14:1--14:3}, publisher = {{ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3283566}, timestamp = {Tue, 06 Nov 2018 14:46:50 +0100}, biburl = {https://dblp.org/rec/conf/cases/FuLHLWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbs/LuoZLCHLN18, author = {Qi Luo and Zhuozhi Zhang and Jiayue Liu and Chih{-}Hong Chou and Manzhao Hao and Ning Lan and Chuan{-}Xin M. Niu}, title = {Design of a Biomimetic Control System for Tendon-driven Prosthetic Hand}, booktitle = {{IEEE} International Conference on Cyborg and Bionic Systems, {CBS} 2018, Shenzhen, China, October 25-27, 2018}, pages = {528--531}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CBS.2018.8612142}, doi = {10.1109/CBS.2018.8612142}, timestamp = {Sat, 06 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbs/LuoZLCHLN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cocoa/0003LLL18, author = {Yi Hong and Jiandong Liu and Chuanwen Luo and Deying Li}, editor = {Donghyun Kim and R. N. Uma and Alexander Zelikovsky}, title = {Min-Max-Flow Based Algorithm for Evacuation Network Planning in Restricted Spaces}, booktitle = {Combinatorial Optimization and Applications - 12th International Conference, {COCOA} 2018, Atlanta, GA, USA, December 15-17, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11346}, pages = {233--245}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04651-4\_16}, doi = {10.1007/978-3-030-04651-4\_16}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cocoa/0003LLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChouCLCC18, author = {Yi{-}Min Chou and Yi{-}Ming Chan and Jia{-}Hong Lee and Chih{-}Yi Chiu and Chu{-}Song Chen}, title = {Merging Deep Neural Networks for Mobile Devices}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {1686--1694}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018\_workshops/w33/html/Chou\_Merging\_Deep\_Neural\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPRW.2018.00220}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ChouCLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChuYW18, author = {Hong{-}Min Chu and Chih{-}Kuan Yeh and Yu{-}Chiang Frank Wang}, editor = {Vittorio Ferrari and Martial Hebert and Cristian Sminchisescu and Yair Weiss}, title = {Deep Generative Models for Weakly-Supervised Multi-Label Classification}, booktitle = {Computer Vision - {ECCV} 2018 - 15th European Conference, Munich, Germany, September 8-14, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11206}, pages = {409--425}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01216-8\_25}, doi = {10.1007/978-3-030-01216-8\_25}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ChuYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPZVBL18, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin Zajc and Tom{\'{a}}s Voj{\'{\i}}r and Goutam Bhat and Alan Lukezic and Abdelrahman Eldesokey and Gustavo Fern{\'{a}}ndez and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and {\'{A}}lvaro Iglesias{-}Arias and A. Aydin Alatan and Abel Gonz{\'{a}}lez{-}Garc{\'{\i}}a and Alfredo Petrosino and Alireza Memarmoghadam and Andrea Vedaldi and Andrej Muhic and Anfeng He and Arnold W. M. Smeulders and Asanka G. Perera and Bo Li and Boyu Chen and Changick Kim and Changsheng Xu and Changzhen Xiong and Cheng Tian and Chong Luo and Chong Sun and Cong Hao and Daijin Kim and Deepak Mishra and Deming Chen and Dong Wang and Dongyoon Wee and Efstratios Gavves and Erhan Gundogdu and Erik Velasco{-}Salido and Fahad Shahbaz Khan and Fan Yang and Fei Zhao and Feng Li and Francesco Battistone and George De Ath and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Haibin Ling and Hamed Kiani Galoogahi and Hankyeol Lee and Haojie Li and Haojie Zhao and Heng Fan and Honggang Zhang and Horst Possegger and Houqiang Li and Huchuan Lu and Hui Zhi and Huiyun Li and Hyemin Lee and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jaime Spencer Martin and Javaan Singh Chahl and Jin Young Choi and Jing Li and Jinqiao Wang and Jinqing Qi and Jinyoung Sung and Joakim Johnander and Jo{\~{a}}o F. Henriques and Jongwon Choi and Joost van de Weijer and Jorge Rodr{\'{\i}}guez Herranz and Jos{\'{e}} M. Mart{\'{\i}}nez and Josef Kittler and Junfei Zhuang and Junyu Gao and Klemen Grm and Lichao Zhang and Lijun Wang and Lingxiao Yang and Litu Rout and Liu Si and Luca Bertinetto and Lutao Chu and Manqiang Che and Mario Edoardo Maresca and Martin Danelljan and Ming{-}Hsuan Yang and Mohamed H. Abdelpakey and Mohamed S. Shehata and Myunggu Kang and Namhoon Lee and Ning Wang and Ondrej Miksik and Payman Moallem and Pablo Vicente{-}Mo{\~{n}}ivar and Pedro Senna and Peixia Li and Philip H. S. Torr and Priya Mariam Raju and Ruihe Qian and Qiang Wang and Qin Zhou and Qing Guo and Rafael Martin Nieto and Rama Krishna Sai Subrahmanyam Gorthi and Ran Tao and Richard Bowden and Richard M. Everson and Runling Wang and Sangdoo Yun and Seokeon Choi and Sergio Vivas and Shuai Bai and Shuangping Huang and Sihang Wu and Simon Hadfield and Siwen Wang and Stuart Golodetz and Ming Tang and Tianyang Xu and Tianzhu Zhang and Tobias Fischer and Vincenzo Santopietro and Vitomir Struc and Wei Wang and Wangmeng Zuo and Wei Feng and Wei Wu and Wei Zou and Weiming Hu and Wengang Zhou and Wenjun Zeng and Xiaofan Zhang and Xiaohe Wu and Xiao{-}Jun Wu and Xinmei Tian and Yan Li and Yan Lu and Yee Wei Law and Yi Wu and Yiannis Demiris and Yicai Yang and Yifan Jiao and Yuhong Li and Yunhua Zhang and Yuxuan Sun and Zheng Zhang and Zheng Zhu and Zhen{-}Hua Feng and Zhihui Wang and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {The Sixth Visual Object Tracking {VOT2018} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11129}, pages = {3--53}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11009-3\_1}, doi = {10.1007/978-3-030-11009-3\_1}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPZVBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/OhKKLKL18, author = {Min Tack Oh and Hong Nam Kim and Hanseok Ko and Seunghyun Lee and Jung Hoon Kim and Byung Chul Lee}, title = {Real-time Optical Imaging of Microbubble Destruction with an Acoustic Lens Attached Ultrasonic Diagnostic Probe in Microfluidic Capillary Models}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {6068--6071}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8513590}, doi = {10.1109/EMBC.2018.8513590}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/OhKKLKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/enter/OhCM18, author = {Muhyang (Moon) Oh and Irene Cheng Chu Chan and Fuad Mehraliyev}, editor = {Brigitte Stangl and Juho Pesonen}, title = {Ethnic Restaurant Selection Patterns of {U.S.} Tourists in Hong Kong: An Application of Association Rule Mining}, booktitle = {Information and Communication Technologies in Tourism 2018, {ENTER} 2018, Proceedings of the International Conference in J{\"{o}}nk{\"{o}}ping, Sweden, January 24-26, 2018}, pages = {117--128}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-72923-7\_10}, doi = {10.1007/978-3-319-72923-7\_10}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/enter/OhCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LiuYLJL18, author = {Yiming Liu and F. Richard Yu and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Resource Allocation for Video Transcoding and Delivery Based on Mobile Edge Computing and Blockchain}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2018, Abu Dhabi, United Arab Emirates, December 9-13, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GLOCOM.2018.8648088}, doi = {10.1109/GLOCOM.2018.8648088}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LiuYLJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/GuoZJLL18, author = {Fengxian Guo and Heli Zhang and Hong Ji and Xi Li and Victor C. M. Leung}, title = {Energy Efficient Computation Offloading for Multi-Access {MEC} Enabled Small Cell Networks}, booktitle = {2018 {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCW.2018.8403701}, doi = {10.1109/ICCW.2018.8403701}, timestamp = {Mon, 09 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/GuoZJLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LiuYLJZL18, author = {Yiming Liu and F. Richard Yu and Xi Li and Hong Ji and Heli Zhang and Victor C. M. Leung}, title = {Joint Access and Resource Management for Delay-Sensitive Transcoding in Ultra-Dense Networks with Mobile Edge Computing}, booktitle = {2018 {IEEE} International Conference on Communications, {ICC} 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICC.2018.8422662}, doi = {10.1109/ICC.2018.8422662}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/LiuYLJZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ZhaoL0CJ18, author = {Yisheng Zhao and Victor C. M. Leung and Hui Gao and Zhonghui Chen and Hong Ji}, title = {Uplink Resource Allocation in Mobile Edge Computing-Based Heterogeneous Networks with Multi-Band {RF} Energy Harvesting}, booktitle = {2018 {IEEE} International Conference on Communications, {ICC} 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICC.2018.8422201}, doi = {10.1109/ICC.2018.8422201}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/ZhaoL0CJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/HongLLWB18, author = {Yunfeng Hong and Yu{-}Cheng Lin and Chun{-}Ming Lai and Shyhtsun Felix Wu and George A. Barnett}, title = {Profiling Facebook Public Page Graph}, booktitle = {2018 International Conference on Computing, Networking and Communications, {ICNC} 2018, Maui, HI, USA, March 5-8, 2018}, pages = {161--165}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCNC.2018.8390301}, doi = {10.1109/ICCNC.2018.8390301}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccnc/HongLLWB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/YangCZWPC18, author = {Yu Yang and Lingyang Chu and Yanyan Zhang and Zhefeng Wang and Jian Pei and Enhong Chen}, title = {Mining Density Contrast Subgraphs}, booktitle = {34th {IEEE} International Conference on Data Engineering, {ICDE} 2018, Paris, France, April 16-19, 2018}, pages = {221--232}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICDE.2018.00029}, doi = {10.1109/ICDE.2018.00029}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/YangCZWPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/HsiehCSC18, author = {Yu{-}Heng Hsieh and Chun{-}Chieh Chen and Hong{-}Han Shuai and Ming{-}Syan Chen}, title = {Highly Parallel Sequential Pattern Mining on a Heterogeneous Platform}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2018, Singapore, November 17-20, 2018}, pages = {1037--1042}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICDM.2018.00131}, doi = {10.1109/ICDM.2018.00131}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icdm/HsiehCSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/TsouCLY18, author = {Yu{-}Lin Tsou and Hong{-}Min Chu and Cong Li and Shao{-}Wen Yang}, title = {Robust Distributed Anomaly Detection Using Optimal Weighted One-Class Random Forests}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2018, Singapore, November 17-20, 2018}, pages = {1272--1277}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICDM.2018.00171}, doi = {10.1109/ICDM.2018.00171}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/TsouCLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/0002HFMPZ18, author = {Lei Tian and Xiaopeng Hong and Chunxiao Fan and Yue Ming and Matti Pietik{\"{a}}inen and Guoying Zhao}, title = {Sparse Tikhonov-Regularized Hashing for Multi-Modal Learning}, booktitle = {2018 {IEEE} International Conference on Image Processing, {ICIP} 2018, Athens, Greece, October 7-10, 2018}, pages = {3793--3797}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICIP.2018.8451580}, doi = {10.1109/ICIP.2018.8451580}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/0002HFMPZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/WeiLLYWTL18, author = {Wen{-}Li Wei and Jen{-}Chun Lin and Tyng{-}Luh Liu and Yi{-}Hsuan Yang and Hsin{-}Min Wang and Hsiao{-}Rong Tyan and Hong{-}Yuan Mark Liao}, title = {Seethevoice: Learning from Music to Visual Storytelling of Shots}, booktitle = {2018 {IEEE} International Conference on Multimedia and Expo, {ICME} 2018, San Diego, CA, USA, July 23-27, 2018}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICME.2018.8486496}, doi = {10.1109/ICME.2018.8486496}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/WeiLLYWTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ZhangLWS18, author = {Lingfei Zhang and Chun{-}Fang Li and Hong{-}Ru Wang and Minyong Shi}, title = {Research On Face Image Clustering Based On Integrating Som And Spectral Clustering Algorithm}, booktitle = {2018 International Conference on Machine Learning and Cybernetics, {ICMLC} 2018, Chengdu, China, July 15-18, 2018}, pages = {337--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICMLC.2018.8526946}, doi = {10.1109/ICMLC.2018.8526946}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmlc/ZhangLWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChouCLCC18, author = {Yi{-}Min Chou and Yi{-}Ming Chan and Jia{-}Hong Lee and Chih{-}Yi Chiu and Chu{-}Song Chen}, editor = {J{\'{e}}r{\^{o}}me Lang}, title = {Unifying and Merging Well-trained Deep Neural Networks for Inference Stage}, booktitle = {Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, {IJCAI} 2018, July 13-19, 2018, Stockholm, Sweden}, pages = {2049--2056}, publisher = {ijcai.org}, year = {2018}, url = {https://doi.org/10.24963/ijcai.2018/283}, doi = {10.24963/IJCAI.2018/283}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/ChouCLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/HongCYSSCHTHHLC18, author = {Zhang{-}Wei Hong and Yu{-}Ming Chen and Hsuan{-}Kung Yang and Shih{-}Yang Su and Tzu{-}Yun Shann and Yi{-}Hsiang Chang and Brian Hsi{-}Lin Ho and Chih{-}Chieh Tu and Tsu{-}Ching Hsiao and Hsin{-}Wei Hsiao and Sih{-}Pin Lai and Yueh{-}Chuan Chang and Chun{-}Yi Lee}, editor = {J{\'{e}}r{\^{o}}me Lang}, title = {Virtual-to-Real: Learning to Control in Visual Semantic Segmentation}, booktitle = {Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, {IJCAI} 2018, July 13-19, 2018, Stockholm, Sweden}, pages = {4912--4920}, publisher = {ijcai.org}, year = {2018}, url = {https://doi.org/10.24963/ijcai.2018/682}, doi = {10.24963/IJCAI.2018/682}, timestamp = {Tue, 20 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/HongCYSSCHTHHLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/LiuYLJL18, author = {Yiming Liu and F. Richard Yu and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Hybrid computation offloading in fog and cloud networks with non-orthogonal multiple access}, booktitle = {{IEEE} {INFOCOM} 2018 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} Workshops 2018, Honolulu, HI, USA, April 15-19, 2018}, pages = {154--159}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/INFCOMW.2018.8406940}, doi = {10.1109/INFCOMW.2018.8406940}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/LiuYLJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SuWHHH18, author = {Ming{-}Hsiang Su and Chung{-}Hsien Wu and Kun{-}Yi Huang and Qian{-}Bei Hong and Huai{-}Hung Huang}, editor = {B. Yegnanarayana}, title = {Follow-up Question Generation Using Pattern-based Seq2seq with a Small Corpus for Interview Coaching}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {1006--1010}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-1007}, doi = {10.21437/INTERSPEECH.2018-1007}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SuWHHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscslp/HuangWHSZ18, author = {Kun{-}Yi Huang and Chung{-}Hsien Wu and Qian{-}Bei Hong and Ming{-}Hsiang Su and Yuan{-}Rong Zeng}, title = {Speech Emotion Recognition using Convolutional Neural Network with Audio Word-based Embedding}, booktitle = {11th International Symposium on Chinese Spoken Language Processing, {ISCSLP} 2018, Taipei City, Taiwan, November 26-29, 2018}, pages = {265--269}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCSLP.2018.8706610}, doi = {10.1109/ISCSLP.2018.8706610}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscslp/HuangWHSZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MeinerzhagenTMV18, author = {Pascal Meinerzhagen and Carlos Tokunaga and Andres Malavasi and Vaibhav A. Vaidya and Ashwin Mendon and Deepak Mathaikutty and Jaydeep Kulkarni and Charles Augustine and Minki Cho and Stephen T. Kim and George E. Matthew and Rinkle Jain and Joseph F. Ryan and Chung{-}Ching Peng and Somnath Paul and Sriram R. Vangal and Brando Perez Esparza and Luis Cuellar and Michael Woodman and Bala Iyer and Subramaniam Maiyuran and Gautham N. Chinya and Chris Zou and Yuyun Liao and Krishnan Ravichandran and Hong Wang and Muhammad M. Khellah and James W. Tschanz and Vivek De}, title = {An energy-efficient graphics processor featuring fine-grain {DVFS} with integrated voltage regulators, execution-unit turbo, and retentive sleep in 14nm tri-gate {CMOS}}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {38--40}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310172}, doi = {10.1109/ISSCC.2018.8310172}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MeinerzhagenTMV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/LindgrenLHY18, author = {Helena Lindgren and Ming{-}Hsin Lu and Yeji Hong and Chunli Yan}, editor = {Adrien Ugon and Daniel Karlsson and Gunnar O. Klein and Anne Moen}, title = {Applying the Zone of Proximal Development when Evaluating Clinical Decision Support Systems: {A} Case Study}, booktitle = {Building Continents of Knowledge in Oceans of Data: The Future of Co-Created eHealth - Proceedings of {MIE} 2018, Medical Informatics Europe, Gothenburg, Sweden, April 24-26, 2018}, series = {Studies in Health Technology and Informatics}, volume = {247}, pages = {131--135}, publisher = {{IOS} Press}, year = {2018}, url = {https://doi.org/10.3233/978-1-61499-852-5-131}, doi = {10.3233/978-1-61499-852-5-131}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mie/LindgrenLHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mipr/LeeCCC18, author = {Jia{-}Hong Lee and Yi{-}Ming Chan and Ting{-}Yen Chen and Chu{-}Song Chen}, title = {Joint Estimation of Age and Gender from Unconstrained Face Images Using Lightweight Multi-Task {CNN} for Mobile Applications}, booktitle = {{IEEE} 1st Conference on Multimedia Information Processing and Retrieval, {MIPR} 2018, Miami, FL, USA, April 10-12, 2018}, pages = {162--165}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.ieeecomputersociety.org/10.1109/MIPR.2018.00036}, doi = {10.1109/MIPR.2018.00036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mipr/LeeCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlpcc/ChenLWYJH18, author = {Yubo Chen and Hongtao Liu and Chuhan Wu and Zhigang Yuan and Minyu Jiang and Yongfeng Huang}, editor = {Min Zhang and Vincent Ng and Dongyan Zhao and Sujian Li and Hongying Zan}, title = {Distant Supervision for Relation Extraction with Neural Instance Selector}, booktitle = {Natural Language Processing and Chinese Computing - 7th {CCF} International Conference, {NLPCC} 2018, Hohhot, China, August 26-30, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11108}, pages = {209--220}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-99495-6\_18}, doi = {10.1007/978-3-319-99495-6\_18}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nlpcc/ChenLWYJH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/NguyenTIPRH18, author = {Minh N. H. Nguyen and Nguyen Hoang Tran and Mohammad A. Islam and Chuan Pham and Shaolei Ren and Choong Seon Hong}, title = {Multi-operator backup power sharing in wireless base stations}, booktitle = {2018 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2018, Taipei, Taiwan, April 23-27, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NOMS.2018.8406244}, doi = {10.1109/NOMS.2018.8406244}, timestamp = {Sat, 29 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/noms/NguyenTIPRH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/YangCWT18, author = {Jheng{-}Hong Yang and Chih{-}Ming Chen and Chuan{-}Ju Wang and Ming{-}Feng Tsai}, editor = {Sole Pera and Michael D. Ekstrand and Xavier Amatriain and John O'Donovan}, title = {HOP-rec: high-order proximity for implicit recommendation}, booktitle = {Proceedings of the 12th {ACM} Conference on Recommender Systems, RecSys 2018, Vancouver, BC, Canada, October 2-7, 2018}, pages = {140--144}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240323.3240381}, doi = {10.1145/3240323.3240381}, timestamp = {Tue, 29 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/recsys/YangCWT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rws/JangKSLKLKBP18, author = {Tae Hwan Jang and Hong Yi Kim and Hyuksu Son and Chae Jun Lee and Dong Min Kang and Hee Sung Lee and Seung Hun Kim and Chul Woo Byeon and Chul Soon Park}, title = {A 60-GHz low-profile, wide-band, and high-gain E-shaped patch array with parasitic patches}, booktitle = {2018 {IEEE} Radio and Wireless Symposium, {RWS} 2018, Anaheim, CA, USA, January 15-18, 2018}, pages = {42--44}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RWS.2018.8304941}, doi = {10.1109/RWS.2018.8304941}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rws/JangKSLKLKBP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/LiewLLCO18, author = {Tze{-}How Liew and Yueh{-}Chun Lai and Hong Shiang Lin and Sun{-}Yu Gordon Chi and Ming Ouhyoung}, editor = {Nafees Bin Zafar and Kun Zhou}, title = {Free-viewpoint synthesis over panoramic images}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {78:1--78:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289.3283296}, doi = {10.1145/3283289.3283296}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/LiewLLCO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/LinZCWCH18, author = {Jerry Chun{-}Wei Lin and Yuyu Zhang and Chun{-}Hao Chen and Jimmy Ming{-}Tai Wu and Chien{-}Ming Chen and Tzung{-}Pei Hong}, title = {A Multiple Objective PSO-Based Approach for Data Sanitization}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2018, Taichung, Taiwan, November 30 - December 2, 2018}, pages = {148--151}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/TAAI.2018.00039}, doi = {10.1109/TAAI.2018.00039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/LinZCWCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LiuYLJZL18, author = {Yiming Liu and F. Richard Yu and Xi Li and Hong Ji and Heli Zhang and Victor C. M. Leung}, title = {Self-optimizing interference management for non-orthogonal multiple access in ultra-dense networks}, booktitle = {2018 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2018, Barcelona, Spain, April 15-18, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WCNC.2018.8377038}, doi = {10.1109/WCNC.2018.8377038}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/LiuYLJZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LiuZZYCWY18, author = {Zemin Liu and Vincent W. Zheng and Zhou Zhao and Hongxia Yang and Kevin Chen{-}Chuan Chang and Minghui Wu and Jing Ying}, editor = {Pierre{-}Antoine Champin and Fabien Gandon and Mounia Lalmas and Panagiotis G. Ipeirotis}, title = {Subgraph-augmented Path Embedding for Semantic User Search on Heterogeneous Social Network}, booktitle = {Proceedings of the 2018 World Wide Web Conference on World Wide Web, {WWW} 2018, Lyon, France, April 23-27, 2018}, pages = {1613--1622}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3178876.3186073}, doi = {10.1145/3178876.3186073}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/LiuZZYCWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/snam/LiHZCH18, author = {Guangxia Li and Zhen Hai and Peilin Zhao and Kuiyu Chang and Steven C. H. Hoi}, editor = {Reda Alhajj and Jon G. Rokne}, title = {Twitter Microblog Sentiment Analysis}, booktitle = {Encyclopedia of Social Network Analysis and Mining, 2nd Edition}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-1-4939-7131-2\_265}, doi = {10.1007/978-1-4939-7131-2\_265}, timestamp = {Wed, 04 May 2022 13:01:02 +0200}, biburl = {https://dblp.org/rec/reference/snam/LiHZCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-07400, author = {Hongyun Chu and Le Zheng and Xiaodong Wang}, title = {Super-Resolution mmWave Channel Estimation using Atomic Norm Minimization}, journal = {CoRR}, volume = {abs/1801.07400}, year = {2018}, url = {http://arxiv.org/abs/1801.07400}, eprinttype = {arXiv}, eprint = {1801.07400}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-07400.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-09838, author = {Xiaoyun Wang and Chun{-}Ming Lai and Yunfeng Hong and Cho{-}Jui Hsieh and Shyhtsun Felix Wu}, title = {Multiple Accounts Detection on Facebook Using Semi-Supervised Learning on Graphs}, journal = {CoRR}, volume = {abs/1801.09838}, year = {2018}, url = {http://arxiv.org/abs/1801.09838}, eprinttype = {arXiv}, eprint = {1801.09838}, timestamp = {Mon, 25 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-09838.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-00285, author = {Zhang{-}Wei Hong and Yu{-}Ming Chen and Shih{-}Yang Su and Tzu{-}Yun Shann and Yi{-}Hsiang Chang and Hsuan{-}Kung Yang and Brian Hsi{-}Lin Ho and Chih{-}Chieh Tu and Yueh{-}Chuan Chang and Tsu{-}Ching Hsiao and Hsin{-}Wei Hsiao and Sih{-}Pin Lai and Chun{-}Yi Lee}, title = {Virtual-to-Real: Learning to Control in Visual Semantic Segmentation}, journal = {CoRR}, volume = {abs/1802.00285}, year = {2018}, url = {http://arxiv.org/abs/1802.00285}, eprinttype = {arXiv}, eprint = {1802.00285}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-00285.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-01697, author = {Yao{-}Yuan Yang and Yi{-}An Lin and Hong{-}Min Chu and Hsuan{-}Tien Lin}, title = {Deep Learning with a Rethinking Structure for Multi-label Classification}, journal = {CoRR}, volume = {abs/1802.01697}, year = {2018}, url = {http://arxiv.org/abs/1802.01697}, eprinttype = {arXiv}, eprint = {1802.01697}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-01697.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-04500, author = {Chun{-}Ming Lai and Xiaoyun Wang and Yunfeng Hong and Yu{-}Cheng Lin and Shyhtsun Felix Wu and Patrick D. McDaniel and Hasan Cam}, title = {Attacking Strategies and Temporal Analysis Involving Facebook Discussion Groups}, journal = {CoRR}, volume = {abs/1802.04500}, year = {2018}, url = {http://arxiv.org/abs/1802.04500}, eprinttype = {arXiv}, eprint = {1802.04500}, timestamp = {Mon, 25 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-04500.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-06775, author = {Yu Yang and Lingyang Chu and Yanyan Zhang and Zhefeng Wang and Jian Pei and Enhong Chen}, title = {Mining Density Contrast Subgraphs}, journal = {CoRR}, volume = {abs/1802.06775}, year = {2018}, url = {http://arxiv.org/abs/1802.06775}, eprinttype = {arXiv}, eprint = {1802.06775}, timestamp = {Fri, 30 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-06775.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-01097, author = {Hongwei Ge and Mingde Zhao and Liang Sun and Zhen Wang and Guozhen Tan and Qiang Zhang and C. L. Philip Chen}, title = {An Interactive Many Objective Evolutionary Algorithm with Cascade Clustering and Reference Point Incremental Learning}, journal = {CoRR}, volume = {abs/1803.01097}, year = {2018}, url = {http://arxiv.org/abs/1803.01097}, eprinttype = {arXiv}, eprint = {1803.01097}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-01097.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-02246, author = {Peilin Zhao and Yifan Zhang and Min Wu and Steven C. H. Hoi and Mingkui Tan and Junzhou Huang}, title = {Adaptive Cost-sensitive Online Classification}, journal = {CoRR}, volume = {abs/1804.02246}, year = {2018}, url = {http://arxiv.org/abs/1804.02246}, eprinttype = {arXiv}, eprint = {1804.02246}, timestamp = {Wed, 03 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-02246.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-03444, author = {Woohyung Chun and Sung{-}Min Hong and Junho Huh and Inyup Kang}, title = {Controlling the privacy loss with the input feature maps of the layers in convolutional neural networks}, journal = {CoRR}, volume = {abs/1805.03444}, year = {2018}, url = {http://arxiv.org/abs/1805.03444}, eprinttype = {arXiv}, eprint = {1805.03444}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-03444.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-04980, author = {Yi{-}Min Chou and Yi{-}Ming Chan and Jia{-}Hong Lee and Chih{-}Yi Chiu and Chu{-}Song Chen}, title = {Unifying and Merging Well-trained Deep Neural Networks for Inference Stage}, journal = {CoRR}, volume = {abs/1805.04980}, year = {2018}, url = {http://arxiv.org/abs/1805.04980}, eprinttype = {arXiv}, eprint = {1805.04980}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-04980.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-02023, author = {Jia{-}Hong Lee and Yi{-}Ming Chan and Ting{-}Yen Chen and Chu{-}Song Chen}, title = {Joint Estimation of Age and Gender from Unconstrained Face Images using Lightweight Multi-task {CNN} for Mobile Applications}, journal = {CoRR}, volume = {abs/1806.02023}, year = {2018}, url = {http://arxiv.org/abs/1806.02023}, eprinttype = {arXiv}, eprint = {1806.02023}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-02023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-02857, author = {Jingbo Du and Wei Xu and Hong Shen and Xiaodai Dong and Chunming Zhao}, title = {Hybrid Precoding Architecture for Massive Multiuser {MIMO} with Dissipation: Sub-Connected or Fully-Connected Structures?}, journal = {CoRR}, volume = {abs/1806.02857}, year = {2018}, url = {http://arxiv.org/abs/1806.02857}, eprinttype = {arXiv}, eprint = {1806.02857}, timestamp = {Thu, 18 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-02857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-05365, author = {Wei Cai and Zehua Wang and Jason B. Ernst and Zhen Hong and Chen Feng and Victor C. M. Leung}, title = {Decentralized Applications: The Blockchain-Empowered Software System}, journal = {CoRR}, volume = {abs/1810.05365}, year = {2018}, url = {http://arxiv.org/abs/1810.05365}, eprinttype = {arXiv}, eprint = {1810.05365}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-05365.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-11160, author = {Hsin{-}Rung Chou and Jia{-}Hong Lee and Yi{-}Ming Chan and Chu{-}Song Chen}, title = {Data-specific Adaptive Threshold for Face Recognition and Authentication}, journal = {CoRR}, volume = {abs/1810.11160}, year = {2018}, url = {http://arxiv.org/abs/1810.11160}, eprinttype = {arXiv}, eprint = {1810.11160}, timestamp = {Wed, 31 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-11160.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-12130, author = {Ngoc{-}Tu Nguyen and Bing{-}Hong Liu and Shao{-}I Chu and Hao{-}Zhe Weng}, title = {Challenges, Designs, and Performances of a Distributed Algorithm for Minimum-Latency of Data-Aggregation in Multi-Channel WSNs}, journal = {CoRR}, volume = {abs/1810.12130}, year = {2018}, url = {http://arxiv.org/abs/1810.12130}, eprinttype = {arXiv}, eprint = {1810.12130}, timestamp = {Thu, 01 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-12130.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-06585, author = {Mingde Zhao and Hongwei Ge and Yi Lian and C. L. Philip Chen}, title = {Online Decisioning Meta-Heuristic Framework for Large Scale Black-Box Optimization}, journal = {CoRR}, volume = {abs/1812.06585}, year = {2018}, url = {http://arxiv.org/abs/1812.06585}, eprinttype = {arXiv}, eprint = {1812.06585}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-06585.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangHLCL17, author = {Wei{-}Ming Huang and Tzung{-}Pei Hong and Guo{-}Cheng Lan and Ming{-}Chao Chiang and Jerry Chun{-}Wei Lin}, title = {Temporal-Based Fuzzy Utility Mining}, journal = {{IEEE} Access}, volume = {5}, pages = {26639--26652}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2774510}, doi = {10.1109/ACCESS.2017.2774510}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuangHLCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinRFH17, author = {Jerry Chun{-}Wei Lin and Shifeng Ren and Philippe Fournier{-}Viger and Tzung{-}Pei Hong}, title = {{EHAUPM:} Efficient High Average-Utility Pattern Mining With Tighter Upper Bounds}, journal = {{IEEE} Access}, volume = {5}, pages = {12927--12940}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2717438}, doi = {10.1109/ACCESS.2017.2717438}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LinRFH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShenLXZ17, author = {Hong Shen and Cichong Liu and Wei Xu and Chunming Zhao}, title = {Optimized Full-Duplex {MIMO} {DF} Relaying With Limited Dynamic Range}, journal = {{IEEE} Access}, volume = {5}, pages = {20726--20735}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2757039}, doi = {10.1109/ACCESS.2017.2757039}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShenLXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhaoLZGCJ17, author = {Yisheng Zhao and Victor C. M. Leung and Chunsheng Zhu and Hui Gao and Zhonghui Chen and Hong Ji}, title = {Energy-Efficient Sub-Carrier and Power Allocation in Cloud-Based Cellular Network With Ambient {RF} Energy Harvesting}, journal = {{IEEE} Access}, volume = {5}, pages = {1340--1352}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2667678}, doi = {10.1109/ACCESS.2017.2667678}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhaoLZGCJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/LinZFHZ17, author = {Jerry Chun{-}Wei Lin and Jiexiong Zhang and Philippe Fournier{-}Viger and Tzung{-}Pei Hong and Ji Zhang}, title = {A two-phase approach to mine short-period high-utility itemsets in transactional databases}, journal = {Adv. Eng. Informatics}, volume = {33}, pages = {29--43}, year = {2017}, url = {https://doi.org/10.1016/j.aei.2017.04.007}, doi = {10.1016/J.AEI.2017.04.007}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/LinZFHZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/LinGFCH17, author = {Jerry Chun{-}Wei Lin and Wensheng Gan and Philippe Fournier{-}Viger and Han{-}Chieh Chao and Tzung{-}Pei Hong}, title = {Efficiently mining frequent itemsets with weight and recency constraints}, journal = {Appl. Intell.}, volume = {47}, number = {3}, pages = {769--792}, year = {2017}, url = {https://doi.org/10.1007/s10489-017-0915-2}, doi = {10.1007/S10489-017-0915-2}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/LinGFCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/LinRFHSV17, author = {Jerry Chun{-}Wei Lin and Shifeng Ren and Philippe Fournier{-}Viger and Tzung{-}Pei Hong and Ja{-}Hwung Su and Bay Vo}, title = {A fast algorithm for mining high average-utility itemsets}, journal = {Appl. Intell.}, volume = {47}, number = {2}, pages = {331--346}, year = {2017}, url = {https://doi.org/10.1007/s10489-017-0896-1}, doi = {10.1007/S10489-017-0896-1}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/LinRFHSV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/LiangZWCXZ17, author = {Zuozhong Liang and Min Zhang and Fei Wu and Jianfeng Chen and Chunyu Xue and Hong Zhao}, title = {Supersaturation controlled morphology and aspect ratio changes of benzoic acid crystals}, journal = {Comput. Chem. Eng.}, volume = {99}, pages = {296--303}, year = {2017}, url = {https://doi.org/10.1016/j.compchemeng.2017.01.010}, doi = {10.1016/J.COMPCHEMENG.2017.01.010}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/LiangZWCXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/HongTHKC17, author = {Jon{-}Chao Hong and Kai{-}Hsin Tai and Ming{-}Yueh Hwang and Yen{-}Chun Kuo and Jhih{-}Siang Chen}, title = {Internet cognitive failure relevant to users' satisfaction with content and interface design to reflect continuance intention to use a government e-learning system}, journal = {Comput. Hum. Behav.}, volume = {66}, pages = {353--362}, year = {2017}, url = {https://doi.org/10.1016/j.chb.2016.08.044}, doi = {10.1016/J.CHB.2016.08.044}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/HongTHKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/HeYZLY17, author = {Ying He and F. Richard Yu and Nan Zhao and Victor C. M. Leung and Hongxi Yin}, title = {Software-Defined Networks with Mobile Edge Computing and Caching for Smart Cities: {A} Big Data Deep Reinforcement Learning Approach}, journal = {{IEEE} Commun. Mag.}, volume = {55}, number = {12}, pages = {31--37}, year = {2017}, url = {https://doi.org/10.1109/MCOM.2017.1700246}, doi = {10.1109/MCOM.2017.1700246}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/HeYZLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/FangGYMZLX17, author = {Hong Fang and Chunye Gong and Caihui Yu and Changwan Min and Xing Zhang and Jie Liu and Liquan Xiao}, title = {Efficient mesh deformation based on Cartesian background mesh}, journal = {Comput. Math. Appl.}, volume = {73}, number = {1}, pages = {71--86}, year = {2017}, url = {https://doi.org/10.1016/j.camwa.2016.10.023}, doi = {10.1016/J.CAMWA.2016.10.023}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/FangGYMZLX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChangCCYLKLLC17, author = {Chin{-}Chen Chang and Hong{-}Hao Chen and Yeun{-}Chung Chang and Ming{-}Yang Yang and Chung{-}Ming Lo and Wei{-}Chun Ko and Yee{-}Fan Lee and Kao{-}Lang Liu and Ruey{-}Feng Chang}, title = {Computer-aided diagnosis of liver tumors on computed tomography images}, journal = {Comput. Methods Programs Biomed.}, volume = {145}, pages = {45--51}, year = {2017}, url = {https://doi.org/10.1016/j.cmpb.2017.04.008}, doi = {10.1016/J.CMPB.2017.04.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ChangCCYLKLLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/JiHJLL17, author = {Pengli Ji and Kun He and Yan Jin and Hongsheng Lan and Chumin Li}, title = {An iterative merging algorithm for soft rectangle packing and its extension for application of fixed-outline floorplanning of soft modules}, journal = {Comput. Oper. Res.}, volume = {86}, pages = {110--123}, year = {2017}, url = {https://doi.org/10.1016/j.cor.2017.05.009}, doi = {10.1016/J.COR.2017.05.009}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/JiHJLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/datamine/WangZZLY17, author = {Peng Wang and Peng Zhang and Chuan Zhou and Zhao Li and Hong Yang}, title = {Hierarchical evolving Dirichlet processes for modeling nonlinear evolutionary traces in temporal data}, journal = {Data Min. Knowl. Discov.}, volume = {31}, number = {1}, pages = {32--64}, year = {2017}, url = {https://doi.org/10.1007/s10618-016-0454-1}, doi = {10.1007/S10618-016-0454-1}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/datamine/WangZZLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/JiangLCZ17, author = {Yunxiang Jiang and Francis C. M. Lau and Hongbin Chen and Feng Zhao}, title = {Energy efficiency optimisation in full-duplex relay systems}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {28}, number = {1}, year = {2017}, url = {https://doi.org/10.1002/ett.2926}, doi = {10.1002/ETT.2926}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/JiangLCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LiGLYHLC17, author = {Zhongjin Li and Jidong Ge and Chuanyi Li and Hongji Yang and Haiyang Hu and Bin Luo and Victor Chang}, title = {Energy cost minimization with job security guarantee in Internet data center}, journal = {Future Gener. Comput. Syst.}, volume = {73}, pages = {63--78}, year = {2017}, url = {https://doi.org/10.1016/j.future.2016.12.017}, doi = {10.1016/J.FUTURE.2016.12.017}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LiGLYHLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/HongWCL17, author = {Zhen Hong and Zehua Wang and Wei Cai and Victor C. M. Leung}, title = {Blockchain-Empowered Fair Computational Resource Sharing System in the {D2D} Network}, journal = {Future Internet}, volume = {9}, number = {4}, pages = {85}, year = {2017}, url = {https://doi.org/10.3390/fi9040085}, doi = {10.3390/FI9040085}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fi/HongWCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/TangJZS17, author = {Chengjun Tang and Ming Jiang and Chunming Zhao and Hong Shen}, title = {Design of Protograph-Based {LDPC} Codes with Limited Decoding Complexity}, journal = {{IEEE} Commun. Lett.}, volume = {21}, number = {12}, pages = {2570--2573}, year = {2017}, url = {https://doi.org/10.1109/LCOMM.2017.2757941}, doi = {10.1109/LCOMM.2017.2757941}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/TangJZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/PanLYFH17, author = {Jeng{-}Shyang Pan and Jerry Chun{-}Wei Lin and Lu Yang and Philippe Fournier{-}Viger and Tzung{-}Pei Hong}, title = {Efficiently mining of skyline frequent-utility patterns}, journal = {Intell. Data Anal.}, volume = {21}, number = {6}, pages = {1407--1423}, year = {2017}, url = {https://doi.org/10.3233/IDA-163180}, doi = {10.3233/IDA-163180}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ida/PanLYFH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/WangHTTH17, author = {Shyue{-}Liang Wang and Min{-}Jye Hsiu and Yu{-}Chuan Tsai and I{-}Hsien Ting and Tzung{-}Pei Hong}, title = {Analysis of privacy and utility tradeoffs in anonymized mobile context streams}, journal = {Intell. Data Anal.}, volume = {21}, number = {{S1}}, pages = {S21--S39}, year = {2017}, url = {https://doi.org/10.3233/IDA-170870}, doi = {10.3233/IDA-170870}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ida/WangHTTH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/LinLFHWZ17, author = {Jerry Chun{-}Wei Lin and Ting Li and Philippe Fournier{-}Viger and Tzung{-}Pei Hong and Jimmy Ming{-}Tai Wu and Justin Zhan}, title = {Efficient Mining of Multiple Fuzzy Frequent Itemsets}, journal = {Int. J. Fuzzy Syst.}, volume = {19}, number = {4}, pages = {1032--1040}, year = {2017}, url = {https://doi.org/10.1007/s40815-016-0246-1}, doi = {10.1007/S40815-016-0246-1}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/LinLFHWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/ZhangHQLT17, author = {Hongyue Zhang and Mingrui Huang and Xiuling Qing and Guoqing Li and Chuanzhao Tian}, title = {Bibliometric Analysis of Global Remote Sensing Research during 2010-2015}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {6}, number = {11}, pages = {332}, year = {2017}, url = {https://doi.org/10.3390/ijgi6110332}, doi = {10.3390/IJGI6110332}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/ZhangHQLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/ZhengTYWLZ17, author = {Peibei Zheng and Hong Tao and Songshan Yue and Mingguang Wu and Guonian Lv and Chuanlong Zhou}, title = {A Representation Method for Complex Road Networks in Virtual Geographic Environments}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {6}, number = {11}, pages = {372}, year = {2017}, url = {https://doi.org/10.3390/ijgi6110372}, doi = {10.3390/IJGI6110372}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/ZhengTYWLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitdm/LinGFHC17, author = {Jerry Chun{-}Wei Lin and Wensheng Gan and Philippe Fournier{-}Viger and Tzung{-}Pei Hong and Han{-}Chieh Chao}, title = {Mining Weighted Frequent Itemsets without Candidate Generation in Uncertain Databases}, journal = {Int. J. Inf. Technol. Decis. Mak.}, volume = {16}, number = {6}, pages = {1549--1580}, year = {2017}, url = {https://doi.org/10.1142/S0219622017500341}, doi = {10.1142/S0219622017500341}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijitdm/LinGFHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/EbrahimzadehTCL17, author = {Faezeh Ebrahimzadeh and Jason Sheng{-}Hong Tsai and Min{-}Ching Chung and Ying Ting Liao and Shu{-}Mei Guo and Leang{-}San Shieh and Li Wang}, title = {A generalised optimal linear quadratic tracker with universal applications. Part 2: discrete-time systems}, journal = {Int. J. Syst. Sci.}, volume = {48}, number = {2}, pages = {397--416}, year = {2017}, url = {https://doi.org/10.1080/00207721.2016.1186240}, doi = {10.1080/00207721.2016.1186240}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/EbrahimzadehTCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/EbrahimzadehTLC17, author = {Faezeh Ebrahimzadeh and Jason Sheng{-}Hong Tsai and Ying Ting Liao and Min{-}Ching Chung and Shu{-}Mei Guo and Leang{-}San Shieh and Li Wang}, title = {A generalised optimal linear quadratic tracker with universal applications - part 1: continuous-time systems}, journal = {Int. J. Syst. Sci.}, volume = {48}, number = {2}, pages = {376--396}, year = {2017}, url = {https://doi.org/10.1080/00207721.2016.1186239}, doi = {10.1080/00207721.2016.1186239}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/EbrahimzadehTLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcin/ShenWXZ17, author = {Hong Shen and Yanfei Wu and Wei Xu and Chunming Zhao}, title = {Optimal power allocation for downlink two-user non-orthogonal multiple access in visible light communication}, journal = {J. Commun. Inf. Networks}, volume = {2}, number = {4}, pages = {57--64}, year = {2017}, url = {https://doi.org/10.1007/s41650-017-0037-3}, doi = {10.1007/S41650-017-0037-3}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcin/ShenWXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetai/LinHFLWZ17, author = {Jerry Chun{-}Wei Lin and Tzung{-}Pei Hong and Philippe Fournier{-}Viger and Qiankun Liu and Jia{-}Wei Wong and Justin Zhan}, title = {Efficient hiding of confidential high-utility itemsets with minimal side effects}, journal = {J. Exp. Theor. Artif. Intell.}, volume = {29}, number = {6}, pages = {1225--1245}, year = {2017}, url = {https://doi.org/10.1080/0952813X.2017.1328462}, doi = {10.1080/0952813X.2017.1328462}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetai/LinHFLWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/LiLYL17, author = {He Li and Zhi{-}Hong Liu and Chui{-}Jie Yi and Zhe{-}Ming Lu}, title = {An Improved Genetic Algorithm for Thinning Acoustic Array}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {8}, number = {4}, pages = {757--765}, year = {2017}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2017/vol8/JIH-MSP-2017-04-001.pdf}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jihmsp/LiLYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/WuY17a, author = {Chun{-}Ming Wu and Ji{-}Hong Yang}, title = {An Improved Hypersphere Support Vector Machine Method for Vibration Fault Diagnosis of Wind Turbine Gearbox}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {8}, number = {6}, pages = {1237--1245}, year = {2017}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2017/vol8/JIH-MSP-2017-06-004.pdf}, timestamp = {Mon, 20 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/WuY17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/LiuLYJZL17, author = {Yiming Liu and Xi Li and F. Richard Yu and Hong Ji and Heli Zhang and Victor C. M. Leung}, title = {Grouping and Cooperating Among Access Points in User-Centric Ultra-Dense Networks With Non-Orthogonal Multiple Access}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {35}, number = {10}, pages = {2295--2311}, year = {2017}, url = {https://doi.org/10.1109/JSAC.2017.2724680}, doi = {10.1109/JSAC.2017.2724680}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/LiuLYJZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/ChenSC17, author = {Chun{-}Chieh Chen and Hong{-}Han Shuai and Ming{-}Syan Chen}, title = {Distributed and scalable sequential pattern mining through stream processing}, journal = {Knowl. Inf. Syst.}, volume = {53}, number = {2}, pages = {365--390}, year = {2017}, url = {https://doi.org/10.1007/s10115-017-1037-1}, doi = {10.1007/S10115-017-1037-1}, timestamp = {Tue, 12 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kais/ChenSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/LinGFHC17, author = {Jerry Chun{-}Wei Lin and Wensheng Gan and Philippe Fournier{-}Viger and Tzung{-}Pei Hong and Han{-}Chieh Chao}, title = {{FDHUP:} Fast algorithm for mining discriminative high utility patterns}, journal = {Knowl. Inf. Syst.}, volume = {51}, number = {3}, pages = {873--909}, year = {2017}, url = {https://doi.org/10.1007/s10115-016-0991-3}, doi = {10.1007/S10115-016-0991-3}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kais/LinGFHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/AcharyaFSOATKJL17, author = {U. Rajendra Acharya and Hamido Fujita and K. Vidya Sudarshan and Shu Lih Oh and Muhammad Adam and Jen Hong Tan and Jie Hui Koo and Arihant Jain and Choo Min Lim and Kuang Chua Chua}, title = {Automated characterization of coronary artery disease, myocardial infarction, and congestive heart failure using contourlet and shearlet transforms of electrocardiogram signal}, journal = {Knowl. Based Syst.}, volume = {132}, pages = {156--166}, year = {2017}, url = {https://doi.org/10.1016/j.knosys.2017.06.026}, doi = {10.1016/J.KNOSYS.2017.06.026}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/AcharyaFSOATKJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LinCCCLZ17, author = {Hesheng Lin and Hongtao Cao and Zhirong Chen and Wing Chun Chan and Wai Kwong Lee and Min Zhang}, title = {Leakage current elimination for Dickson charge pump with a linear regulator}, journal = {Microelectron. J.}, volume = {64}, pages = {29--34}, year = {2017}, url = {https://doi.org/10.1016/j.mejo.2017.03.010}, doi = {10.1016/J.MEJO.2017.03.010}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/LinCCCLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LinLK17, author = {Chun{-}Yu Lin and Rui{-}Hong Liu and Ming{-}Dou Ker}, title = {Design of 2.4-GHz {T/R} switch with embedded {ESD} protection devices in {CMOS} process}, journal = {Microelectron. Reliab.}, volume = {78}, pages = {258--266}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.09.005}, doi = {10.1016/J.MICROREL.2017.09.005}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/LinLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nc/WuHL17, author = {Min{-}Thai Wu and Tzung{-}Pei Hong and Chung{-}Nan Lee}, title = {A dynamic-edge {ACS} algorithm for continuous variables problems}, journal = {Nat. Comput.}, volume = {16}, number = {2}, pages = {339--352}, year = {2017}, url = {https://doi.org/10.1007/s11047-015-9537-y}, doi = {10.1007/S11047-015-9537-Y}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nc/WuHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/WangGGCLS17, author = {Kun Wang and Liqiu Gu and Song Guo and Hongbin Chen and Victor C. M. Leung and Yanfei Sun}, title = {Crowdsourcing-Based Content-Centric Network: {A} Social Perspective}, journal = {{IEEE} Netw.}, volume = {31}, number = {5}, pages = {28--34}, year = {2017}, url = {https://doi.org/10.1109/MNET.2017.1600191}, doi = {10.1109/MNET.2017.1600191}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/WangGGCLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/PiaoLHK17, author = {Jin{-}Chun Piao and Jue{-}Min Lu and Chung{-}Pyo Hong and Shin{-}Dug Kim}, title = {Lightweight particle-based real-time fluid simulation for mobile environment}, journal = {Simul. Model. Pract. Theory}, volume = {77}, pages = {32--48}, year = {2017}, url = {https://doi.org/10.1016/j.simpat.2017.05.003}, doi = {10.1016/J.SIMPAT.2017.05.003}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/PiaoLHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/LinGFHT17, author = {Jerry Chun{-}Wei Lin and Wensheng Gan and Philippe Fournier{-}Viger and Tzung{-}Pei Hong and Vincent S. Tseng}, title = {Efficiently mining uncertain high-utility itemsets}, journal = {Soft Comput.}, volume = {21}, number = {11}, pages = {2801--2820}, year = {2017}, url = {https://doi.org/10.1007/s00500-016-2159-1}, doi = {10.1007/S00500-016-2159-1}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/LinGFHT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/LinYFHV17, author = {Jerry Chun{-}Wei Lin and Lu Yang and Philippe Fournier{-}Viger and Tzung{-}Pei Hong and Miroslav Vozn{\'{a}}k}, title = {A binary {PSO} approach to mine high-utility itemsets}, journal = {Soft Comput.}, volume = {21}, number = {17}, pages = {5103--5121}, year = {2017}, url = {https://doi.org/10.1007/s00500-016-2106-1}, doi = {10.1007/S00500-016-2106-1}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/LinYFHV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/TingWLH17, author = {Chuan{-}Kang Ting and Ting{-}Chen Wang and Rung{-}Tzuo Liaw and Tzung{-}Pei Hong}, title = {Genetic algorithm with a structure-based representation for genetic-fuzzy data mining}, journal = {Soft Comput.}, volume = {21}, number = {11}, pages = {2871--2882}, year = {2017}, url = {https://doi.org/10.1007/s00500-016-2266-z}, doi = {10.1007/S00500-016-2266-Z}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/TingWLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/LeeCYCL17, author = {Chien{-}Ching Lee and Chia{-}Chun Chuang and Chia{-}Hong Yeng and Yeou{-}Jiunn Chen and Bor{-}Shyh Lin}, title = {Noise Suppression by Minima Controlled Recursive Averaging for SSVEP-Based BCIs With Single Channel}, journal = {{IEEE} Signal Process. Lett.}, volume = {24}, number = {12}, pages = {1783--1787}, year = {2017}, url = {https://doi.org/10.1109/LSP.2017.2761193}, doi = {10.1109/LSP.2017.2761193}, timestamp = {Fri, 24 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spl/LeeCYCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ZhangHJWZ17, author = {Chuan{-}Ke Zhang and Yong He and Lin Jiang and Min Wu and Hong{-}Bing Zeng}, title = {Summation Inequalities to Bounded Real Lemmas of Discrete-Time Systems With Time-Varying Delay}, journal = {{IEEE} Trans. Autom. Control.}, volume = {62}, number = {5}, pages = {2582--2588}, year = {2017}, url = {https://doi.org/10.1109/TAC.2016.2600024}, doi = {10.1109/TAC.2016.2600024}, timestamp = {Wed, 02 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tac/ZhangHJWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/SongLBWLSBP17, author = {Minyoung Song and Chuang Lu and Ao Ba and Xiaoyan Wang and Yao{-}Hong Liu and Kenichi Shibata and Christian Bachmann and Kathleen Philips}, title = {An Energy-Efficient Antenna Impedance Detection Using Electrical Balance for Single-Step On-Chip Tunable Matching in Wearable/Implantable Applications}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {11}, number = {6}, pages = {1236--1244}, year = {2017}, url = {https://doi.org/10.1109/TBCAS.2017.2771500}, doi = {10.1109/TBCAS.2017.2771500}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/SongLBWLSBP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ZhangFJXFHZ17, author = {Yucheng Zhang and Dan Feng and Hong Jiang and Wen Xia and Min Fu and Fangting Huang and Yukun Zhou}, title = {A Fast Asymmetric Extremum Content Defined Chunking Algorithm for Data Deduplication in Backup Storage Systems}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {2}, pages = {199--211}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2595565}, doi = {10.1109/TC.2016.2595565}, timestamp = {Thu, 14 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ZhangFJXFHZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/SunLYC17, author = {Tao Sun and Hongbo Liu and Hong Yu and C. L. Philip Chen}, title = {Degree-Pruning Dynamic Programming Approaches to Central Time Series Minimizing Dynamic Time Warping Distance}, journal = {{IEEE} Trans. Cybern.}, volume = {47}, number = {7}, pages = {1719--1729}, year = {2017}, url = {https://doi.org/10.1109/TCYB.2016.2555578}, doi = {10.1109/TCYB.2016.2555578}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/SunLYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChakrabartyABBC17, author = {Krishnendu Chakrabarty and Massimo Alioto and Bevan M. Baas and Chirn Chye Boon and Meng{-}Fan Chang and Naehyuck Chang and Yao{-}Wen Chang and Chip{-}Hong Chang and Shih{-}Chieh Chang and Poki Chen and Masud H. Chowdhury and Pasquale Corsonello and Ibrahim Abe M. Elfadel and Said Hamdioui and Masanori Hashimoto and Tsung{-}Yi Ho and Houman Homayoun and Yuh{-}Shyan Hwang and Rajiv V. Joshi and Tanay Karnik and Mehran Mozaffari Kermani and Chulwoo Kim and Tae{-}Hyoung Kim and Jaydeep P. Kulkarni and Eren Kursun and Erik Larsson and Hai (Helen) Li and Huawei Li and Patrick P. Mercier and Prabhat Mishra and Makoto Nagata and Arun S. Natarajan and Koji Nii and Partha Pratim Pande and Ioannis Savidis and Mingoo Seok and Sheldon X.{-}D. Tan and Mark M. Tehranipoor and Aida Todri{-}Sanial and Miroslav N. Velev and Xiaoqing Wen and Jiang Xu and Wei Zhang and Zhengya Zhang and Stacey Weber Jackson}, title = {Editorial}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {1}, pages = {1--20}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2638578}, doi = {10.1109/TVLSI.2016.2638578}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChakrabartyABBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HeZYZYLZ17, author = {Ying He and Zheng Zhang and F. Richard Yu and Nan Zhao and Hongxi Yin and Victor C. M. Leung and Yanhua Zhang}, title = {Deep-Reinforcement-Learning-Based Optimization for Cache-Enabled Opportunistic Interference Alignment Wireless Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {66}, number = {11}, pages = {10433--10445}, year = {2017}, url = {https://doi.org/10.1109/TVT.2017.2751641}, doi = {10.1109/TVT.2017.2751641}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/HeZYZYLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KongSLZ17, author = {Fancheng Kong and Xinghua Sun and Victor C. M. Leung and Hongbo Zhu}, title = {Delay-Optimal Biased User Association in Heterogeneous Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {66}, number = {8}, pages = {7360--7371}, year = {2017}, url = {https://doi.org/10.1109/TVT.2017.2666848}, doi = {10.1109/TVT.2017.2666848}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KongSLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urban/ZhangLCZLD17, author = {Chunxiao Zhang and Hui Lin and Min Chen and Xinqi Zheng and Rongrong Li and Yulin Ding}, title = {A modelling system with adjustable emission inventories for cross-boundary air quality management in Hong Kong and the Pearl River Delta, China}, journal = {Comput. Environ. Urban Syst.}, volume = {62}, pages = {222--232}, year = {2017}, url = {https://doi.org/10.1016/j.compenvurbsys.2016.12.004}, doi = {10.1016/J.COMPENVURBSYS.2016.12.004}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/urban/ZhangLCZLD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.